CN103713878A - 一种应用补码方法的正余弦cordic算法在fpga实现的方法 - Google Patents

一种应用补码方法的正余弦cordic算法在fpga实现的方法 Download PDF

Info

Publication number
CN103713878A
CN103713878A CN201410012830.6A CN201410012830A CN103713878A CN 103713878 A CN103713878 A CN 103713878A CN 201410012830 A CN201410012830 A CN 201410012830A CN 103713878 A CN103713878 A CN 103713878A
Authority
CN
China
Prior art keywords
sine
cosine
cordic algorithm
rotation
angle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410012830.6A
Other languages
English (en)
Other versions
CN103713878B (zh
Inventor
刘桂雄
林若波
唐文明
洪晓斌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
South China University of Technology SCUT
Original Assignee
South China University of Technology SCUT
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by South China University of Technology SCUT filed Critical South China University of Technology SCUT
Priority to CN201410012830.6A priority Critical patent/CN103713878B/zh
Publication of CN103713878A publication Critical patent/CN103713878A/zh
Application granted granted Critical
Publication of CN103713878B publication Critical patent/CN103713878B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

本发明公开了一种应用补码方法的正余弦CORDIC算法在FPGA实现的方法,该方法包括:采用数学归纳法由CORDIC算法推导正余弦CORDIC算法;采用补码法判断正余弦CORDIC算法坐标旋转方向,根据坐标旋转方向求出旋转坐标量,获取旋转坐标运算结果;通过对正余弦CORDIC算法的仿真实验,对旋转坐标运算结果进行分析。本发明能有效解决迭代法不停迭代过程所消耗很多时间资源与流水线所消耗的逻辑资源的缺点,减少比较次数,减少时间延迟,能更好地解决实时性问题,在信号实时计算中具有重要的实际意义。

Description

一种应用补码方法的正余弦CORDIC算法在FPGA实现的方法
技术领域
本发明涉及一种应用补码方法的正余弦CORDIC算法,尤其涉及一种应用补码方法的正余弦CORDIC算法在FPGA实现的方法。
背景技术
坐标旋转数字计算(Coordinate Rotation Digital Computer,CORDIC)算法是1959年由Volder J提出,通过一系列固定与运算基数有关角度不断偏摆迭代以逼近所需旋转角度,由简单加法、移位操作即可完成三角函数、指数函数、对数函数等超越函数的计算。1971年Walther J D把圆周旋转、双曲旋转和直线旋转统一成标准CORDIC迭代方程,进一步增加算法运算功能。CORDIC算法具有规则化、结构简单的特点,容易通过硬件方法实现。流水线法、迭代法是目前CORDIC算法在FPGA实现的主要方法。其中流水线法基于并行结构,处理速度快,而带来结构复杂、占用硬件资源多的问题,初始时延较大;迭代法基于串行结构,结构简单、占用资源少,则存在迭代次数多、运算速度慢的问题,初始时延较大。为提高CORDIC算法在FPGA实现性能,国内外开展很多有益研究,从不同角度对CORDIC算法进行结构改进,减少存储单元、降低功耗,但部分仍存在算法复杂的问题。为此,本发明针对CORDIC算法在FPGA实现存在问题,研究通项式正余弦CORDIC算法,并在FPGA实现本算法。
发明内容
为解决上述技术问题,本发明的目的是提供一种应用补码方法的正余弦CORDIC(Coordinate Rotation Digital Computer,坐标旋转数字计算)算法在FPGA实现的方法,该方法降低结构复杂度、减少迭代次数和时间延迟,提高实时性。
本发明的目的通过以下的技术方案来实现:
一种应用补码方法的正余弦CORDIC算法在FPGA实现的方法,包括:
A采用数学归纳法由CORDIC算法推导正余弦CORDIC算法;
B采用补码法判断正余弦CORDIC算法坐标旋转方向,根据坐标旋转方向求出旋转坐标量,获取旋转坐标运算结果;
C通过对正余弦CORDIC算法的仿真实验,对旋转坐标运算结果进行分析。
与现有技术相比,本发明的一个或多个实施例可以具有如下优点:能有效解决迭代法不停迭代过程所消耗很多时间资源与流水线所消耗的逻辑资源的缺点,减少比较次数,减少时间延迟,能更好的解决实时性问题,在信号实时计算中有重要的实际意义。
附图说明
附图用来提供对本发明的进一步理解,并且构成说明书的一部分,与本发明的实施例共同用于解释本发明,并不构成对本发明的限制。在附图中:
图1是应用补码方法的正余弦CORDIC算法在FPGA实现的方法流程图;
图2是直角坐标系旋转向量图;
图3是基于通项法的正余弦函数CORDIC算法流程图;
图4是传统流水线法、传统迭代法和通项法的仿真模拟波形图;
图5是传统流水线法、传统迭代法和通项法的仿真延时情况图。
具体实施方式
容易理解,根据本发明的技术方案,在不变更本发明的实质精神下,本领域的一般技术人员可以提出本发明的多个结构方式和制作方法。因此以下具体实施方式以及附图仅是本发明的技术方案的具体说明,而不应当视为本发明的全部或者视为本发明技术方案的限定或限制。
下面结合实施例及附图对本发明作进一步详细的描述。
图1是应用补码方法的正余弦CORDIC算法在FPGA实现的方法,该包括:
步骤10将CORDIC算法采用数学归纳法推导正余弦CORDIC算法;
在直角坐标系旋转向量图中(如图2所示),单位向量p0(x0,y0)旋转角θ到pn(xn,yn),可表示为:
x n y n = cos θ 1 - tan θ tan θ 1 x 0 y 0 - - - ( 1 )
将角度θ分成n个递减小角度θi=arctan(2-i)之和,即
Figure BDA0000455557260000032
方向系数di顺时针、逆时针分别取-1、1。令ki=cosθi,引入角度中间变量zi,可由(1)式,可推得CORDIC公式:
x i + 1 = k i ( x i - y i d i tan θ i ) y i + 1 = k i ( y i + x i d i tan θ i ) z i + 1 = θ - Σ 0 i d i θ i
由于经过n次旋转后变量zn+1→0,令校模因子
Figure BDA0000455557260000034
则有:
x n + 1 = K [ x 0 cos ( z 0 ) - y 0 sin ( z 0 ) ] y n + 1 = K [ y 0 cos ( z 0 ) + x 0 sin ( z 0 ) ] z n + 1 = 0 - - - ( 2 )
况且有n→∞,K=0.607253。在初值x0=1/K,y0=0,z0=θ情况下,则通过n次迭代后CORDIC公式输出:
[xn+1,yn+1,zn+1]=[cosθ,sinθ,0]   (3)
(2)在 x 0 y 0 = 1 0 情况下,基于CORDIC算法递推公式,有:
x i + 1 y i + 1 = k i 1 - d i 2 i d i 2 i 1 x i y i ⇒ x i + 1 y i + 1 = Π i = 0 i k i 1 - d i 2 i d i 2 i 1 . . . 1 - d 0 2 0 d 0 2 0 1 1 0
可推得:
x n + 1 y n + 1 = Π i = 0 n k i 1 - Σ N = 1 int ( n + 1 2 ) { ( - 1 ) N [ Σ i = 1 n - 2 N + 2 . . . Σ j = i + 2 N - 1 n ( d i 2 i . . . d j 2 j ) ] } Σ N = 0 int ( n + 1 2 ) { ( - 1 ) N [ Σ i = 1 n + 1 - 2 N . . . Σ j = i + 2 N n ( d i 2 i . . . d j 2 j ) ] } - - - ( 4 )
该式可用数学归纳法加以证明。
①i=1,由式(4)有:
x 1 y 1 = k 0 1 - d 0 2 0 d 0 2 0 1 1 0 = k 0 1 d 0 2 0
②假设i=n-1时等式成立,即:
x n y n = Π i = 0 n - 1 k i · 1 - Σ N = 1 int ( n / 2 ) { ( - 1 ) N [ Σ i = 0 n - 2 N + 1 . . . Σ j = i + 2 N - 1 n - 1 ( d i 2 i . . . d j 2 j ) ] } Σ N = 0 int ( n / 2 ) { ( - 1 ) N [ Σ i = 0 n - 2 N . . . Σ j = i + 2 N n - 1 ( d i 2 i . . . d j 2 j ) ] }
当i=n有:
x n + 1 y n + 1 = Π i = 0 n - 1 k i 1 - d n 2 n d n 2 n 1 1 - Σ N = 1 int ( n / 2 ) { ( - 1 ) N [ Σ i = 0 n - 2 N + 1 . . . Σ j = i + 2 N - 1 n - 1 ( d i 2 i . . . d j 2 j ) ] } Σ N = 0 int ( n / 2 ) { ( - 1 ) N [ Σ i = 0 n - 2 N . . . Σ j = i + 2 N n - 1 ( d i 2 i . . . d j 2 j ) ] }
= Π i = 0 n k i 1 - Σ N = 1 int ( n + 1 2 ) { ( - 1 ) N [ Σ i = 0 n - 2 N + 2 . . . Σ j = i + 2 N - 1 n ( d i 2 i . . . d j 2 j ) ] } Σ N = 0 int ( n + 1 2 ) { ( - 1 ) N [ Σ i = 0 n + 1 - 2 N . . . Σ j = i + 2 N n ( d i 2 i . . . d j 2 j ) ] }
故式(4)成立。并且在n足够大情况下,有:
x n + 1 y n + 1 = cos θ sin θ ≈ K 1 - Σ N = 1 int ( n + 1 2 ) { ( - 1 ) N [ Σ i = 0 n - 2 N + 2 . . . Σ j = i + 2 N - 1 n ( d i 2 i . . . d j 2 j ) ] } Σ N = 0 int ( n + 1 2 ) { ( - 1 ) N [ Σ i = 0 n + 1 - 2 N . . . Σ j = i + 2 N n ( d i 2 i . . . d j 2 j ) ] } - - - ( 5 )
由CORDIC算法通项公式可看出,计算转化为旋转方向di的移位、加法运算,若能先确定每次旋转方向,则可省去每次判断,用一个时钟即可同时计算任何一项迭代结果,非常适合FPGA实现。若先确定旋转次数n,借助zi计算,di就可确定。
步骤20采用补码法判断正余弦CORDIC算法坐标旋转方向,根据坐标旋转方向求出旋转坐标量,获取旋转坐标运算结果;
(1)判断下次旋转方向di,要根据角度变量zi大小判断,设固定旋转角度
Figure BDA0000455557260000053
位宽为w,zi符号位以及扩展到w位分别表示为Czi、|Czi|w,第i次旋转固定角度的原码、补码及反码分别表示为那么有:
①对于zi>0情况,Czi=0,需顺时针旋转
Figure BDA0000455557260000056
再通过
Figure BDA0000455557260000057
求出下次zi+1
Figure BDA0000455557260000058
由|Czi|w
Figure BDA0000455557260000059
异或求得;
②对于zi<0情况,Czi=1,需逆时针旋转
Figure BDA00004555572600000510
Figure BDA00004555572600000511
求出zi+1
Figure BDA00004555572600000512
由先|Czi|w异或得再加Czi得到。
综合以上分析,可得如下表达式:
Figure BDA00004555572600000515
其物理意义是:在先知道一系列
Figure BDA00004555572600000516
以及初始值z0、迭代次数n情况下,可借助求zi+1及Czi+1,获得下次di+1,通过一条数据链可连续求出n位旋转方向d1、d2、…、dn
(2)设坐标(xi、yi)位宽w,di符号位以及扩展到w位分别表示为Cdi、|Cdi|w;xi、yi右移i位后分别表示为xi(>>i)、yi(>>i),它们的反码、补码分别表示为[xi(>>i)]和[yi(>>i)]、[xi(>>i)]和[yi(>>i)],那么式(5)计算可用下式实现,这里省略ki,在n足够大下,校模因子用K=0.607253统一处理。
x i + 1 = x i - [ | C di | w &CirclePlus; y i ( > > i ) + C di ] y i + 1 = y i + [ | C di | w &CirclePlus; x i ( > > i ) + C di ] - - - ( 7 )
通过一条数据链可连续求出n次旋转坐标(x1、y1)、(x2、y2)…、(xn+1、yn+1)即可获得运算结果xn+1=cosθ,yn+1=sinθ。
步骤30通过对正余弦CORDIC算法的仿真实验,对旋转坐标运算结果进行分析,如图3仿真试验的实现步骤如下:
(1)初始化旋转坐标变量初值和初始角度值,确定输入角度位宽、迭代次数等参数。
(2)输入角度范围转换和数据浮定点格式转换,根据输入角度的大小,转化为
Figure BDA0000455557260000063
之间,如果
Figure BDA0000455557260000064
Figure BDA0000455557260000065
如果
Figure BDA0000455557260000066
Figure BDA0000455557260000067
Figure BDA0000455557260000068
如果
Figure BDA0000455557260000069
如果
Figure BDA00004555572600000611
则θd=θ,最终转化为
Figure BDA00004555572600000612
Figure BDA00004555572600000613
的角度值;并根据位宽把角度浮点数转化为定点数。本算法采用13位位宽,则以4096做为基数表示1,则角度θd=π*4096*θ/180。
(3)应用补码法求解角度变量zi+1,确定旋转方向di,求解旋转坐标变量(xi+1,yi+1),按公式连续迭代n次,角度变量zi=0,输出待求角度的正弦和余弦值,即xn+1=cosθ,yn+1=sinθ。
(4)通过仿真,对计算速度、初始时延、存储资源和运算精度进行分析。
计算速度分析,如图4所示,设置时钟频率为100MHz,迭代次数为8次,输入角度采用13位位宽,添加测试文件,输入角度θ在为0°~360°,添加cosθ输出作为观察信号(sinθ类似),波形显示方式。可以看出,通项法在采取仅流水线所需1/6左右寄存器情况下,通项法、流水线输出波形几乎同步,而迭代法则慢得非常多。
初始时延分析,参见图5,输出直接采用数字表示方式,选择θ=0°作为观察对象,图中4097处表示cosθ=1、时钟周期为T。可以看出,通项法的初始时延3T明显优于传统迭代法、流水线法的初始时延11T。
存储资源分析,参见表1,输入角度采用13位位宽迭代法、流水线、通项法所需的逻辑单元(ALUTs)以及寄存器(registers)分别为330、369、370和168、322、52,这三种方法所需逻辑单元比较接近,但通项法使用寄存器要少得多,仅流水线所需的1/6左右,硬件资源明显减少。
表1
w=13下硬件开销、初始时延比较表
Figure BDA0000455557260000071
运算精度分析参见表2,采用13位运算精度,量化误差为1/4096,cosθ迭代值与cosθ函数真值的差值最大为0.0076,约0.8%的计算误差,在一定要求下,这个精度是可以接受的。
表2
任意角度输入cosθ运算值与计算值的比较表
Figure BDA0000455557260000081
虽然本发明所揭露的实施方式如上,但所述的内容只是为了便于理解本发明而采用的实施方式,并非用以限定本发明。任何本发明所属技术领域内的技术人员,在不脱离本发明所揭露的精神和范围的前提下,可以在实施的形式上及细节上作任何的修改与变化,但本发明的专利保护范围,仍须以所附的权利要求书所界定的范围为准。

Claims (6)

1.一种应用补码方法的正余弦CORDIC算法在FPGA实现的方法,该包括:
A采用数学归纳法由CORDIC算法推导正余弦CORDIC算法;
B采用补码法判断正余弦CORDIC算法坐标旋转方向,根据坐标旋转方向求出旋转坐标量,获取旋转坐标运算结果;
C通过对正余弦CORDIC算法的仿真实验,对旋转坐标运算结果进行分析。
2.根据权利要求1所述的应用补码方法的正余弦CORDIC算法在FPGA实现的方法,其特征在于,所述步骤A具体包括:
在直角坐标系旋转向量图中,确定单位向量p0(x0,y0)旋转角θ到pn(xn,yn)的关系式;
根据所述单位向量p0(x0,y0)旋转角θ到pn(xn,yn)的关系式得到CORDIC公式,从而计算转化为旋转方向的移位和加法运算,确定正余弦CORDIC算法坐标旋转次数,通过角度变量确定旋转坐标方向。
3.根据权利要求1所述的应用补码方法的正余弦CORDIC算法在FPGA实现的方法,其特征在于,所述步骤B具体包括:
用一条数据链连续求出n位正余弦CORDIC算法坐标旋转方向;
通过补码法求解n次旋转坐标值,根据所述坐标值获得正余弦函数运算结果。
4.根据权利要求1所述的应用补码方法的正余弦CORDIC算法在FPGA实现的方法,其特征在于,所述步骤C具体包括:
初始化旋转坐标变量初值和初始角度值,确定输入角度位宽及迭代次数参数;
转换输入角度的范围,并根据位宽将角度浮点数转化为定点数;
应用补码法求解角度变量,确定坐标旋转方向,求解旋转坐标变量,输出待求角度的正弦和余弦值;
对待求角度的正弦和余弦值的计算速度、初始时延、存储资源和运算精度进行分析。
5.根据权利要求1所述的应用补码方法的正余弦CORDIC算法在FPGA实现的方法,其特征在于,所述步骤B中采用补码法判断坐标旋转方向具体包括:
根据角度中间变量zi大小判断,设固定旋转角度
Figure FDA0000455557250000021
位宽为w,zi符号位以及扩展到w位分别表示为Czi、|Czi|w,第i次旋转固定角度
Figure FDA0000455557250000022
的原码、补码及反码分别表示为其公式为:
Figure FDA0000455557250000024
由Czi+1可获得下一次di+1,通过一条数据链可连续求出n位旋转方向d1、d2、…、dn
6.根据权利要求1所述的应用补码方法的正余弦CORDIC算法在FPGA实现的方法,其特征在于,所述旋转坐标量的求解具体包括:
设旋转坐标(xi、yi)位宽w,di符号位以及扩展到w位分别表示为Cdi、|Cdi|w;xi、yi右移i位后分别表示为xi(>>i)、yi(>>i),它们的反码、补码分别表示为[xi(>>i)]和[yi(>>i)]、[xi(>>i)]和[yi(>>i)],其公式为:
x i + 1 = x i - [ | C di | w &CirclePlus; y i ( > > i ) + C di ] y i + 1 = y i + [ | C di | w &CirclePlus; x i ( > > i ) + C di ]
通过一条数据链可连续求出n次旋转坐标(x1、y1)、(x2、y2)…、(xn+1、yn+1)即可获得运算结果xn+1=cosθ,yn+1=sinθ。
CN201410012830.6A 2014-01-10 2014-01-10 一种应用补码方法的正余弦cordic算法在fpga实现的方法 Active CN103713878B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410012830.6A CN103713878B (zh) 2014-01-10 2014-01-10 一种应用补码方法的正余弦cordic算法在fpga实现的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410012830.6A CN103713878B (zh) 2014-01-10 2014-01-10 一种应用补码方法的正余弦cordic算法在fpga实现的方法

Publications (2)

Publication Number Publication Date
CN103713878A true CN103713878A (zh) 2014-04-09
CN103713878B CN103713878B (zh) 2016-08-17

Family

ID=50406890

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410012830.6A Active CN103713878B (zh) 2014-01-10 2014-01-10 一种应用补码方法的正余弦cordic算法在fpga实现的方法

Country Status (1)

Country Link
CN (1) CN103713878B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103914625A (zh) * 2014-04-10 2014-07-09 电子科技大学 基于cordic算法的无线信号测向方法
CN104102471B (zh) * 2014-07-18 2017-03-22 华南理工大学 一种应用fpga定点化技术实现指数cordic算法收敛域扩张的方法
CN108416311A (zh) * 2018-03-14 2018-08-17 电子科技大学 一种基于可编程门阵列和坐标旋转处理的方位角获取方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4945505A (en) * 1988-10-17 1990-07-31 Raytheon Company Cordic apparatus and method for approximating the magnitude and phase of a complex number
CN101930351A (zh) * 2009-06-26 2010-12-29 深圳迈瑞生物医疗电子股份有限公司 转换运算方法、装置和坐标旋转的数字计算方法、装置
CN102789446A (zh) * 2012-07-11 2012-11-21 河海大学 基于cordic算法的dds信号杂散抑制方法及系统

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4945505A (en) * 1988-10-17 1990-07-31 Raytheon Company Cordic apparatus and method for approximating the magnitude and phase of a complex number
CN101930351A (zh) * 2009-06-26 2010-12-29 深圳迈瑞生物医疗电子股份有限公司 转换运算方法、装置和坐标旋转的数字计算方法、装置
CN102789446A (zh) * 2012-07-11 2012-11-21 河海大学 基于cordic算法的dds信号杂散抑制方法及系统

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
孙学: "CORDIC 算法的一种补码实现结构设计", 《电讯技术》, vol. 51, no. 8, 31 August 2011 (2011-08-31) *
段文伟,于龙洋,李署坚: "一种改进的CORDIC算法及其FPGA实现", 《微电子学与计算机》, vol. 29, no. 2, 29 February 2012 (2012-02-29), pages 95 - 98 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103914625A (zh) * 2014-04-10 2014-07-09 电子科技大学 基于cordic算法的无线信号测向方法
CN104102471B (zh) * 2014-07-18 2017-03-22 华南理工大学 一种应用fpga定点化技术实现指数cordic算法收敛域扩张的方法
CN108416311A (zh) * 2018-03-14 2018-08-17 电子科技大学 一种基于可编程门阵列和坐标旋转处理的方位角获取方法

Also Published As

Publication number Publication date
CN103713878B (zh) 2016-08-17

Similar Documents

Publication Publication Date Title
CN109062540A (zh) 一种基于cordic算法的可重构浮点运算装置
CN103677738A (zh) 基于混合模式cordic算法的低延时基本超越函数实现方法及装置
CN103176767A (zh) 一种低功耗高吞吐的浮点数乘累加单元的实现方法
CN109739470B (zh) 一种基于2型双曲cordic任意指数函数的计算系统
CN102073472B (zh) 一种三角函数cordic迭代运算协处理器及运算处理方法
CN104897961A (zh) 基于互乘法窗函数的三谱线插值fft谐波分析方法及系统
CN102542336A (zh) 一种基于fpga 的三角函数实现方法
CN103149415A (zh) 一种高精度高频正弦波有效值实时检测方法
CN103713878A (zh) 一种应用补码方法的正余弦cordic算法在fpga实现的方法
CN104135457B (zh) 基于改进的cordic算法的数字鉴相方法及装置
CN112486455A (zh) 一种基于cordic方法求复数的n次开根号的硬件计算系统及其计算方法
CN108733349A (zh) 一种基于定点数的三角函数运算电路
CN102799412A (zh) 基于并行流水线设计的cordic加速器
CN103901405B (zh) 实时块浮点频域四路脉冲压缩器及其脉冲压缩方法
CN104536720B (zh) 基于fpga的待测角三角函数值的测算方法及系统
CN106603099A (zh) 一种基于概率计算的单比特接收机信号检测方法
CN103809931A (zh) 一种专用高速浮点指数运算器的设计
CN208834289U (zh) 一种三角函数运算电路
CN102789446A (zh) 基于cordic算法的dds信号杂散抑制方法及系统
RU167428U1 (ru) Преобразователь синусно-косинусного сигнала в код положения
CN107657078B (zh) 基于fpga的超声相控阵浮点聚焦发射实现方法
CN104102471A (zh) 一种应用fpga定点化技术实现指数cordic算法收敛域扩张的方法
CN102868404A (zh) 基于余弦算法和格雷编码的模数转换方法
CN115577789A (zh) 量子纠缠程度确定方法、装置、设备及存储介质
CN102253924B (zh) 开方运算的硬件实现方法以及开方运算器

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant