CN103594349A - 形成具有栅极电极的替换栅极结构的方法 - Google Patents

形成具有栅极电极的替换栅极结构的方法 Download PDF

Info

Publication number
CN103594349A
CN103594349A CN201310361907.6A CN201310361907A CN103594349A CN 103594349 A CN103594349 A CN 103594349A CN 201310361907 A CN201310361907 A CN 201310361907A CN 103594349 A CN103594349 A CN 103594349A
Authority
CN
China
Prior art keywords
silicide
recess
jie
implement
metallic compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310361907.6A
Other languages
English (en)
Other versions
CN103594349B (zh
Inventor
崔起植
M·V·雷蒙德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN103594349A publication Critical patent/CN103594349A/zh
Application granted granted Critical
Publication of CN103594349B publication Critical patent/CN103594349B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本文所揭露的是形成具有栅极电极的替换栅极结构的方法。在一实施例中,本方法包括移除至少一牺牲栅极电极结构以界定栅极凹口、在栅极凹口内形成栅极绝缘层、在栅极绝缘层之上的栅极凹口内实施沉积制程以沉积介金属化合物材料,以及实施至少一制程操作以移除介金属化合物材料位于栅极凹口外侧的部分。

Description

形成具有栅极电极的替换栅极结构的方法
技术领域
一般而言,本揭露是关于精密半导体装置的制造,更明确地是指形成具有沉积型介金属化合物材料所组成栅极电极的替换栅极的各种方法。
背景技术
如CPU、储存装置、ASIC(特殊应用集成电路)及诸如此类等先进集成电路的制造需要根据指定的电路布局在给定芯片区域中形成大量电路组件。场效晶体管(NOMS和PMOS)表示一种实质决定此等集成电路效能的重要电路组件类型。在使用例如MOS技术制造复杂集成电路期间,数百万个例如NMOS晶体管及/或PMOS晶体管的晶体管是予以在包括结晶半导体层的衬底上形成。场效晶体管无论是NMOS或PMOS装置都属于包括有源极区、漏极区、位于源极区与漏极区之间的信道区、以及位于信道区之上的栅极电极的平面型装置。
在场效晶体管中,信道区的导电率,也就是导电信道的驱动电流能力,是受控于在信道区之上形成并且由薄栅极绝缘层予以自其隔开的栅极电极。因施加适当控制电压至栅极电极而形成导电信道时信道区的导电率此外取决于信道内的掺质浓度、电荷载体的迁移率以及对于信道区以晶体管宽度方向给定延伸介于源极与漏极之间也称为晶体管信道长度的距离。因此,结合对栅极电极施加适当控制电压时绝缘层之下轻易产生导电信道的能力,信道区的导电率实质影响场效晶体管的效能。所以,由于部份取决于栅极电极导电率产生信道的速度并且信道电阻率实质决定晶体管的特性,信道长度比例化、以及与其相关信道电阻率降低和栅极电阻率增加为用于提升集成电路操作速度的主导性设计工作。
对于许多早期装置技术产生,大部份晶体管组件的栅极电极结构已由复数如二氧化硅及/或硅氮氧化物栅极绝缘层之类硅基材料加上多晶硅栅极电极所组成。然而,随着积极(aggressively)比例化晶体管组件的信道长度已渐渐变小,许多较新世代装置使用包含替代材料的栅极电极堆栈以避免与信道长度缩减的晶体管中使用传统硅基材料相关的短信道效应。例如,在某些信道长度大约10至20奈米(nm)等级的积极比例化晶体管组件中,包含所谓高k介电/金属栅极(HK/MG)配置的栅极电极堆栈已显示相较于先前较常用的二氧化硅/多晶硅(SiO/poly)配置提供显著增强的操作特性。
取决于特定整体装置需求,许多不同高k材料(也就是,介电常数,或称k值,近似10或更大的材料)已取得不同成功程度用于HK/MG栅极电极结构中的栅极绝缘层。例如,在某些晶体管组件设计中,高k栅极绝缘层可包括氧化钽(Ta2O5)、氧化铪(HfO2)、氧化锆(ZrO2)、二氧化钛(TiO2)、氧化铝(Al2O3)、硅酸铪(HfSiOx)及诸如此类。再者,一或多种非多晶硅金属栅极电极材料(也就是,金属栅极堆栈)可用在HK/MG配置中以便控制晶体管的工函数。这些金属栅极电极材料可包括例如一或多层钛(Ti)、氮化钛(TiN)、钛铝(TiAl)、铝(Al)、氮化铝(AlN)、钽(Ta)、氮化钽(TaN)、碳化钽(TaC)、钽碳氮化物(TaCN)、钽硅氮化物(TaSiN)、碳化钽(TaSi)及诸如此类。
已用于形成具有高k/金属栅极结构的晶体管的一种熟知的处理方法为所谓的「栅极后制」或「替换栅极」技术。第1A至1D图描述一种使用栅极后制技术形成HK/MG替换栅极结构的描述性先前技术方法。如图1A所示,制程包括在浅沟槽隔离结构11所界定主动区中半导体衬底10之上形成基本晶体管结构100。装置100于图1A中所示的制造点包括牺牲栅极绝缘层12、虚设(dummy)或牺牲栅极电极14、侧壁隔离物16、一层绝缘材料17以及在衬底10上形成的源极/漏极区18。装置100的各种组件和结构可使用各种不同材料并且通过实施各种已知技术予以形成。例如,牺牲栅极绝缘层12可由多晶硅所组成,侧壁隔离物16可由硅氮化物所组成以及该层绝缘材料17可由二氧化硅所组成。源极/漏极区18可由使用已知掩模及离子布植技术予以布植到衬底10内的布植掺质材料(NMOS装置用N型掺质和PMOS装置用P型掺质)所组成。当然,本领域技术人员将知道存在为了清楚未在图式中描述的晶体管100其它特征。例如,通常在高效能PMOS晶体管中发现的各种硅/锗层或区域以及未在图式中描述的所谓晕圈布植区。于图1A中所述制造点,已形成装置100各种结构并且已实施化学机械研磨制程(CMP)以移除牺牲栅极电极14之上的任何材料(如硅氮化物组成的保护帽层(图未示))以至于至少可移除牺牲栅极电极14。
如图1B所示,实施一或多道蚀刻制程以移除牺牲栅极电极14和牺牲栅极绝缘层12从而界定接着将形成替换栅极结构处的栅极凹口20。为了清楚未描述通常用于此等蚀刻制程的掩模层。一般而言,如图所示,如部份替换栅极技术移除牺牲栅极绝缘层12。然而,在所有应用里可不移除牺牲栅极绝缘层12。
其次,如图1C中所示,在栅极凹口20中形成将构成替换栅极结构30的各种材料层。用于替换栅极结构30的材料可取决于特殊应用而变。即使在故意移除牺牲栅极绝缘层12的情况下,栅极凹口20内衬底10上形成的非常薄原生(native)氧化层(图未示)仍存在。在一描述性实施例中,替换栅极结构30由厚度近似2奈米如氧化铪之类的高k栅极绝缘层30A、第一金属层30B(例如一层厚度大约1至2奈米的氮化钛)、第二金属层30C(例如一层厚度大约1至2奈米的氮化钽)和第三金属层30D(例如一层厚度大约5奈米的氮化钛)以及如铝之类的主体金属层30E所组成。最后,如图1D所示,实施一或多道CMP制程以移除栅极绝缘层30A、第一金属层30B、第二金属层30C、第三金属层30D和主体金属层30E位于栅极凹口20外侧的过剩部分从而界定替换栅极结构30。
替换栅极结构的形成是需要高度精确并且可重复性制造程序的复杂制程。随着晶体管装置的栅极长度已缩减到例如10至20奈米或更小的极小尺寸,形成替换栅极结构的制程甚至变得更难。也就是,给定栅极凹口20的实体尺寸、以及经形成用以制作替换栅极结构30的各种材料层的厚度,非常难以在如此小栅极凹口20中形成所有这些材料层并且制作可靠、零缺陷的替换栅极结构30。
本揭露是针对可避免或至少降低以上所鉴别一或多种问题效应的各种方法和装置。
发明内容
下文呈现本发明的简化总结以便提供本发明某些方面的基本理解。本总结不是本揭露的彻底概述。其用意也不在于识别本发明的重要或关键要素或描述本发明的范畴。其唯一目的在于以简化形式呈现某些概念作为下文所述更详细说明的引言。
一般而言,本揭露是针对形成具有至少由举例如金属硅化物或金属碳化物林料之类沉积型介金属化合物材料所组成栅极电极的替换栅极结构的各种方法。在一实施例中,本方法包括移除至少一牺牲栅极电极结构以界定栅极凹口、在栅极凹口中形成栅极绝缘层、在栅极绝缘层之上栅极凹口中实施沉积制程以沉积介金属化合物材料以及实施至少一制程操作以移除介金属化合物材料位于栅极凹口外侧的部分。
本发明还提供一种形成晶体管用替换栅极结构的方法,其包含:在半导体衬底之上形成牺牲栅极结构,该牺牲栅极结构包含至少一牺牲栅极电极;迫近该牺牲栅极结构的对立侧形成至少一侧壁隔离物;实施至少一蚀刻制程以移除至少该牺牲栅极电极结构从而界定该侧壁隔离物所界定的栅极凹口;在该栅极凹中形成高k绝缘材料所组成的栅极绝缘层;在该栅极绝缘层之上该栅极凹口中实施沉积制程以沉积金属硅化物材料;以及实施至少一化学机械研磨制程以移除该金属硅化物材料位于该栅极凹口外侧的部分。
在另一描述性实施例中,本方法是针对形成呈相反类型的第一与第二晶体管(也就是第一个是PMOS装置并且第二个是NMOS装置(或反之))用的替换栅极结构。在一实施例中,本具体实施例含括在半导体衬底之上形成第一与第二牺牲栅极结构,其中第一与第二牺牲栅极结构各包含至少一牺牲栅极电极,实施至少一第一蚀刻制程用以至少各从第一与第二牺牲栅极结构移除牺牲栅极结构从而界定第一栅极凹口与第二栅极凹口、以及在第一与第二栅极凹口中形成栅极绝缘层。本具体实施例也包括的步骤为在栅极绝缘层之上第一与第二栅极凹口中实施第一沉积制程以沉积第一介金属化合物材料、实施至少一第二蚀刻制程以移除第一介金属化合物材料位于第二栅极凹口中的部分、在第一介金属化合物材料之上和第二栅极凹口中实施第二沉积制程以沉积第二介金属化合物材料,其中第一介金属化合物材料与第二介金属化合物材料为不同材料,以及实施至少一制程操作以移除第一与第二介金属化合物材料位于第一与第二栅极凹口外侧的部分。
本发明还提供一种一种形成第一与第二晶体管用替换栅极结构的方法,其包含:在半导体衬底之上形成第一与第二牺牲栅极结构,各该第一与第二牺牲栅极结构包含至少一牺牲栅极电极;迫近各该第一与第二牺牲栅极结构的对立侧形成至少一侧壁隔离物;实施至少一第一蚀刻制程用以从各该第一与第二牺牲栅极结构移除至少该牺牲栅极电极结构从而界定第一栅极凹口与第二栅极凹口;在该第一与第二栅极凹口中形成由高k绝缘材料所组成的栅极绝缘层;在该栅极绝缘层之上该第一与第二栅极凹口中实施第一沉积制程以沉积第一金属硅化物材料;在该第一金属硅化物材料之上形成图案化掩模层,该图案化掩模层包覆将形成该第一晶体管处的第一区域并且曝露将形成该第二晶体管处的第二区域;实施至少一第二蚀刻制程以移除该第一金属硅化物材料由该图案化掩模层所曝露的部分并且从而由该第二栅极凹口移除该第一金属硅化物材料;在实施该至少一第二蚀刻制程后,移除该图案化掩模层;在该第二栅极凹口中和该第一金属硅化物材料之上实施第二沉积制程以沉积第二金属硅化物材料;以及实施至少一化学机械研磨制程以移除该第一与第二金属硅化物材料位于该第一与第二栅极凹口外侧的部分。
附图说明
本揭露可参照底下说明配合附图予以理解,其中类似的参考组件符号视为相同的组件,以及其中:
图1A至图1D描述使用所谓「栅极后制」或「替换栅极」方法用于形成半导体装置的一个描述性先前技术制程流程;
图2A至图2F描述一种描述性方法及本文所揭露的装置,其中装置包括由沉积型介金属化合物材料所组成的替换栅极电极;以及
图3A至图3E描述另一描述性方法和本文所揭露的装置,其中本揭露的发明可在CMOS应用中使用。
尽管本文所揭露的技术主题易受各种改进和替代形式所影响,其特定具体实施例仍已通过图式中的实施例予以表示并且在本文中予以详述。然而,应理解的是,本文对特定具体实施例的说明用意不在于限制本发明于所揭露的特殊形式,相反地,用意在于含括落于如权利要求书所界定本发明精神与范畴内的所有改进、均等、以及替代。漏极漏极
具体实施方式
底下说明的是本发明的各种描述性具体实施例。为了厘清,未在本说明书中说明实际实现的所有特征。当然将了解的是,在任何此实际具体实施例的研制中,必须施作许多实现特定性决策以达成研制者的特定目的,如符合系统相关与商业相关限制条件,其视实现而不同。再者,将了解的是,此研制计划可能复杂且耗时,不过却属本技术领域技能人员所从事具有本揭露效益的例行事务。
现在将参照附图说明本技术主题。图式中所概示的各种结构、系统及装置其目的仅在于说明而非为了以本领域技术人员所熟知的细节混淆本揭露。虽然如此,仍含括附图以说明并且解释本揭露的描述性实施例。应该理解并且解读本文的用字及词组与所属相关领域技术人员所理解的用字及词组具有兼容的意义。术语或词组的特殊定义,也就是,有别于本领域技术人员所理解的普通及惯用意义的定义,用意是要通过本文对于术语或词组的一致性用法予以隐喻。就术语或词组用意在于具有特殊意义的方面来说,也就是,不同于本领域技术人员所理解的术语或词组,此特殊定义将在说明书中以直接并且明确提供术语或词组特殊定义的明确方式予以清楚提出。
本揭露是针对形成具有由至少至沉积型介金属化合物材料所组成栅极电极的替换栅极结构的各种方法。本领域技术人员完全阅读本申请案后将显而易知的是,本方法是适用于例如NMOS、PMOS、CMOS等各种技术,并且是轻易地适用于包括但不局限于逻辑装置、内存装置等各种装置。现在将搭配附图详细说明本文所揭露方法和装置的各种描述性具体实施例。
图2A是制造初期在半导体衬底210之上所形成描述性半导体装置200的简化图。衬底210可具有各种配置,如沉积型主体硅配置。衬底210也可具有包括主体硅层、埋置绝缘层和主动层的上覆硅绝缘体(SOI),其中半导体装置是在主动层之中及之上形成。因此,术语「衬底」或「半导体衬底」应理解为涵盖所有形式的半导体结构。衬底210也可由不同于硅的材料所制成。
于图2A中所示的制造点,装置200包括牺牲栅极结构214以及位于衬底210和已在衬底210中形成的描述性源极/漏极区218之上所形成一层绝缘材料217中的侧壁隔离物216。在所示实施例中,牺牲栅极结构214包括牺牲栅极绝缘层214A和牺牲栅极电极214B。一般而言,在图2A中,装置200是描述于相当于装置100用图1A所示的制造点。因此,关于制作装置100时所用描述性材料和制造方法的说明同样适用于装置200直到此制造点。
可使用各种不同材料并且通过实施各种已知技术形成装置200的各种组件和结构。例如,牺牲栅极绝缘层214A可由二氧化硅所组成,牺牲栅极电极214B可由多晶硅或非晶硅所组成,侧壁隔离物216可由硅氮化物所组成以及该层绝缘材料217可由二氧化硅所组成。牺牲栅极电极214B和牺牲栅极绝缘层214A可呈任何期望厚度或配置。在一实施例中,牺牲栅极电极214B可具有20奈米或更小的关键尺寸。描述性源极/漏极区218是由使用图中未示的已知掩模和离子布植技术予以布植到衬底210内的布植掺质材料(NMOS装置用N型掺质和PMOS装置用P型掺质)所组成。当然,本领域技术人员将知道晶体管200有未在图式中描述以免混淆本发明的其它特征。例如,图式中未描述所谓的晕圈(halo)布植区以及高效能PMOS晶体管中常见的各种硅/锗的层或区域。最后,装置200可具有提升型或平面型源极/漏极区。为了简化,将描述装置200犹如在衬底210中形成的平面型源极/漏极区。于图2A中所示的制造点,已形成装置200的各种结构并且已实施化学机械研磨(CMP)以移除牺牲栅极电极214B之上的任何材料(如硅氮化物所组成的保护帽层(图未示))以至于至少可移除牺牲栅极电极214B。
如图2B所示,实施一或多道蚀刻制程以移除牺牲栅极电极214B和牺牲栅极绝缘层214A从而界定接着将形成替换栅极结构处的栅极凹口220,下文有更完整的说明。通过陈述在绝缘材料层中形成栅极凹口220,用意在于涵盖可存在于栅极凹口220用层级的绝缘材料的任何组合中形成栅极凹口的情形,任何绝缘材料形式都可以。例如,应该理解此措词(language)涵盖在所示侧壁隔离物216与所示绝缘材料217单一层之间所形成栅极开口220的情形。也应该理解此措词涵盖可能没有侧壁隔离物及/或该层绝缘材料217可由复数层绝缘材料所组成的情形。通常用在此等蚀刻制程的掩模层为了清楚未予以在图中描述。一般而言,如图所示,移除牺牲栅极绝缘层214作为部份替换栅极技术。然而,可不在所有应用里移除牺牲栅极绝缘层214A。即使是在故意移除牺牲栅极绝缘层214A的情况下,栅极凹口220内衬底210上通常仍形成非常薄原生氧化层(图未示)。
一般而言,本揭露是针对在栅极凹口220中形成新颖性替换栅极结构230以及形成此栅极结构230的新颖性方法。下文将有完整说明,根据本发明,替换栅极结构230的栅极电极将由材料沉积制程期间所形成举例如沉积型金属硅化物材料或沉积型金属碳化物材料之类的沉积型介金属化合物材料所组成。介金属化合物材料可通过实施化学气相沉积(CVD)制程、原子层沉积(ALD)制程、或物理气相沉积(PVD)制程等予以形成。介金属化合物材料可由各种不同金属合成物(metalcomposition)组成,其选择可取决于特殊应用。例如,在介金属化合物材料是沉积型金属硅化物材料的情况下,其可由后述之一所组成:硅化钨(WSix)、镍硅化物(NiSix)、铂硅化物(PtSi)、铒硅化物(ErSi)、铪硅化物(HfSi)、镱硅化物(YbSi)、钴硅化物(CoSi)、MoSix、TaSix、HfC、TiC、TaC、例如NiEr硅化物介金属合金等以上合金组合。替换栅极结构230的栅极电极也可视需要包含额外金属层。例如,若介金属化合物材料的电阻率太高,则可在介金属化合物材料之上沉积如钨、铝、钴等纯金属层。
如图2C所示,在一描述性实施例中,制程始于实施保形沉积(conformal deposition)制程以在栅极凹口220中形成高k(k值大于10)栅极绝缘层232。如上所述,在某些情况下,若有需要,可在高k栅极绝缘层232上形成如非常薄工函数调整金属(例如,一层氮化钛)之类的金属层(图未示)。本领域技术人员在完整阅读本应用后将知道的是,其为部分替换栅极结构230的绝缘材料和金属层可呈任何期望结构以及由任何各种不同材料所组成。另外,NMOS装置用替换栅极结构230对照于PMOS装置用替换栅极结构230可具有不同材料组合。因此,替换栅极结构230的特殊结构细节、以及形成此替换栅极电极结构230的方式不应该视为本发明的限制,除非所附权利要求书明显详述此等限制。本文所揭露的方法也可用于未使用高k栅极绝缘层的替换栅极结构230;虽然高k栅极绝缘层将可能用在大部份应用里。
如图2D所示,在形成栅极绝缘层232之后,实施沉积制程234用以在栅极绝缘层232之上沉积介金属化合物材料236。重要的是,介金属化合物材料236由于沉积制程234而直接形成。例如,在介金属化合物材料236为金属硅化物材料或金属碳化物材料的情况下,金属硅化物材料或金属碳化物由于沉积制程而直接形成。这与在含硅表面上形成金属硅化物材料(通常含括如镍之类难熔金属层的形成)、在难熔金属层上实施加热处理以造成难熔金属层与含硅材料之间反应借以形成金属硅化物材料、实施蚀刻制程以移除难熔金属层的未反应部分、以及在某些情况下实施额外的金属硅化物材料加热处理的先前技术成对比。通过因沉积制程234而直接形成金属化合物材料236,可用将至少部分当作替换栅极结构230用栅极电极的导电材料可靠填充非常小栅极凹口220。
先前已说明沉积制程234以及如金属硅化物合成物之类的可能介金属化合物材料236合成物。如图标,介金属化合物材料236使栅极凹口220的未填充部分满溢。可使用适当的前驱气体于各种温度和压力以适当流率实施沉积制程234以形成金属硅化物材料236。在一具体实施例中,沉积制程234可为温度范围大约150-900℃内以及压力范围大约在0.1-600Torr内的CVD制程。各种前驱气体的流率也可取决于特殊应用以及金属硅化物材料236的期望形成率,例如,可使用等级大约1-1000sccm的前驱流率。当然,如同质化(qualify)制造半导体装置时所用任何制程所常见,将必须通过测试以确认任何特定应用中要使用的精确制程参数。若有必要,可在形成当下将N型或P型掺质添加至介金属化合物材料236,也就是,沉积制程可包括就地掺杂处理(in situ doping process)。介金属化合物材料236的工函数及/或电阻率可通过改变制程条件予以调整,例如4.0-5.15eV。介金属化合物材料236的工函数及/或电阻率也可通过各种热处理予以调整,例如,沉积及/或后处理期间范围为300-1100℃的热处理。
其次,如图2E所示,实施一或多道CMP制程以移除绝缘层232和介金属化合物材料236位于该层绝缘材料217之上栅极凹口220外侧的部分。移除过剩材料导致形成本文所揭露新颖性替换栅极结构230的一个描述性具体实施例。当然,本领域技术人员在完整阅读本申请案后将知道的是,本文所揭露形成替换栅极结构230的方法同样适用于如同示于图2A至图2E所揭露平面型场效晶体管200的各种半导体装置、以及如图2F所示FinFET装置200F之类的非平面型装置。图2F是经由栅极结构230依栅极宽度方向所取描述性装置200F的剖面图。鉴于剖面位置未在本图中描述界定栅极凹口220的侧壁隔离物216。装置200F由复数描述性鳍件250所组成。例如二氧化硅的局部隔离层252是置于鳍件250之间。如图所示,栅极绝缘层232和介金属化合物材料236是围绕描述性鳍件250予以形成。因此,使用替换栅极结构230的特殊装置类型不应该视为目前所揭露发明的限制。
于图2E中所示的制造点,可实施例传统制造操作以完成装置200的形成。例如,可经由该层绝缘材料217形成接触开口(图未示)以曝露底下源极/漏极区218。其后可在源极/漏极218所曝露部位上形成金属硅化物区(图未示)以及可在接触开口中形成导电接触件(图未示)用以对源极/漏极区218提供电连接。可接着使用已知处理技术在装置200之上形成各种金属化层。
图3A至图3E描述可使用含括在相同衬底上形成NMOS与PMOS装置两者的CMOS技术用以形成集成电路产品的描述性处理流程。在图3A至图3E中,未表示将由此等装置电隔离NMOS与PMOS装置、以及源极/漏极区的各种隔离区而未混淆本发明.
如图3A所示,将在衬底210之上形成描述性NMOS装置200N以及描述性PMOS装置200P。于图3A中所示的制造点,各装置200N、200P皆包括牺牲栅极结构214以及衬底210之上所形成该层绝缘材料217中所置的牺牲栅极结构214和侧壁隔离物216。于图3A中所示的制造点,已形成装置200的各种结构并且已实施化学机械研磨制程(CMP)以移除牺牲栅极电极214B之上的任何材料(如硅氮化物组成的保护帽层(图未示))以至于至少可移除各牺牲栅极结构214的牺牲栅极电极214B。
图3B描述已实施许多制程操作之后的装置200N、200P。首先,实施一或多道蚀刻制程用以从两牺牲栅极结构214移除牺牲栅极电极214B和牺牲栅极绝缘层214A从而界定将接着分别予以形成装置200N、200P用替换栅极结构230N、230P处的复数栅极凹口220,下文有更完整的说明。其后,在一描述性实施例中,实施保形沉积制程用以在栅极凹口220中形成高k(k值大于10)栅极绝缘层232。如上所述,在某些情况下,若有必要,可在高k栅极绝缘层232上形成如非常薄工函数调整金属(例如,一层氮化钛)之类的金属层(图未示)。另外,如前所述,NMOS装置200N用替换栅极结构230N对照于PMOS装置200P用替换栅极结构230P可具有不同材料组合。
请继续参阅图3B,下一个制程操作含括在栅极凹口220中形成介金属化合物材料236。然而,在CMOS应用中,可调适(tailor)介金属化合物材料236以供用于NMOS装置200N以及PMOS装置200P。在所示实施例中,首先将形成PMOS装置200P用例如金属硅化物材料的介金属化合物材料236P。然而,本领域技术人员在完整阅读本申请案后将知道的是,可视需要逆行处理流程。也就是,可在形成PMOS装置200P用介金属化合物材料236P之前形成NMOS装置200N用介金属化合物材料236N。如图3B所示,在形成栅极绝缘层232后,实施沉积制程234P以在栅极绝缘层232之上沉积金属硅化物材料236P。以上关于沉积制程234以及介金属化合物材料236可能合成物的说明同样适用于沉积制程234P、234N。重要的是,介金属化合物材料236P是由于沉积制程234P而予以直接形成。如图所示,介金属化合物材料236P使栅极凹口220未填满部分满溢。在一特殊实施例中,若介金属化合物材料236P是金属硅化物材料,则可为镍硅化物(NiSix)材料。
图3C描述已实施许多制程操作后的装置200N、200P。首先,已在介金属化合物材料236P之上形成图案化掩模层240。图案化掩模层240包覆PMOS装置200P并且曝露NMOS装置200N以供进一步处理。接着,经由图案化掩模层240实施蚀刻制程用以从NMOS装置200N移除介金属化合物材料236P的曝露部分。图案化掩模层240可为图案化硬式掩模层或光阻材料的图案化层。
其次,如图3D所示,实施沉积制程234N用以在装置200N之上以及在NMOS装置200N用栅极凹口220中沉积经过调适的介金属化合物材料236N。重要的是,由于沉积制程234N而直接形成介金属化合物材料236N。如图所示,介金属化合物材料236N使NMOS装置200N的栅极凹口220的未填充部分满溢。在一特殊实施例中,若介金属化合物材料236N是金属硅化物材料,则其可为硅化铒(ErSi)材料。
其次,如图3E所示,实施一或多道CMP制程以移除绝缘层232和介金属化合物材料236P、236N位于该层绝缘材料217之上栅极凹口220外侧的过剩部分。这导致形成NMOS装置200N用新颖性替换栅极结构230N以及PMOS装置200P用新颖性替换栅极结构230P的一个具体实施例。当然,本领域技术人员在完整阅读本申请案后将知道的是,本文所揭露形成替换栅极结构230N、230P的方法同样适用于像是示于图3A至图3E中所揭露平面型场效晶体管200N、200P的各种半导体装置、以及诸如图2F中所示描述性FinFET装置200F等FinFET装置之类的非平面型装置。因此,使用替换栅极结构230N、230P的特殊装置类型不应该视为目前所揭露发明的限制。
于图3E中所示的制造点,可实施传统制造操作以完成装置200N、200P的形成。例如,可经由该层绝缘材料217形成接触开口(图未示)以曝露底下源极/漏极区(图未示)。其后可在源极/漏极区的曝露部分上形成金属硅化物区(图未示)并且可在接触开口中形成导电接触件(图未示)以对源极/漏极区提供电连接。可接着使用已知处理技术在装置200N、200P之上形成各种金属化层。
以上所揭露的特殊具体实施例仅属描述性,正如本发明可以本领域技术人员所明显知道的不同但均等方式予以改进并且实践而具有本文的指导效益。例如,前述制程步骤可用不同顺序实施。另外,除了作为权利要求书中所述,对于本文所示构造或设计的细节无限制用意。因此,得以证实以上所揭露特殊具体实施例可予以改变或改进并且所有此等变化皆视为在本发明的范畴及精神内。因此,本文所谋求的保护如权利要求书中所提。

Claims (28)

1.一种形成晶体管用替换栅极结构的方法,其包含:
移除至少一牺牲栅极电极结构以界定栅极凹口;
在该栅极凹口中形成栅极绝缘层;
在该栅极绝缘层之上该栅极凹口中实施沉积制程以沉积介金属化合物材料;以及
实施至少一制程操作以移除该介金属化合物材料位于该栅极凹口外侧的部分。
2.根据权利要求1所述的方法,其中在该栅极凹口中形成该栅极绝缘层包含在该栅极凹口中形成一层高k绝缘材料。
3.根据权利要求1所述的方法,其中该晶体管是平面型场效晶体管或FinFET晶体管之一。
4.根据权利要求1所述的方法,其中该晶体管是NMOS晶体管或PMOS晶体管之一。
5.根据权利要求1所述的方法,其中该介金属化合物材料是由金属硅化物材料或金属碳化物材料所组成。
6.根据权利要求1所述的方法,其中该沉积型介金属化合物材料是由后述之一所组成:硅化钨(WSix)、镍硅化物(NiSix)、铂硅化物(PtSi)、铒硅化物(ErSi)、铪硅化物(HfSi)、镱硅化物(YbSi)、钴硅化物(CoSi)、TiSi、TaSi、HfSi、HfC、TiC以及TaC。
7.根据权利要求1所述的方法,其中实施至少一制程操作以移除该介金属化合物材料位于该栅极凹口外侧的该部分包含实施至少一化学机械研磨制程以移除该介金属化合物材料位于该栅极凹外侧的该部位。
8.根据权利要求1所述的方法,其中该栅极凹口是由位于一层绝缘材料中的侧壁隔离物所界定。
9.根据权利要求1所述的方法,还包含于实施该沉积制程以沉积该介金属化合物材料之前,在该层绝缘材料之上形成由金属组成的至少一层。
10.一种形成晶体管用替换栅极结构的方法,其包含:
在半导体衬底之上形成牺牲栅极结构,该牺牲栅极结构包含至少一牺牲栅极电极;
迫近该牺牲栅极结构的对立侧形成至少一侧壁隔离物;
实施至少一蚀刻制程以移除至少该牺牲栅极电极结构从而界定该侧壁隔离物所界定的栅极凹口;
在该栅极凹中形成高k绝缘材料所组成的栅极绝缘层;
在该栅极绝缘层之上该栅极凹口中实施沉积制程以沉积金属硅化物材料;以及
实施至少一化学机械研磨制程以移除该金属硅化物材料位于该栅极凹口外侧的部分。
11.根据权利要求10所述的方法,其中该沉积型金属硅化物材料是由后述之一所组成:硅化钨(WSix)、镍硅化物(NiSix)、铂硅化物(PtSi)、铒硅化物(ErSi)、铪硅化物(HfSi)、镱硅化物(YbSi)、钴硅化物(CoSi)、TiSi、TaSi、HfSi、HfC、TiC以及TaC。
12.根据权利要求10所述的方法,还包含于实施该沉积制程以沉积该金属硅化物材枓之前,在该层绝缘材料之上形成由金属组成的至少一层。
13.一种形成第一与第二晶体管用替换栅极结构的方法,其包含:
在半导体衬底之上形成第一与第二牺牲栅极结构,各该第一与第二牺牲栅极结构包含至少一牺牲栅极电极;
实施至少一第一蚀刻程用以从各该第一与第二牺牲栅极结构移除至少该牺牲栅极电极结构从而界定第一栅极凹口与第二栅极凹口;
在该第一与第二栅极凹口中形成栅极绝缘层;
在该栅极绝缘层之上该第一与第二栅极凹口中实施第一沉积制程以沉积第一介金属化合物材料;
实施至少一第二蚀刻程以移除该第一介金属化合物材料位于该第二栅极凹口的部分;
在该第一介金属化合物材料之上和该第二栅极凹口中实施第二沉积制程以沉积第二介金属化合物,其中该第一介金属化合物材料与该第二介金属化合物材料为不同材料;以及
实施至少一制程操作以移除该第一与第二介金属化合物材料位于该第一与第二栅极凹口外侧的部分。
14.根据权利要求13所述的方法,其中在该第一与第二栅极凹口中形成该栅极绝缘层包含在第一与第二凹口中成高k绝缘材料层。
15.根据权利要求13所述的方法,其中该第一晶体管是PMOS晶体管以及该第二晶体管是NMOS晶体管。
16.根据权利要求13所述的方法,其中该第一晶体管是NMOS晶体管以及该第二晶体管是PMOS晶体管。
17.根据权利要求13所述的方法,其中该介金属化合物材料由金属硅化物材料或金属碳化物材料所组成。
18.根据权利要求13所述的方法,其中该第二介金属化合物材料由金属硅化物材料或金属碳化物材料组成。
19.根据权利要求13所述的方法,其中该第一沉积型介金属化合物材料由后述之一组成:硅化钨(WSix)、镍硅化物(NiSix)、铂硅化物(PtSi)、铒硅化物(ErSi)、铪硅化物(HfSi)、镱硅化物(YbSi)、钴硅化物(CoSi)、TiSi、TaSi、HfSi、HfC、TiC以及TaC。
20.根据权利要求13所述的方法,其中该第二沉积型介金属化合物材料由后述之一组成:硅化钨(WSix)、镍硅化物(NiSix)、铂硅化物(PtSi)、铒硅化物(ErSi)、铪硅化物(HfSi)、镱硅化物(YbSi)、钴硅化物(CoSi)、TiSi、TaSi、HfSi、HfC、TiC以及TaC。
21.根据权利要求13所述的方法,其中实施该至少一制程操作以移除该第一与第二介金属化合物材料位于该第一与第二栅极凹口外侧的该部分包含实施至少一化学机械研磨制程以移除该第一与第二介金属化合物材料位于一与第二栅极凹口外侧的该部分
22.根据权利要求13所述的方法,其中该第一栅极凹口是由位于一层绝缘材料中的第一侧壁隔离物所界定以及该第二栅极凹口是由位于该层绝缘材料中的第二侧壁隔离物所界定。
23.根据权利要求13所述的方法,还包含于实施该第一沉积制程以沉积该第一介金化合物材料之前,在该第一与第二栅极凹口中该层绝缘材料之上形成由金属所组成的至少一第一层。
24.根据权利要求13所述的方法,还包含于实施该第二沉积制程以沉积该第二介金属化合物材料之前,于该第二栅极凹口中该层绝缘材料之上形成由金属所组成的至少一第二层。
25.一种形成第一与第二晶体管用替换栅极结构的方法,其包含:
在半导体衬底之上形成第一与第二牺牲栅极结构,各该第一与第二牺牲栅极结构包含至少一牺牲栅极电极;
迫近各该第一与第二牺牲栅极结构的对立侧形成至少一侧壁隔离物;
实施至少一第一蚀刻制程用以从各该第一与第二牺牲栅极结构移除至少该牺牲栅极电极结构从而界定第一栅极凹口与第二栅极凹口;
在该第一与第二栅极凹口中形成由高k绝缘材料所组成的栅极绝缘层;
在该栅极绝缘层之上该第一与第二栅极凹口中实施第一沉积制程以沉积第一金属硅化物材料;
在该第一金属硅化物材料之上形成图案化掩模层,该图案化掩模层包覆将形成该第一晶体管处的第一区域并且曝露将形成该第二晶体管处的第二区域;
实施至少一第二蚀刻制程以移除该第一金属硅化物材料由该图案化掩模层所曝露的部分并且从而由该第二栅极凹口移除该第一金属硅化物材料;
在实施该至少一第二蚀刻制程后,移除该图案化掩模层;
在该第二栅极凹口中和该第一金属硅化物材料之上实施第二沉积制程以沉积第二金属硅化物材料;以及
实施至少一化学机械研磨制程以移除该第一与第二金属硅化物材料位于该第一与第二栅极凹口外侧的部分。
26.根据权利要求25所述的方法,其中该第一晶体管是PMOS晶体管以及该第二晶体管是NMOS晶体管。
27.根据权利要求25所述的方法,其中该第一晶体管是NMOS晶体管以及该第二晶体管是PMOS晶体管。
28.根据权利要求25所述的方法,其中该第一栅极凹口是由迫近该第一牺牲栅极结构的对立侧而设置的该至少一侧壁隔离物予以界定以及该第二栅极凹口是由迫近该第二牺牲栅极结构的对立侧而设置的该至少一侧壁隔离物予以界定。
CN201310361907.6A 2012-08-17 2013-08-19 形成具有栅极电极的替换栅极结构的方法 Active CN103594349B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/588,517 US9218975B2 (en) 2012-08-17 2012-08-17 Methods of forming a replacement gate structure having a gate electrode comprised of a deposited intermetallic compound material
US13/588,517 2012-08-17

Publications (2)

Publication Number Publication Date
CN103594349A true CN103594349A (zh) 2014-02-19
CN103594349B CN103594349B (zh) 2017-03-01

Family

ID=50084440

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310361907.6A Active CN103594349B (zh) 2012-08-17 2013-08-19 形成具有栅极电极的替换栅极结构的方法

Country Status (3)

Country Link
US (1) US9218975B2 (zh)
CN (1) CN103594349B (zh)
TW (1) TWI508296B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108122853A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 制造半导体元件的方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9196751B2 (en) 2013-03-14 2015-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Junction FET semiconductor device with dummy mask structures for improved dimension control and method for forming the same
US9112032B1 (en) * 2014-06-16 2015-08-18 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices
US9589806B1 (en) * 2015-10-19 2017-03-07 Globalfoundries Inc. Integrated circuit with replacement gate stacks and method of forming same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050136677A1 (en) * 2003-12-18 2005-06-23 Brask Justin K. Method for making a semiconductor device that includes a metal gate electrode
CN101246850A (zh) * 2007-02-15 2008-08-20 索尼株式会社 绝缘栅场效应晶体管及其制造方法
CN101681842A (zh) * 2007-05-14 2010-03-24 英特尔公司 具有无尖端外延源极/漏极区的半导体器件
CN101685800A (zh) * 2008-09-26 2010-03-31 台湾积体电路制造股份有限公司 半导体装置的制造方法
CN101752317A (zh) * 2008-11-14 2010-06-23 台湾积体电路制造股份有限公司 制造半导体装置的方法
US20120043623A1 (en) * 2010-08-19 2012-02-23 International Business Machines Corporation Method and structure for forming high-k/metal gate extremely thin semiconductor on insulator device
CN102640291A (zh) * 2009-12-30 2012-08-15 英特尔公司 自对准接触部

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8273645B2 (en) * 2008-08-07 2012-09-25 Texas Instruments Incorporated Method to attain low defectivity fully silicided gates
CN102420136B (zh) * 2010-09-25 2013-08-14 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
US8507338B2 (en) * 2011-08-08 2013-08-13 United Microelectronics Corp. Semiconductor structure and fabricating method thereof
US8691681B2 (en) * 2012-01-04 2014-04-08 United Microelectronics Corp. Semiconductor device having a metal gate and fabricating method thereof

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050136677A1 (en) * 2003-12-18 2005-06-23 Brask Justin K. Method for making a semiconductor device that includes a metal gate electrode
CN101246850A (zh) * 2007-02-15 2008-08-20 索尼株式会社 绝缘栅场效应晶体管及其制造方法
CN101681842A (zh) * 2007-05-14 2010-03-24 英特尔公司 具有无尖端外延源极/漏极区的半导体器件
CN101685800A (zh) * 2008-09-26 2010-03-31 台湾积体电路制造股份有限公司 半导体装置的制造方法
CN101752317A (zh) * 2008-11-14 2010-06-23 台湾积体电路制造股份有限公司 制造半导体装置的方法
CN102640291A (zh) * 2009-12-30 2012-08-15 英特尔公司 自对准接触部
US20120043623A1 (en) * 2010-08-19 2012-02-23 International Business Machines Corporation Method and structure for forming high-k/metal gate extremely thin semiconductor on insulator device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108122853A (zh) * 2016-11-29 2018-06-05 台湾积体电路制造股份有限公司 制造半导体元件的方法
CN108122853B (zh) * 2016-11-29 2022-03-01 台湾积体电路制造股份有限公司 半导体元件与制造半导体元件的方法
US11715639B2 (en) 2016-11-29 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method therefor

Also Published As

Publication number Publication date
TW201413964A (zh) 2014-04-01
CN103594349B (zh) 2017-03-01
US20140051240A1 (en) 2014-02-20
US9218975B2 (en) 2015-12-22
TWI508296B (zh) 2015-11-11

Similar Documents

Publication Publication Date Title
US9799751B1 (en) Methods of forming a gate structure on a vertical transistor device
US9530866B1 (en) Methods of forming vertical transistor devices with self-aligned top source/drain conductive contacts
US9530863B1 (en) Methods of forming vertical transistor devices with self-aligned replacement gate structures
CN102315127B (zh) 半导体装置与半导体元件的制法
US8753970B2 (en) Methods of forming semiconductor devices with self-aligned contacts and the resulting devices
US8492228B1 (en) Field effect transistor devices having thick gate dielectric layers and thin gate dielectric layers
US9147765B2 (en) FinFET semiconductor devices with improved source/drain resistance and methods of making same
CN104867967B (zh) 半导体器件及其制造方法
US8765586B2 (en) Methods of forming metal silicide regions on semiconductor devices
US20160093704A1 (en) Method for creating self-aligned transistor contacts
US9553140B2 (en) Integrated circuit and method of fabricating the same
US8658509B2 (en) Semiconductor resistors formed at a lower height level in a semiconductor device comprising metal gates
CN103219368A (zh) 在替代栅极结构上方形成栅极覆盖层的方法以及相关装置
CN105489490B (zh) 半导体元件及其制作方法
US20180286956A1 (en) Methods of forming a semiconductor device with a gate contact positioned above the active region
US20160372462A1 (en) Circuit incorporating multiple gate stack compositions
CN104867823A (zh) 半导体元件的制造方法及制得的元件
US11508738B2 (en) SRAM speed and margin optimization via spacer tuning
CN103165466A (zh) 包括多晶硅电阻器和金属栅极电阻器的半导体器件及其制造方法
US8338306B2 (en) Forming semiconductor resistors in a semiconductor device comprising metal gates by increasing etch resistivity of the resistors
CN103594349A (zh) 形成具有栅极电极的替换栅极结构的方法
US9666690B2 (en) Integrated circuit and method for fabricating the same having a replacement gate structure
US9362128B2 (en) Methods for fabricating integrated circuits and components thereof
US20100327370A1 (en) Non-planar embedded polysilicon resistor
US9929250B1 (en) Semiconductor device including optimized gate stack profile

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210426

Address after: California, USA

Patentee after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Patentee before: GLOBALFOUNDRIES Inc.