CN103210479A - Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film - Google Patents

Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film Download PDF

Info

Publication number
CN103210479A
CN103210479A CN2011800538803A CN201180053880A CN103210479A CN 103210479 A CN103210479 A CN 103210479A CN 2011800538803 A CN2011800538803 A CN 2011800538803A CN 201180053880 A CN201180053880 A CN 201180053880A CN 103210479 A CN103210479 A CN 103210479A
Authority
CN
China
Prior art keywords
transition zone
pore
gas
foaming agent
flow velocity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800538803A
Other languages
Chinese (zh)
Inventor
M·查布拉
K·S·伊姆
A·T·迪莫斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103210479A publication Critical patent/CN103210479A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

An improved method for depositing an ultra low dielectric constant film stack is provided. Embodiments of the invention minimize k (dielectric constant) impact from initial stages of depositing the ultra low dielectric constant film stack by reducing a thickness of an oxide adhesion layer in the ultra low dielectric film stack, thereby lowering the thickness non-uniformity of the film stack to less than 2%. The improved process deposits the oxide adhesion layer and the bulk layer in the ultra low dielectric film stack at lower deposition rate and lower plasma density in combination with higher total flow rate, resulting in better packing/ordering of the co-deposited species during film deposition which causes higher mechanical strength and lower porosity.

Description

In order to the adhesion layer thickness that reduces ultralow k dielectric film and the technology that improves anti-destructive
Background of invention
Background technology
The initial introduction since the semiconductor device before many decades, the geometry of this type of device dwindles dimensionally significantly.Afterwards, integrated circuit is followed the rule (being commonly referred to Moore's Law) that size every two years reduces by half substantially, and the number of devices that described rule is represented to be contained on the chip every two years can double.Manufacturing works now produce routinely have 65nm with in addition be the device of 45nm characteristic size, and the factory in future will produce the device with littler geometry soon.
In copper dual-damascene interconnection process technology, owing to must dwindle between the capacitive couplings between the adjacent wires further dwindling the device size on the integrated circuit, so the lasting reduction of device geometries need have the film than low-k (k) value.A kind of method of acquisition ultralow dielectric (k<2.5) that is used for is for making the mixed film of silicon matrix and organic pore-foaming agent, described mixed film is to be got with the compound deposition that comprises determination system of thermal unstable material or volatile groups (pore-foaming agent) by the admixture of gas that includes organic silicon compound, and then the film that will deposit carries out reprocessing with UV curing or heat treatment, by the determination system of thermal unstable material or the volatile groups that remove pore-foaming agent in the described film that has deposited, thereby produce pore of nanometer in these films, described method has reduced the dielectric constant of these films.
Known nano-pore (nanoporous) film for the tackness of following stopping/laying less than silica.Can obtain the improvement of tackness by the deposition oxide adhesion layer, described oxide adhesion layer can promote tackness at the interface.In order further to improve tackness, suggestion can stick together and main low k thin film deposition steps between use and have the gradient layer that increases carbon content gradually.Yet, the uncontrolled transfer that silicon and pore-foaming agent flow in this gradient layer can cause unexpected gas-phase reaction (owing to the various variations of RF power, pressure and flow velocity etc.), thereby causes swarm of particles and/or form the carbon projection in film or at interface at film.
In addition, known as the above-mentioned ultralow dielectric film of developing presents than the worse engineering properties of expectation, for example, bad mechanical strength (modulus approximates 4GPa), described bad mechanical strength make described film suffer damage easily during follow-up semiconductor processes step.And, constitute the major part of described dielectric film storehouse owing to the oxide adhesion layer that is used at present making ultralow dielectric film and following stopping/laying have preferable tackness, and described oxide adhesion layer has higher dielectric constant (k is about 3.5) and very high thickness heterogeneity usually, therefore can't be as reducing total dielectric constant and the thickness heterogeneity of the dielectric film storehouse that produces as the expection.
Therefore, need a kind of technology of making ultra-low dielectric constant material, described ultra-low dielectric constant material have improvement mechanical strength, reduction the thickness heterogeneity and make the k (dielectric constant) of the initial period of auto-deposition ultra-low dielectric constant material to increase to minimize, and can not work the mischief for the controllability of low applied thickness.
Technical field
The embodiment of the invention relates generally to the manufacturing of integrated circuit.More specifically, these embodiment relate to the technology for the low dielectric constant films of deposition integrated circuit.
Summary of the invention
The embodiment of the invention provides a kind of method substantially, is used for depositing the ultralow dielectric film with brand-new technological parameter.In one embodiment, described method comprises: admixture of gas is flowed in the treatment chamber, with by radio frequency (RF) power is applied to described treatment chamber, and depositing initial layers (oxide skin(coating)) at substrate, described admixture of gas comprises the flow velocity of one or more organosilicon compound flow rate and one or more pore-foaming agent compounds; Improve described one or more organosilicon compound flow rate, up to the final flow rate that reaches described one or more organo-silicon compound, in order to deposit first transition zone at described initial layers; And when described one or more organo-silicon compound flow with final flow rate, improve the flow velocity of described one or more pore-foaming agent compounds, up to the final flow rate that reaches described one or more pore-foaming agent compounds, in order to deposit second transition zone at described first transition zone, wherein to the low RF power of about 500W, carrying out described deposition between about 350W, and the ratio of described RF power and overall flow rate is to about 0.3W/sccm between about 0.1W/sccm.In execution mode, various processing parameters and predecessor will be discussed further.
In another embodiment, described method comprises the substrate that carrying pad/barrier layer is provided; With between about 1000
Figure BDA00003159748200031
To about 3500 Deposition rate, deposited carbon-containing oxide adhesion layer on described pad/barrier layer, described deposition comprises: admixture of gas is flowed in the treatment chamber, with by will about 300W under 13.56MHz being applied to described treatment chamber to radio frequency (RF) power level of about 600W, and depositing initial layers at substrate, described admixture of gas comprises the flow velocity of one or more organosilicon compound flow rate and one or more pore-foaming agent compounds; Improve described one or more organosilicon compound flow rate, up to the final flow rate that reaches described one or more organo-silicon compound, in order to deposit first transition zone at described initial layers; And improve the flow velocity of described one or more pore-foaming agent compounds, up to the final flow rate that reaches described one or more pore-foaming agent compounds, described one or more organo-silicon compound flow with final flow rate simultaneously, in order to deposit second transition zone at described first transition zone; At the low k film of described adhesion layer deposition; And solidify the low k film that has deposited, in order in the low k film that has deposited, to form nano-pore.In execution mode, various processing parameters and predecessor will be discussed further.
Description of drawings
More specific description of the present invention, above simplified summary can be understood by some embodiment that narrate in reference to the accompanying drawings, therefore detail knowledge above-mentioned feature of the present invention more.Yet, should be noted that accompanying drawing only illustrates exemplary embodiments of the present invention, and therefore should not be regarded as limitation of the scope of the invention, because other equivalent embodiment of tolerable of the present invention.
Figure 1A is the viewgraph of cross-section according to the formed dielectric film storehouse of the embodiment of the invention.
Figure 1B is the amplification cross-sectional view of the part of the film storehouse shown in Figure 1A.
Fig. 2 is process chart, and described process chart explanation is according to the method for the ultralow k nano-porous thin film of the deposition of embodiment of the invention storehouse.
Fig. 3 is the cross-sectional view of exemplary process chamber, and described treatment chamber can be used for implementing the embodiment of the invention.
Fig. 4 is by the depth distribution of sims analysis explanation concentration of element in organosilicate dielectric film storehouse.
Embodiment
The invention provides a kind of method that deposits low dielectric constant films.Described low dielectric constant films comprises silicon, oxygen, hydrogen and carbon.Proved that the embodiment of the invention can reduce adhesion layer by the thickness that reduces adhesion layer significantly for k (dielectric constant) influence of ultralow dielectric film storehouse.By adhesion layer thickness being reduced to approximately or being less than
Figure BDA00003159748200043
Figure BDA00003159748200041
Also can with ultralow dielectric film storehouse (less than
Figure BDA00003159748200042
) the thickness heterogeneity be reduced to less than 2%.As will be discussed, deposit the oxide adhesion layer of improvement with lower deposition rate and lower plasma density and higher overall flow rate, thereby during thin film deposition, produce the preferable encapsulation/ordering of codeposition material, this causes higher mechanical strength and lower porosity.The adhesion layer of improvement provides high energy of attachment, makes ultralow dielectric film and following stopping/laying have preferable tackness.The low dielectric film that produces has the hole of nano-scale and bore hole size distribution more closely.Described low dielectric constant films has about 3.0 or lower dielectric constant, and preferable is about 2.5 or lower.Described low dielectric constant films can have at least about 6.5GPa or higher modulus of elasticity.
Figure 1A schematically illustrates the viewgraph of cross-section according to the formed dielectric film storehouse 100 of the embodiment of the invention.Although not in this expression, but can consider that dielectric film storehouse 100 of the present invention can be used as the metal intermetallic dielectric layer in the dual-damascene structure, described dual-damascene structure comprises to replace or metal intermetallic dielectric layer (not shown) and one or more etch stop layer (not shown) of one or more nano-pores that desired sequence was deposited usually, and described etch stop layer is silica, silicon nitride, silicon oxynitride or armorphous hydrogenated silicon carbide.Then, antireflecting coating (not shown) and the groove photomask (not shown) that comprises photoresist layer are deposited on respectively on the thin layer that has deposited, and with habitual photoetching technique in the mode of development metallization structure with antireflecting coating and groove optical mask patternization, described metallization structure is filled with required metal (for example, copper).Can repeat described dual damascene and form technology, in order to deposit the interconnect levels of requirement.Can benefit from exemplary dual-damascene structure of the present invention and further in licensing to the U.S. Patent number 7,547,643 of common transfer of Francimar Schmitt etc. on June 16th, 2009, describe, incorporate among the present invention by reference in full.
Substantially, dielectric film storehouse 100 shown in Figure 1A comprises the substrate 102 of carrying pad/barrier layer 104, and described pad/barrier layer 104 is as follow-up adhesion layer 106 and following substrate surface 103 and be formed on separator between the metal wire 108 on the substrate surface 103.To hang down k layer 110 and be deposited on the adhesion layer 106, described low k layer 110 bound the layer 112 bind.Come the method for this type of dielectric film storehouse 100 of cutline deposition according to various embodiments of the present invention with reference to Fig. 2 and Figure 1B.
The illustrative processes that is used for the organic silicate layer of deposition
Fig. 2 is technological process Figure 200, and described technological process Figure 200 illustrates the method for deposit dielectric film storehouse 100 according to an embodiment of the invention.Substantially, typical porous dielectric films need deposit one or more organo-silicon compound and one or more unsaturated non-silicon compounds simultaneously, described organo-silicon compound become the silicon main chain, described one or more unsaturated non-silicon compounds have the thermally labile group, and described unsaturated non-silicon compound is as the pore-foaming agent of sacrificing.In step 202, the substrate 102 of carrying pad/barrier layer 104 is placed on the substrate support in the treatment chamber, described treatment chamber can be carried out plasma enhanced chemical vapor deposition (PECVD) technology.Can be by pecvd process, by plasma-deposited described pad/barrier layer 104, described plasma includes organic silane compound, ammonia, oxygen and inert substance.According to the method that is known in the art, described depositing operation can comprise capacitance coupling plasma or inductance and capacitance coupling plasma in treatment chamber.Can use inert gas (for example, helium, argon gas and nitrogen) to produce plasma.Usually in the PECVD deposition, use and assist plasma generation such as the inert gas of helium.
In step 204, with admixture of gas by gas distribution plate (for example, spray head) introduce in the treatment chamber, described admixture of gas has the component that comprises one or more organo-silicon compound, one or more pore-foaming agent compounds and one or more oxidizing gases.Before starting RF power, the initial gas component of oxygen and/or helium can be introduced in the treatment chamber, to stablize the environment of subsequent deposition.
In one embodiment, so that () flow velocity for example, between about 350 milligrams/minute to about 2500 milligrams/minute is introduced one or more organo-silicon compound in chambers between about 200 milligrams/minute to about 5000 milligrams/minute; With between about 100sccm to about 1000sccm(for example, between about 125sccm about 550sccm extremely) flow velocity, in one or more oxidizing gases introducing chambers; And with the flow velocity between about 50 milligrams/minute to about 5000 milligrams/minute (for example, between about 150 gram/minute to about 1500 gram/minute), one or more pore-foaming agent compounds are introduced in chambers.For the plasma treatment environment is provided in chamber, radio frequency (RF) power is applied to electrode, for example, spray head.The RF power that is fit to can be extremely in the about 2000W scope power of (for example, about 300W extremely about 600W) of about 10W under the frequency of about 13.56MHz.Under the situation that RF power exists, described admixture of gas reacts in chamber, comprises the initial layers 106a of oxide skin(coating) in order to deposition, and described oxide skin(coating) is adhered to following pad/barrier layer 104 securely.
Described admixture of gas alternative comprises one or more carrier gas.Usually, one or more carrier gas are introduced in the treatment chamber with one or more organo-silicon compound and one or more pore-foaming agent compounds.Spendable examples of carrier gases comprises the combination of helium, argon gas, carbon dioxide and aforementioned gas.In using the embodiment of helium as carrier gas, with between about 1500sccm to about 8000sccm(for example, between about 3500sccm about 5500sccm extremely) flow velocity, helium is introduced in the chamber with one or more organo-silicon compound.With between about 300sccm to about 1800sccm(for example, between about 700sccm about 1250sccm extremely) flow velocity, in helium and one or more pore-foaming agent compounds introducing chamber.
Initial layers 106a comprises silicon oxide layer substantially.As described below, initial layers 106a and the first transition zone 106b and second transition zone 106c(Figure 1B) constitute adhesion layer 106, the pad/barrier layer 104 below described adhesion layer 106 promotes and the tack between the follow-up low k layer 110.In one embodiment, the initial layers deposition can be carried out between about 0.5 second to about 10 seconds time range, as long as described deposition cycle is the adhesion that is long enough to guarantee whole film.In an example, initial layers deposits sustainable about 1 second.Initial layers 106a can be deposited into approximately
Figure BDA00003159748200061
To about
Figure BDA00003159748200062
Thickness in the scope is preferably approximately
Figure BDA00003159748200063
To about
Figure BDA00003159748200064
Should be taken into account, can adjust the description various cycles in the present invention according to the demand of specific embodiment.For example, when describing time range in certain embodiments and be about 0.5 second to about 10 seconds, sustainable 0 second of described start cycle.Represent that after air-flow was introduced chamber, will begin in a minute changed the flow velocity of air-flow 0 second start cycle.Therefore, should be taken into account the embodiment with start cycle.
Before the low k layer 110 of deposition, to carry out independent transfer step and be formed in the film to avoid any unwanted swarm of particles, described swarm of particles is the unexpected gas-phase reaction that flows owing to silicon and pore-foaming agent in the generation of gas distribution plate place.Also observe and enter in the chamber stably that liquid shifts the generation that can reduce the carbon projection significantly.Can be by under required raising speed, the transfer of two liquid precursor (that is, organo-silicon compound and pore-foaming agent compound) is separated these problems that solves.In the very first time of independent transfer step section 206, or simply be expressed as step 206, with between about 100 the milli Grams Per Seconds to about 5000 the milli Grams Per Seconds (for example, between about 800 the milli Grams Per Seconds to about 1200 the milli Grams Per Seconds) raising speed (for example, about 1000 milli Grams Per Seconds), improve one or more organosilicon compound flow rate gradually, under situation about existing at RF power, at the initial layers 106a deposition first transition zone 106b, up to reaching predetermined organic silicon compound gas mixture (see also Figure 1B, Figure 1B is that the part of the film storehouse shown in Figure 1A is amplified cross-sectional view).In the embodiment that uses the helium carrier gas, the flow velocity of one or more organo-silicon compound and helium can be reduced between about 2500sccm to the scope of about 4000sccm.In one embodiment, first transition zone deposition can be carried out about 0.5 second to about 10 seconds time range.In an example, the first transition zone sedimentation time can be about 1 second.The first transition zone 106b can be deposited into approximately
Figure BDA00003159748200071
To about
Figure BDA00003159748200072
(for example, approximately
Figure BDA00003159748200073
To about
Figure BDA00003159748200077
) scope in a thickness.
In second time period 208 of described transfer step, or simply be expressed as step 208, keep predetermined organic silicon compound gas mixture constant in, with between about 100 the milli Grams Per Seconds to about 5000 the milli Grams Per Seconds (for example, between about 200 the milli Grams Per Seconds to about 350 the milli Grams Per Seconds) raising speed (for example, about 300 milli Grams Per Seconds), improve the flow velocity of one or more pore-foaming agent compounds gradually in order to deposit the second transition zone 106c (Figure 1B) at the first transition zone 106b, up to reaching predetermined final admixture of gas.In the embodiment that uses the helium carrier gas, the flow velocity of one or more pore-foaming agent compounds and helium can be increased to the scope to about 2000sccm between about 800sccm.In one embodiment, second transition zone deposition can be carried out between about 1 second to about 180 seconds time range.In an example, the second transition zone sedimentation time can be about 3 seconds.The second transition zone 106c can be deposited into approximately
Figure BDA00003159748200075
To about
Figure BDA00003159748200076
Thickness, preferably about
Figure BDA00003159748200081
To about
Figure BDA00003159748200082
Between the depositional stage of initial layers 106a and the first transition zone 106b and the second transition zone 106c, preferably produce thin part 106 depositions of film storehouse (106a, 106b and 106c), as shown in the figure.The described thin part 106 of film storehouse provides tack preferable between ultralow dielectric film and the following stopping/laying as adhesion layer.In most embodiment, the thickness of described part can reduce makes an appointment with half, for example, is less than about 200 dusts.Can be by the duration section of relative weak point and/or the deposition that low deposition rate realizes the thin part 106 of film storehouse (106a, 106b and 106c).In one embodiment, the deposition rate of the thin part of film storehouse between about 1000 dusts/minute to about 3500 dusts/minute, for example, about 2500 dusts/minute.When the thin part 106 of film storehouse (106a, 106b and 106c) constitutes when having thickness less than the ultralow k nano-porous thin film storehouse (106a, 106b, 106c and 110) of 2000 dusts most of, can be by the thickness of the thin part that reduces described film storehouse, and the thickness heterogeneity of dielectric film storehouse 100 is reduced to less than 2%.The most important thing is that the thickness of the thin part 106 of film storehouse (106a, 106b and 106c) reduces the k influence that can make to whole nano-porous thin film storehouse and minimizes.
In step 210, after reaching final admixture of gas component, form the plasma of final admixture of gas, the organosilicate dielectric layer that contains pore-foaming agent with deposition (namely, low k layer 110), described final admixture of gas comprises the flow velocity of one or more organosilicon compound flow rate and one or more pore-foaming agent compounds.In one embodiment, low k layer deposition can be carried out between about 15 seconds to about 180 seconds time range.In an example, final layer sedimentation time can be about 130 seconds.Can be deposited into approximately hanging down k layer 110 To about
Figure BDA00003159748200084
Scope in thickness, till RF power stops.Without wanting to be limited by theory, believe by the raising speed of separating organo-silicon compound and pore-foaming agent compound, can obtain technology more stable and that can make, thereby produce the organosilicate dielectric layer with obvious less defect problem (for example, carbon projection).
Perhaps, can be with the step 208 of the deposition second transition zone 106c and step 210 merging of the final pore-foaming agent silicon oxide layer of deposition.In this type of embodiment, can improve the flow velocity of pore-foaming agent compound continuously, flow at the predetermined organic silicon compound gas mixture of pore-foaming agent silica depositional stage chien shih simultaneously.Step 208 can be carried out between about 1 second to about 180 seconds time range with the merging of step 210.In this way, final pore-foaming agent silicon oxide layer can have the gradient concentration of pore-foaming agent, and the pore-foaming agent concentration in described silicon oxide layer increases along with the deposition of pore-foaming agent silicon oxide layer.Described gradient layer can be deposited into approximately To about
Figure BDA00003159748200092
Thickness, preferably, approximately
Figure BDA00003159748200093
To about Till RF power stops.
During above-mentioned technology, usually substrate is maintained under the temperature of about 100 ℃ to about 400 ℃ (for example, between about 200 ℃ to about 350 ℃).Chamber pressure can be between about 1 holder (Torr) between about 20Torr, for example, between about 9Torr, and the spacing between substrate support and the chamber spray head can be between about 200mil extremely between about 1500mil between about 7Torr, for example, between about 280mil between about 450mil.For the substrate of 300mm, can use between about 100W to the RF power level between about 600W.To the frequency (for example, about 13.56MHz) of about 300MHz, providing described RF power between about 0.01MHz.Can under hybrid frequency (for example, in the high-frequency of about 13.56MHz and the low frequency of about 350kHz), provide RF power.Capable of circulation or pulsed RF power, reducing the heating of substrate, and promote in deposit film than macroporosity.According to application, described RF power also can be continuous or discrete.
In certain embodiments, use than low plasma density and higher overall flow rate.In order to obtain than low plasma density, can use between about 300W to about 600W(for example, between about 350W about 500W extremely) the RF power level.Using between about 350W to the situation of the RF power level of about 500W, preferably about 0.1W/sccm is to RF power/overall flow rate of about 0.3W/sccm.Perhaps, preferred about 0.2W/cm 3To about 0.5W/cm 3RF power/cumulative volume stream.Term " overall flow rate " or " cumulative volume stream " are intended to represent as discussed previously between depositional stage as used in this, introduce the admixture of gas for the treatment of chamber and mobile/volume of selectable carrier gas.This case inventor has found to use than low plasma density and higher overall flow rate, during thin film deposition, can allow the codeposition material than compact package, thereby produce higher mechanical strength, less bore hole size (less than
Figure BDA00003159748200095
) and cell size distribution of sizes more closely.By improving the anti-infringement of film to the subsequent device manufacturing process, significantly improve the mechanical integrity of film.
In any one embodiment as herein described, deposited the organosilicate dielectric layer that contains pore-foaming agent by the processing admixture of gas that includes organic silicon compound and pore-foaming agent.Described organic silicic acid salt deposit can be used as dielectric layer.Can use described dielectric layer in the different levels place in dual-damascene structure or suitable device.For example, described dielectric layer can be used as preceding metal dielectric layer, metal intermetallic dielectric layer or gate dielectric.The organic silicic acid salt deposit that deposits of identity basis various embodiment of the present invention can provide and be lower than 3.0 low-k, for example, and about 2.5.
Can use various processing admixture of gas to deposit the organosilicate dielectric layer, the limiting examples of this type of admixture of gas below will be provided.Substantially, admixture of gas comprises one or more organo-silicon compound (for example, first and second organo-silicon compound), one or more pore-foaming agent compounds, carrier gas and oxidizing gas.Comprise that (many other admixture of gas of) additional component for example, aliphatic hydrocarbon are not so should be considered as said components restriction such as hydrocarbon because consider.
As used herein term " organo-silicon compound " is intended to represent the silicon-containing compound that comprises carbon atom in organic group.Described organo-silicon compound can comprise the combination of one or more cyclic organosilicon compounds, one or more aliphat organo-silicon compound or aforesaid compound.Some exemplary organo-silicon compound comprise: methyldiethoxysilane (mDEOS), tetramethyl-ring tetrasiloxane (TMCTS), octamethylcy-clotetrasiloxane (OMCTS), trimethyl silane (TMS), the pentamethyl D5, hexamethyl cyclotrisiloxane, the dimethyl disiloxane, 2,6-dioxy base-4,8-dimethylene tetrasilane, tetramethyl disiloxane, HMDO (HMDS), two (silylation dimethylene) disiloxane of 1,3-, two (1-methyl disiloxanyl) methane, two (1-methyl disiloxanyl) propane, hexa methoxy disiloxane (HMDOS), dimethyldimethoxysil,ne (DMDMOS) and dimethoxymethylvinylchlane (DMMVS), the perhaps derivative of aforesaid compound.(flow velocity in) the scope for example, between about 350 milligrams/minute to about 2500 milligrams/minute can be introduced described one or more organo-silicon compound in treatment chamber with about 200 milligrams/minute to about 5000 milligrams/minute.
As used herein term " pore-foaming agent compound " is intended to represent the compound that comprises the thermally labile group.Described thermally labile group can be cyclic group, for example, and the unsaturated cyclic organic group.As used herein term " cyclic group " is intended to represent circulus.Described circulus can comprise few to three atoms.For example, these atoms can comprise the combination of carbon, nitrogen, oxygen, fluorine and aforementioned atom.Described cyclic group can comprise one or more singly-bounds, two key, triple bond and aforesaid any combination.For example, cyclic group can comprise the combination of one or more aromatic series, aromatic radical, phenyl, cyclohexane, cyclohexadiene, cycloheptadiene and aforementioned group.Described cyclic group also can be dicyclo or three rings.In one embodiment, the functional group bonding of described cyclic group and straight or branched.The functional group of described straight or branched preferably comprises alkyl or vinyl alkyl group, and has the carbon atom between 1 to 20.The functional group of described straight or branched also can comprise the oxygen atom in for example ketone, ether and the ester.Pore-foaming agent can comprise the ring-type hydrocarbon.Some spendable exemplary pore-foaming agents comprise: bicycloheptadiene (BCHD, two ring (2.2.1) heptan-2, the 5-diene), 1-methyl-4 (1-Methylethyl)-1,3-cyclohexadiene (ATP or α-terpinene), vinyl cyclohexane (VCH), phenylacetate, butadiene, isoprene, cyclohexadiene, bicyclo-heptadiene, 1-methyl-4-(1-Methylethyl) benzene (cumene), 3-corner of the eyes alkene, fenchone, citrene, cyclopentene oxide, vinyl-1,4-dioxin base ether, vinyl furyl ether, vinyl-1, the 4-dioxane, the vinyl furans, methylfuroate, formic acid furans ester, acetic acid furans ester, furfural, difuryl ketone, difuryl ether, furfuryl ether, furans and 1,4-dioxane, and the fluorocarbons derivative of aforesaid compound.(flow velocity in) the scope for example, between about 150 milligrams/minute to about 1500 milligrams/minute is introduced described one or more pore-foaming agent compounds in treatment chamber with about 50 milligrams/minute to about 5000 milligrams/minute.
As previously mentioned, described admixture of gas alternative comprises one or more carrier gas.Usually, one or more carrier gas are introduced in the described treatment chamber with one or more organo-silicon compound and one or more pore-foaming agent compounds.Spendable examples of carrier gases comprises: the combination of helium, argon gas, carbon dioxide and aforementioned gas.Partly according to the size of chamber interior, can be less than about 20, the flow velocity of 000sccm is introduced one or more carrier gas in treatment chamber.Flow rate of carrier gas is preferably at about 500sccm to the scope of about 5000sccm.In some technologies, before introducing reactive processing gas, will insert in the treatment chamber such as the inert gas of helium or argon gas, with the indoor pressure of stable cavity.
Admixture of gas also comprises one or more oxidizing gases.The oxidizing gas that is fit to comprises: oxygen (O 2), ozone (O 3), nitrous oxide (N 2O), carbon monoxide (CO), carbon dioxide (CO 2) with the combination of aforementioned gas.Partly according to the size of chamber interior, the flow velocity of described oxidizing gas can be about 100sccm to about 3, in the scope of 000sccm.Usually, the flow velocity of oxidizing gas is extremely about 1 for about 100sccm, in the scope of 000sccm, for example, about 450sccm.Enter before the deposition chambers and/or as in chamber, RF power is applied to when handling gas, in the microwave chamber, can produce oxygen or the dissociating of oxygenatedchemicals.
Aftertreatment technology
After the deposition low dielectric constant films, described film is carried out reprocessing.Can use thermal annealing separately or so that ultraviolet ray (UV) radiation is auxiliary film be carried out reprocessing, removing organic unstable material, and in final material, produce the hole down and go into thing.In one embodiment, with the UV curing process low dielectric constant films is carried out reprocessing.Can in same treatment chamber or system, original position carry out the UV reprocessing, for example, be transferred to another chamber by a chamber, and do not destroy vacuum state.Spendable exemplary UV post-treatment condition comprises: between chamber pressure and the substrate support temperature between about 350 ℃ to about 500 ℃ between of about 1Torr between about 10Torr.UV ray radiation source can be away from the about 100mil of substrate surface between about 1400mil.Selectively, during ultraviolet curing process, can introduce processing gas.The processing gas that is fit to comprises: oxygen (O 2), nitrogen (N 2), hydrogen (H 2), helium (He), argon gas (Ar), steam (H 2O), the combination of carbon monoxide, carbon dioxide, hydrocarbon gas, fluorocarbon gas and fluorinated hydrocarbon gas or aforementioned gas.
Can provide UV radiation by any UV source, for example, mercury microwave arc lamp, pulsed xenon flashing light or high efficiency UV light emitting diode matrix.Ultraviolet radiation can comprise a ultraviolet range, and comprises one or more synchronous mode wavelength.Suitable ultraviolet wavelength comprises between about 1nm between about 400nm, and can further comprise up to about 600 or the choosing wavelength of 780nm.In addition or alternatively, can or under the modulation between a plurality of expectation wavelength, apply described ultraviolet radiation in multi-wavelength, the radiation of adjustable wavelength and the radiation of adjustable power, and described ultraviolet radiation can be radiated or be applied by the ultra-violet lamp array by single UV lamp.The example that is fit to ultra-violet lamp comprises: the Zeridex that xenon is filled TMUltra-violet lamp, Ushio Excimer ultra-violet lamp, DSS ultra-violet lamp or mercury-arc lamp.The low dielectric constant films that has deposited is exposed to described ultraviolet radiation reaches between about 10 seconds to about 600 seconds, for example, between about 60 seconds to about 600 seconds.The wavelength of UV radiation can be between for example, and about 170nm is to about 400nm.The further details of spendable UV chamber and treatment conditions on May 9th, 2005 submit to and the common U.S. Patent Application Serial Number of transferring the possession of 11/124,908 in describe, described application is incorporated herein by reference.NanoCure from Applied Materials TMChamber is an example that can be used for the commercially available chamber of UV reprocessing.
In another embodiment, utilizing heat or plasma to strengthen annealing process comes low dielectric constant films is carried out reprocessing.Between about 200 ℃ to about 400 ℃ temperature, can be in chamber film being annealed reaches about 2 seconds to about 1 hour, preferably is about 30 minutes.To about 10, the speed of 000sccm is introduced non-reactive gas with about 100sccm, and described non-reactive gas for example is the mixing of helium, hydrogen, nitrogen or aforementioned gas.Chamber pressure is maintained between about 1Torr between about 10Torr.RF power during the annealing under the frequency of about 13.56MHz for about 200W to about 1,000W, and the substrate spacing that is fit to is between about 300mil extremely between about 800mil.Make described low dielectric constant films annealing at least some organic groups in the film that volatilized to about 400 ℃ substrate temperature at about 200 ℃ behind the described low dielectric constant films of deposition, thereby in film, forming hole.
In another embodiment, come low dielectric constant films is carried out reprocessing with electron beam treatment.Spendable exemplary electron beam condition comprises: the chamber temp between about 200 ℃ to about 600 ℃, for example, about 350 ℃ to about 400 ℃.Electron beam energy can be about 0.5keV to about 30keV.Exposing dosage to the open air can be between about 1 μ C/cm 2To about 400 μ C/cm 2Chamber pressure can be between about 1mTorr to about 100mTorr.Gaseous environment in the chamber can be any of following gas: any combination of the mixing of nitrogen, oxygen, hydrogen, argon gas, hydrogen and nitrogen, ammonia, xenon or aforementioned gas.Electron beam current can be between about 0.15mA to about 50mA.Electron beam treatment can be carried out and reach about 1 minute to about 15 minutes.Spendable exemplary electron beam chamber is EBk TMElectron beam chamber, described EBk TMElectron beam chamber can be available from the Applied Materials that is positioned at the Santa Clara city, yet can use any electron beam equipment.
The electronic beam curing process improving is the mechanical strength of deposit film network, and also reduces the k value.The electron beam that is excited changes the chemical bonding in the molecular network of deposit film, and the molecular radical from described thin film removing at least a portion, for example, from the ring-type organic component of one or more oxygen-free hydrocarbons, described oxygen-free hydrocarbon comprises a ring and one or two carbon-to-carbon double bond in described ring.Removing of molecular radical can produce hole or hole in described film, thereby reduces the k value.
Exemplary hardware
Fig. 3 shows the cross-sectional view of chemical vapor deposition (CVD) chamber 300, and described chamber 300 is used for the silicon oxide layer of deposit carbon-doped.Described figure is based at present by Applied Materials's manufacturing
Figure BDA00003159748200141
The feature of chamber.
Figure BDA00003159748200142
Chamber (200mm or 300mm) has the processing region of two isolation, and described processing region can be used for silica and other material of deposit carbon-doped.Have the chamber in two isolation processing zones at U.S. Patent number 5,855, describe in 681, described patent is incorporated herein by reference.
Deposition chambers 300 has chamber body 302, and described chamber body 302 defines the processing region 318,320 of separation.Each processing region 318,320 has pedestal 328, and described pedestal 328 is used at chamber 300 supporting substrates (not shown).Pedestal 328 comprises heating element (not icon) usually.Preferably, by trunk 326 pedestal 328 is arranged in each processing region 318,320 movably, described trunk 326 extends through the bottom of chamber body 302, and described trunk 326 is connected with drive system 303 in the bottom of chamber body 302.With inside movably lift pin (not shown) preferably be provided in the pedestal 328, in order to mesh the low surface of substrate.Can mesh described lift pin by elevating mechanism (not shown), in order to before handling, receiving substrate, or after deposition, promote substrate, to transfer to the next stop.
Each processing region 318,320 is air inclusion allocation component 308 preferably also, and described gas distribution assembly 308 arranges and passes Pit cover 304, in order to provide gas tangentially in the processing region 318,320.The gas distribution assembly 308 of each processing region comprises the gas access passage 340 that passes branch pipe 348 usually, and described branch pipe 348 distributes branch pipe 319 to pass baffler 346 by gas and then passes spray head 342 and come supply gas.Spray head 342 comprises a plurality of nozzles (not shown), during handling, by these nozzle injecting gas mixtures.RF (radio frequency) supply 325 provides bias to spray head 342, generates in order to help the plasma between spray head and pedestal 328.Performed depositing operation can be non-plasma technology or the plasma-enhanced process on the substrate pedestal 328 of cooling in deposition chambers 300.In plasma process, usually by the RF energy that is applied to spray head 342 from RF power provider 325 (with the pedestal 328 of ground connection), at the plasma of adjacent substrate place formation through control.Perhaps, under different frequency, RF power provider 325 can be provided to pedestal 328 or provide to different parts.
Can use high-frequency RF (HFRF) power and low frequency RF (LFRF) power (for example, double frequency RF), constant RF, pulsed RF or any known or still undiscovered plasma generation technique produce plasma.RF power provider 325 can be supplied between about 5MHz to the single-frequency RF between about 300MHz.In addition, RF power provider 325 also can be supplied between about 300Hz to about 1, the low frequency RF between the 000kHz, and the frequency of mixing with supply, and strengthen the decomposition of the reactive materials of introducing the processing gas in the treatment chamber.The described RF power of capable of circulation or pulse, reducing the heating of substrate, and promote in the deposit film than macroporosity.Suitable RF power can be about 10W power of (for example, at about 200W extremely in the scope of about 600W) to about 5000W scope.Suitable LFRF power can be about 0W power of (for example, at about 0W extremely in the scope of about 200W) to about 5000W scope.
The function of the various parts of system controller 334 controls is for example controlled RF power provider 325, drive system 303, elevating mechanism, gas distribution branch pipe 319 and other chamber that is associated and/or processing capacity.System controller 334 is carried out the system controlling software that is stored in the memory 338, and in preferred embodiment, described memory 338 is hard disk, and can comprise simulation and digital input/output board, interface board and stepper motor control board.Usually use optics and/or magnetic sensor to move and confirm the position of removable mechanical component.
Above-mentioned CVD system narration mainly is for reaching illustrative purposes, also can adopting other plasma process chamber to implement these embodiment of the present invention.
Example
The embodiment of the invention is showed the deposition of ultralow k nano-porous thin film, and described ultralow k nano-porous thin film has the trickle pore through disperseing.In aforesaid any one embodiment, following technological parameter and scope are conducive to main and/or adhesion layer depositing operation usually:
Parameter Scope
Heating-up temperature (℃) 200 to 350
Sedimentation time (second) 15 to 360
Pressure (Torr) 7 to 9
Spacing (mil) 280 to 450
HF?RF(Watt) 300 to 600
MDEOS (milligram) 200 to 2500
BCHD (milligram) 200 to 1600
Oxygen (sccm) 125 to 500
MDEOS helium flow rate of carrier gas (sccm) 500 to 5000
MBCHD helium flow rate of carrier gas (sccm) 500 to 1250
During the deposition adhesion layer, in various transfers, the raising speed of one or more organo-silicon compound and one or more pore-foaming agent compounds is usually respectively between between 800 milli Grams Per Second to the 1200 milli Grams Per Seconds and between 200 milli Grams Per Second to 350 milli Grams Per Seconds.Preferably, during various transfer step, only change in organo-silicon compound and the pore-foaming agent compound one flow, to avoid in film, producing any defective, as previously mentioned.
In an aforementioned specific embodiment relevant with Figure 1A and 1B, will contain the organosilicate dielectric layer deposition of pore-foaming agent on substrate.Use
Figure BDA00003159748200161
PECVD chamber in the system (that is, the CVD chamber) deposits described film, and is described
Figure BDA00003159748200162
System can be available from the Applied Materials that is positioned at the Santa Clara city.Between depositional stage, chamber pressure is maintained the pressure of about 6.5Torr, and substrate is maintained about 270 ℃ temperature.Substrate is placed on the substrate support that is arranged in the treatment chamber.Substrate is placed on the place apart from chamber spray head 450mil.
To handle admixture of gas and introduce in the chamber, and stablized flow velocity before starting RF power, the initial gas component of described processing gas is the oxygen of 300sccm and the helium of 3800sccm.Subsequently, RF power level that will about 600W under the 13.56MHz frequency is applied to spray head, to form the admixture of gas plasma, in order to the cvd silicon oxide initial layers, described admixture of gas comprises methyldiethoxysilane (mDEOS), and with about 600 milligrams flow velocity admixture of gas is introduced in the chamber.After starting about 1 second of RF power, with the raising speed of about 1000 milli Grams Per Seconds the flow velocity of mDEOS is increased to 2200 milligrams and reach about 1 second.In addition, helium mobile is reduced to about 3000sccm.
After reaching and keeping about 2200 milligrams/minute final mDEOS flow velocity, reach about 3 seconds in the mobile introducing chamber of raising speed with BCHD with about 400 milli Grams Per Seconds, to reach about 1300 milligrams pore-foaming agent deposition rate.Final admixture of gas component also comprises the helium of 3000sccm and the oxygen of 225sccm.After the expectation thickness that reaches the organosilicate dielectric layer that contains pore-foaming agent, stop RF power, to stop further deposition.After stopping RF power, open chamber throttle valve, extract out from chamber to allow handling admixture of gas.The independent transfer of liquid precursor entered the defective that can reduce in the chamber in the film.Carry out secondary ion mass spectroscopy (SIMS) and analyze the depth distribution of concentration of element in the dielectric film storehouse, as shown in Figure 4.The depth distribution of carbon is presented at carbon phase shift more smooth-going in these films, thereby the technology of hint usage example can not produce the carbon projection in film.
Should be taken into account the various variations that to implement above-mentioned example.For example, can use other organosilan predecessor, pore-foaming agent predecessor, oxidizing gas and inert gas.In addition, can adopt different flow velocitys and/or raising speed.According to application, when the each several part of deposit film (for example, initial layers 106a and/or transition zone 106b, 106c) time, can adjust the flow velocity of various predecessors, to change carbon content, make that the start-up portion of deposit film has low carbon content, and therefore be similar to oxide, part in succession has higher carbon content simultaneously, is similar to oxycarbide thereby become.
Proved that the embodiment of the invention can significantly reduce the oxide adhesion layer by the thickness that reduces adhesion layer to the k influence of ultralow dielectric film storehouse, described adhesion layer utilizes brand-new technological parameter to deposit.By adhesion layer thickness is reduced to approximately or less than
Figure BDA00003159748200187
Figure BDA00003159748200181
Also can with ultralow dielectric film storehouse (less than
Figure BDA00003159748200182
) the thickness heterogeneity be reduced to less than 2%.With about
Figure BDA00003159748200186
Deposit the oxide adhesion layer of improvement than low deposition rate and lower plasma density and higher overall flow rate (RF power/overall flow rate between about 0.1W/sccm between about 0.3W/sccm), thereby produce the preferable encapsulation/ordering of codeposition material during thin film deposition, described preferable encapsulation/ordering causes the higher mechanical strength of about 6.9Gpa.The adhesion layer of improvement provides enough good energy of attachment (about 4.5J/m2), makes between ultralow dielectric film and following stopping/laying to have preferable tack.The ultralow k nano-porous thin film that produces has between about To about
Figure BDA00003159748200185
Smaller aperture due and the closely bore hole size with porosity of about 15% to about 25% distribute.
Although above stated specification relates to these embodiment of the present invention, can carry out of the present invention other with further embodiment, and do not depart from base region of the present invention, and decide scope of the present invention by appended claim.

Claims (15)

1. the method for a treatment substrate, described substrate is arranged in the treatment chamber, and described method comprises:
Admixture of gas is flowed in the described treatment chamber, with by radio frequency (RF) power is applied to described treatment chamber, and initial layers is deposited on the described substrate, described admixture of gas comprises the flow velocity of one or more organosilicon compound flow rate and one or more pore-foaming agent compounds;
Improve the described flow velocity of described one or more organo-silicon compound, up to the final flow rate that reaches described one or more organo-silicon compound, in order to deposit first transition zone at described initial layers; And
Improve the described flow velocity of described one or more pore-foaming agent compounds, up to the final flow rate that reaches described one or more pore-foaming agent compounds, described one or more organo-silicon compound flow with described final flow rate simultaneously, in order to deposit second transition zone at described first transition zone, wherein the ratio of described RF power and overall flow rate be between about 0.1W/sccm to about 0.3W/sccm, carry out the described deposition of described initial layers, described first transition zone and second transition zone.
2. the method for claim 1 was carried out in the described time cycle that is deposited between about 0.5 second to about 5 seconds of wherein said initial layers.
3. the method for claim 1, the described deposition of wherein said first transition zone and second transition zone are respectively between carrying out between about 1 second to about 5 seconds and in the time cycle between about 1 second to about 10 seconds.
4. the method for claim 1, wherein described one or more organo-silicon compound being introduced in the described chamber to about 700 milligrams flow velocity between about 200 milligrams, and with between about 200 milligrams extremely about 1600 milligrams flow velocity described one or more pore-foaming agent compounds are introduced in the described chamber.
5. the method for claim 1, wherein with between
Figure FDA00003159748100013
To about
Figure FDA00003159748100014
Between deposition rate and between the low RF power of about 350W between about 500W, carry out the described deposition of described initial layers, described first transition zone and described second transition zone.
6. the method for claim 1, wherein with the raising speed between the extremely about 1500 milli Grams Per Seconds of about 600 milli Grams Per Seconds, improve the described flow velocity of described one or more organo-silicon compound, and with the raising speed between the extremely about 600 milli Grams Per Seconds of about 200 milli Grams Per Seconds, improve the described flow velocity of described one or more pore-foaming agent compounds.
7. the method for claim 1, wherein said admixture of gas further comprises one or more oxidizing gases and inert gas, described one or more oxidizing gases are selected from the group that is made up of following: ozone, oxygen, carbon dioxide, carbon monoxide, water, nitrous oxide, 2, the described inert gas of the combination of 3-diacetyl and aforementioned gas is selected from the group that is made up of helium, argon gas or nitrogen.
8. the method for claim 1, wherein said one or more organo-silicon compound are selected from the group that is made up of following: methyldiethoxysilane (mDEOS), tetramethyl-ring tetrasiloxane (TMCTS), octamethylcy-clotetrasiloxane (OMCTS), trimethyl silane (TMS), the pentamethyl D5, hexamethyl cyclotrisiloxane, the dimethyl disiloxane, 2,6-dioxy base-4,8-dimethylene tetrasilane, tetramethyl disiloxane, HMDO (HMDS), two (silylation dimethylene) disiloxane of 1,3-, two (1-methyl disiloxanyl) methane, two (1-methyl disiloxanyl) propane, hexa methoxy disiloxane (HMDOS), dimethyldimethoxysil,ne (DMDMOS), dimethoxymethylvinylchlane (DMMVS), and the derivative of aforesaid compound.
9. the method for claim 1, wherein said one or more pore-foaming agent compounds are selected from the group that is made up of following: bicycloheptadiene (BCHD; Two ring (2.2.1) heptan-2, the 5-diene), 1-methyl-4 (1-Methylethyl)-1,3-cyclohexadiene (ATP or α-terpinene), vinyl cyclohexane (VCH), phenylacetate, butadiene, isoprene, cyclohexadiene, bicyclo-heptadiene, 1-methyl-4-(1-Methylethyl) benzene (cumene), 3-corner of the eyes alkene, fenchone, citrene, cyclopentene oxide, vinyl-1,4-dioxin base ether, vinyl furyl ether, vinyl-1, the 4-dioxane, the vinyl furans, methylfuroate, formic acid furans ester, acetic acid furans ester, furfural, difuryl ketone, difuryl ether, furfuryl ether, furans, 1,4-dioxane, and the fluorocarbons derivative of aforesaid compound.
10. the method for a treatment substrate, described substrate is arranged in the treatment chamber, and described method comprises:
The substrate of carrying pad/barrier layer is provided;
With between approximately
Figure FDA00003159748100023
To about
Figure FDA00003159748100024
Between deposition rate, the carbon oxide adhesion layer is deposited on described pad/barrier layer top, described deposition comprises:
Admixture of gas is flowed in the described treatment chamber, with by will about 300W under the 13.56MHz frequency being applied to described treatment chamber to radio frequency (RF) power level of about 600W, and depositing initial layers at described substrate, described admixture of gas comprises the flow velocity of one or more organosilicon compound flow rate and one or more pore-foaming agent compounds;
Improve the described flow velocity of described one or more organo-silicon compound, up to the final flow rate that reaches described one or more organo-silicon compound, in order to deposit first transition zone at described initial layers; And
Improve the described flow velocity of described one or more pore-foaming agent compounds, up to the final flow rate that reaches described one or more pore-foaming agent compounds, described one or more organo-silicon compound flow with described final flow rate simultaneously, in order to deposit second transition zone at described first transition zone;
To hang down the k thin film deposition above described adhesion layer; And
Solidify the described low k film that has deposited, in order in described film, to form nano-pore.
11. method as claimed in claim 10, wherein said initial layers described is deposited on carry out described first transition zone in time cycle in about 0.5 second to about 5 seconds scope described and is deposited in time cycle in about 1 second to about 5 seconds scope and carries out, and described second transition zone described is deposited in time cycle in about 1 second to about 10 seconds scope and carries out.
12. method as claimed in claim 11, wherein described one or more organo-silicon compound being introduced in the described chamber to about 700 milligrams flow velocity between about 200 milligrams, and with between about 200 milligrams extremely about 1600 milligrams flow velocity described one or more pore-foaming agent compounds are introduced in the described chamber.
13. method as claimed in claim 10 wherein deposits described initial layers, described first transition zone and described second transition zone, to provide approximately
Figure FDA00003159748100031
To about Scope in gross thickness.
14. method as claimed in claim 10, wherein with the raising speed between the extremely about 1500 milli Grams Per Seconds of about 600 milli Grams Per Seconds, improve the described flow velocity of described one or more organo-silicon compound, and with the raising speed between the extremely about 600 milli Grams Per Seconds of about 200 milli Grams Per Seconds, improve the described flow velocity of described one or more pore-foaming agent compounds.
15. method as claimed in claim 10, wherein the ratio of described RF power and overall flow rate be between about 0.1W/sccm between about 0.3W/sccm, carry out the described deposition of described initial layers, described first transition zone and second transition zone.
CN2011800538803A 2010-11-12 2011-10-21 Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film Pending CN103210479A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/945,625 2010-11-12
US12/945,625 US20120121823A1 (en) 2010-11-12 2010-11-12 Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
PCT/US2011/057343 WO2012064491A2 (en) 2010-11-12 2011-10-21 Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film

Publications (1)

Publication Number Publication Date
CN103210479A true CN103210479A (en) 2013-07-17

Family

ID=46048004

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800538803A Pending CN103210479A (en) 2010-11-12 2011-10-21 Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film

Country Status (6)

Country Link
US (1) US20120121823A1 (en)
JP (1) JP2014503991A (en)
KR (1) KR20130124511A (en)
CN (1) CN103210479A (en)
TW (1) TW201230192A (en)
WO (1) WO2012064491A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105448705A (en) * 2014-06-18 2016-03-30 无锡华润上华科技有限公司 Method for removing tiny particles on wafer oxidation film, and oxidation film
CN107980172A (en) * 2015-08-27 2018-05-01 应用材料公司 The thick TEOS oxide of VNAND stretchings
CN113261125A (en) * 2018-12-14 2021-08-13 赫里亚泰克有限责任公司 Stabilization of laser-structured organic photovoltaic devices

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9054110B2 (en) * 2011-08-05 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Low-K dielectric layer and porogen
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102136769B1 (en) * 2013-03-14 2020-07-22 어플라이드 머티어리얼스, 인코포레이티드 Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
US9365924B2 (en) * 2013-05-23 2016-06-14 Asm Ip Holding B.V. Method for forming film by plasma-assisted deposition using two-frequency combined pulsed RF power
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10707165B2 (en) * 2017-04-20 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having an extra low-k dielectric layer and method of forming the same
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (en) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. Devices with clean compact zones
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10957781B2 (en) * 2018-07-31 2021-03-23 International Business Machines Corporation Uniform horizontal spacer
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TW202405220A (en) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
CN111593319B (en) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling recesses formed in a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202202649A (en) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11600486B2 (en) * 2020-09-15 2023-03-07 Applied Materials, Inc. Systems and methods for depositing low-κdielectric films
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11621162B2 (en) 2020-10-05 2023-04-04 Applied Materials, Inc. Systems and methods for forming UV-cured low-κ dielectric films
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US20080070421A1 (en) * 2006-09-20 2008-03-20 Ping Xu Bi-layer capping of low-k dielectric films
CN101187011A (en) * 2006-11-21 2008-05-28 应用材料股份有限公司 Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US20090017231A1 (en) * 2007-07-12 2009-01-15 Kang Sub Yim Novel silicon precursors to make ultra low-k films with high mechanical properties by plasma enhanced chemical vapor deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
CN101109074A (en) * 2006-07-07 2008-01-23 应用材料股份有限公司 Method to reduce gas-phase reactions in a pecvd process with silicon and organic precursors to deposit defect-free initial layers
US20080070421A1 (en) * 2006-09-20 2008-03-20 Ping Xu Bi-layer capping of low-k dielectric films
CN101187011A (en) * 2006-11-21 2008-05-28 应用材料股份有限公司 Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US20090017231A1 (en) * 2007-07-12 2009-01-15 Kang Sub Yim Novel silicon precursors to make ultra low-k films with high mechanical properties by plasma enhanced chemical vapor deposition

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105448705A (en) * 2014-06-18 2016-03-30 无锡华润上华科技有限公司 Method for removing tiny particles on wafer oxidation film, and oxidation film
CN105448705B (en) * 2014-06-18 2018-05-04 无锡华润上华科技有限公司 The method and its oxide-film of particulate on a kind of elimination chip oxide film
CN107980172A (en) * 2015-08-27 2018-05-01 应用材料公司 The thick TEOS oxide of VNAND stretchings
CN113261125A (en) * 2018-12-14 2021-08-13 赫里亚泰克有限责任公司 Stabilization of laser-structured organic photovoltaic devices

Also Published As

Publication number Publication date
US20120121823A1 (en) 2012-05-17
WO2012064491A2 (en) 2012-05-18
TW201230192A (en) 2012-07-16
KR20130124511A (en) 2013-11-14
JP2014503991A (en) 2014-02-13
WO2012064491A3 (en) 2012-08-16

Similar Documents

Publication Publication Date Title
CN103210479A (en) Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
CN101109074B (en) Method to reduce gas-phase reactions in a pecvd process with silicon and organic precursors to deposit defect-free initial layers
CN1938833B (en) Techniques promoting adhesion of porous low k film to underlying barrier layer and interconnection structure
CN100594259C (en) Interface engineering to improve adhesion between low k stacks
TWI388685B (en) Novel silicon precursors to make ultra low-k films with high mechanical properties by plasma enhanced chemical vapor deposition
CN110313051A (en) Silicon carbide film is densified using remote plasma treatment
TWI517298B (en) Controlled air gap formation
TW201417179A (en) Low cost flowable dielectric films
TW201623669A (en) Flowable dielectric for selective ultra low-k pore sealing
JP2013102174A (en) Method to obtain low k dielectric barrier with superior etching resistivity
KR100899726B1 (en) Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US20070134435A1 (en) Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
TWI388687B (en) Novel silicon precursors to make ultra low-k films with high mechanical properties by plasma enhanced chemical vapor deposition
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
US20150196933A1 (en) Carbon dioxide and carbon monoxide mediated curing of low k films to increase hardness and modulus
KR20050091780A (en) Method and apparatus to improve cracking thresholds and mechanical properties of low-k dielectric material
JP2011528508A (en) Method for promoting adhesion between a barrier layer and a porous low-k film deposited from various liquid precursors

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130717