CN103012457B - Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same - Google Patents

Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same Download PDF

Info

Publication number
CN103012457B
CN103012457B CN201210392207.9A CN201210392207A CN103012457B CN 103012457 B CN103012457 B CN 103012457B CN 201210392207 A CN201210392207 A CN 201210392207A CN 103012457 B CN103012457 B CN 103012457B
Authority
CN
China
Prior art keywords
halo
amino silane
organic amino
precursor
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210392207.9A
Other languages
Chinese (zh)
Other versions
CN103012457A (en
Inventor
萧满超
雷新建
M·L·奥内尔
韩冰
R·M·皮尔斯泰恩
H·钱德拉
H·R·伯文
A·德雷克斯凯-科瓦克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to CN201710325154.1A priority Critical patent/CN107312028B/en
Priority to CN201711096518.XA priority patent/CN107857774A/en
Publication of CN103012457A publication Critical patent/CN103012457A/en
Application granted granted Critical
Publication of CN103012457B publication Critical patent/CN103012457B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/12Organo silicon halides
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Precursors and methods of forming thin films are described. In one aspect, a silicon precursor having the following formula I is provided: x mR 1 nH pSi(NR 2R 3) 4‑m‑n‑pI, wherein X is selected from C1, Br and I; r1 is selected from straight or branched chain C 1‑C 10Alkyl radical, C 2‑C 12Alkenyl radical, C 2‑C 12Alkynyl, C 4‑C 10Cycloalkyl and C 6‑C 10An aryl group; r 2Selected from straight or branched C 1‑C 10Alkyl radical, C 3‑C 12Alkenyl radical, C 3‑C 12Alkynyl, C 4‑C 10Cycloalkyl and C 6‑C 10An aryl group; r 3Selected from the group consisting of branched chains C 3‑C 10Alkyl radical, C 3‑C 12Alkenyl radical, C 3‑C 12Alkynyl, C 4‑C 10Cycloalkyl and C 6‑C 10An aryl group; m is 1 or 2; n is 0, 1 or 2; p is 0, 1 or 2; and m + n + p is less than 4, wherein R 2And R 3The linkage forms a ring or is not linked.

Description

The organic amino silane precursor of halo and the membrane deposition method comprising the precursor
The cross reference of related application
The application requires the priority of following application according to 35U.S.C.119:The U.S. that September in 2011 is submitted on the 27th is interim Application number 61/539,717, the content disclosed by it are integrally hereby incorporated herein by.
Background technology
It is described this document describes the organic amino silane precursor of the precursor that can be used for deposited dielectric films, particularly halo Dielectric film includes, but not limited to silicon-containing film such as silicon, amorphous silicon, crystalline silicon, microcrystal silicon, polysilicon, silicon nitride, oxygen SiClx, the silica of carbon doping, carbonitride of silicium and silicon oxynitride film.In another aspect, this document describes contain for depositing Purposes of the organic amino silane precursor of halo of silicon dielectric film in IC-components are manufactured.In these or others side Face, the organic amino silane precursor of halo can be used for a variety of depositing operations based on gas phase, include, but are not limited to ald (" ALD "), chemical vapor deposition (" CVD "), cyclic chemical vapor deposition (" CCVD "), plasma enhanced chemical vapor deposition (" PECVD "), low-pressure chemical vapor deposition (" LPCVD ") and aumospheric pressure cvd (" APCVD ") are heavy based on liquid Product technique, includes, but are not limited to spin coating, dip-coating, aerosol, ink-jet, silk-screen printing or jet deposition or thin film forming method.
A few class compounds can be used as the precursor of silicon-containing film (such as, but not limited to, silica or silicon nitride film).It is adapted to The example of these compounds as precursor includes silanes, chlorosilane, polysilazane class, amino silicone alkanes and azido silicon Alkanes.Inert carrier gas or diluent are also used for conveying precursor into reative cell (such as, but not limited to, helium, hydrogen, nitrogen etc.).
United States Patent (USP) 6,869,638 is described using the amino silane compounds of metal amide and following formula on substrate Form grid dielectric film such as grid dielectric, high-k metal oxide and ferroelectric metal oxides CVD methods: HxSiAy(NR1R2)4-x-y, wherein H is hydrogen;X is 0 to 3;N is nitrogen, each R1And R2It is identical or different and independently selected from the following group:H、 Aryl, perfiuoroaryl, C1-C8Alkyl and C1-C8Perfluoroalkyl;And n is 1-6.Amino silicone described in No. 6,869,638 patents The example of alkane precursor includes two (diethylamino) dichlorosilanes and three (diethylamino) chlorosilanes.
WO 2011/123792, which describes to be formed by the combination of amino-metal precursor and halo metal precursor, contains metal-nitrogen ALD methods low temperature, based on heat or plasma of the film of compound, preferably from amino silane precursor and chlorosilane precursor Combination forms the film containing SiN.Described in the WO 2011/12792 applications comprising before amino chlorosilane and Aminoalkylsilanes The amino silane precursor of body, the amino chlorosilane have formula Cl4-xSi(NR’R”)x, wherein x=2 or 3, R ' and R " are independently Selected from H or alkyl, and R and R " can be connected to form ring structure, and the Aminoalkylsilanes precursor has formula R ' "4-xSi(NR’ R”)x, wherein x=1,2 or 3, R ' and R " can be connected to form ring structure, and R ' independently selected from H or alkyl, R ' and R " " be Alkyl having less than three carbon.
Bibliography " Substitution of chlorine in silicon tetrachloride Bydimethyl, diethylamino, and piperidino groups ", Breederveld etc., Research (London) 5:537-9 (1952) describes progressively replaces SiCl by using dialkyl amido4In atom synthesize dialkyl amido chlorine silicon Alkane, so as to produce one or more following compounds:Diethyl amino base trichlorosilane, two (diethylamino) dichlorosilanes, three (diethylamino) chlorosilane or four (diethylamino) silane.Similar process is used to prepare piperidino trichlorosilane and two Piperidino dichlorosilane.
Bibliography " Molecular structures of some (dimethylamino) halogenosilanes in the gas phase by electrondiffraction and the crystal and molecular structures on mono-anddi-chloro(dimethylamino)silane by x-ray diffraction at Lowtemperatures ", Anderson etc., J.Chem.Soc., (1987) describe (dimethylamino) halogenated silanes SiH2X (NMe2), wherein X=Cl, Br or I.
Bibliography " Chloroaminosilanes.I.Preparation ofchloro (dimethylamino) Hydrogen silanes ", Washburne etc., Inorg.Nucl.Chem., 5 (1):17-19 (1969) is described HSiCl2NMe2(I)、HSiCl(NMe2)2And HSi (NMe (II)2)3(III) preparation and the related chemistry of these compounds Matter.
Bibliography " Preparation of β-cyanoethyltrichlorosilane usingsilylamine Catalysts ", Pike etc., Journal of Organic Chemistry, 27 (6):21-90-92 (1962) is described (CH3)3SiNR2Type silylamine, it is proved to be directional catalyst by the way that trichlorosilane is added on acrylonitrile.The ginseng An example for examining the silylamine described in document be (iPr2N)SiCl2H。
There is a need in the field to provide available for depositing silicon-containing films and provide the precursor of one or more advantages below:Low adds Work temperature (for example, 300 DEG C or less);Relatively good sedimentation rate;Composition homogeneity and/or high-purity.
Invention summary
This document describes the organic amino silane precursor of halo and use it for being formed at least a portion of substrate and include The method of the film of silicon, silicon-containing film such as, but not limited to silicon thin film, silica, the silica of carbon doping, silicon nitride, oxynitriding Silicon, carborundum, carbonitride of silicium and combinations thereof.There is disclosed herein object to be processed (such as, for example, semiconductor die Piece) on form the method for dielectric film or coating.In an embodiment of methods described herein, the layer comprising silicon and oxygen exists Generate substrate on silicon oxide layer under conditions of, in settling chamber using the organic amino silane precursor of halo, optional one kind or A variety of other non-halogenated organic amino silane precursors and oxidant and deposit on substrate.In another of methods described herein In embodiment, under conditions of silicon nitride layer of the layer comprising silicon and nitrogen on generation substrate, before using halo in settling chamber Body, optional one or more non-halogenated organic amino silane precursors and contain nitrogen precursor and deposit on substrate.Further In embodiment, the organic amino silane precursor of halo as described herein be also used as metal-containing thin film (such as, but not limited to, Metal-oxide film or metal nitride film) dopant.It is as described herein with Formulas I in methods described herein The organic amino silane of halo is used as at least one and contains silicon precursor.
As understood by the skilled person, in Formulas I described herein, in R2And R3Link together with shape In the case of cyclization, R2Including being used for and R3The key (rather than hydrogen substituent) of connection, vice versa.Therefore, in R2And R3Connection Together to form ring in the case of, R2Selected from straight or branched C1-C10Alkylidene, C3-C12Alkenylene, C3-C12Alkynylene, C4- C10Cycloalkylidene and C6-C10Arlydene;R3Selected from side chain C3-C10Alkylidene, C3-C12Alkenylene, C3-C12Alkynylene, C4-C10It is sub- Cycloalkyl and C6-C10Arlydene.
In one aspect, the organic amino silane precursor of halo as described herein includes the silicon precursor with following formula I:
XmR1 nHpSi(NR2R3)4-m-n-p I
Wherein X is the halogen selected from Cl, Br, I;R1Independently selected from straight or branched C1-C10Alkyl, C2-C12Alkenyl, C2- C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;M is 1 or 2;N is 0,1 or 2;P is 0,1 or 2;And (m+n+p) and less than 4, and wherein R2And R3Connection is with shape Cyclization or R2And R3It is not connected to form ring.In some embodiments, the R in Formulas I2And R3Ring can be joined together to form. In other embodiments, the R in Formulas I2And R3It is not attached to and forms ring together.
In another aspect, the method that silicon-containing film is formed at least one surface of substrate is additionally provided, it includes:
At least one surface of the substrate is provided in the reaction chamber;With
By the depositing operation selected from chemical vapor deposition method and atom layer deposition process, the halogen with following formula I is used For organic amino silane precursor silicon-containing film is formed on described at least one surface:
XmR1 nHpSi(NR2R3)4-m-n-p I
Wherein X is the halogen selected from Cl, Br, I;R1Independently selected from straight or branched C1-C10Alkyl, C2-C12Alkenyl, C2- C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;M is 1 or 2;N is 0,1 or 2;P is 0,1 or 2;And (m+n+p) and less than 4, and wherein R2And R3Connection is with shape Cyclization or R2And R3It is not connected to form ring.In an embodiment of Formulas I, R2And R3It can be joined together to form Ring.In another embodiment of Formulas I, R2And R3It is not attached to and forms ring together.
In another aspect, there is provided silica is formed by atom layer deposition process or cyclic chemical vapor deposition technique The method of film, this method comprise the following steps:
A., substrate is provided in the reactor;
B. introduced into reactor and be selected from least the one of the organic amino silane precursor of at least one halo represented by following formula I Kind silicon precursor:
XmR1 nHpSi(NR2R3)4-m-n-p I
Wherein X is the halogen selected from Cl, Br, I;R1Independently selected from straight or branched C1-C10Alkyl, C2-C12Alkenyl, C2- C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;M is 1 or 2;N is 0,1 or 2;P is 0,1 or 2;And (m+n+p) and less than 4, and wherein R2And R3Connection is with shape Cyclization or R2And R3It is not connected to form ring;
C. purge gas purge is used;
D. oxygen source is introduced into reactor;
E. purge gas purge is used;With
Repeat step b to e is until film thickness desired by acquisition.
In a further aspect, there is provided silicon oxide film is formed at least one surface of substrate using CVD techniques Method, including:
A., substrate is provided in the reactor;
B. the organic amino silane precursor of at least one halo represented by following formula I is introduced into reactor:
XmR1 nHpSi(NR2R3)4-m-n-p I
Wherein X is the halogen selected from Cl, Br, I;R1Independently selected from straight or branched C1-C10Alkyl, C2-C12Alkenyl, C2- C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;M is 1 or 2;N is 0,1 or 2;P is 0,1 or 2;And (m+n+p) and less than 4, and wherein R2And R3Connection is with shape Cyclization or R2And R3It is not connected to form ring;With
C. oxygen source is provided with silicon oxide film at least one surface.
In another aspect, there is provided silicon nitride is formed by atom layer deposition process or cyclic chemical vapor deposition technique The method of film, this method comprise the following steps:
A., substrate is provided in the reactor;
B. at least one silicon precursor represented by following formula I is introduced into reactor:
XmR1 nHpSi(NR2R3)4-m-n-p I
Wherein X is the halogen selected from Cl, Br, I;R1Independently selected from straight or branched C1-C10Alkyl, C2-C12Alkenyl, C2- C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;M is 1 or 2;N is 0,1 or 2;P is 0,1 or 2;And (m+n+p) and less than 4, and wherein R2And R3Connection is with shape Cyclization or R2And R3It is not connected to form ring;
C. purge gas purge is used;
D. introduced into reactor and contain nitrogen source;
E. purge gas purge is used;With
Repeat step b to e is until silicon nitride film thickness desired by acquisition.
In a further aspect, there is provided silicon nitride film is formed at least one surface of substrate using CVD techniques Method, including:
A., substrate is provided in the reactor;
B. at least one organic amino silane precursor represented by following formula I is introduced into reactor:
XmR1 nHpSi(NR2R3)4-m-n-p I
Wherein X is the halogen selected from Cl, Br, I;R1Independently selected from straight or branched C1-C10Alkyl, C2-C12Alkenyl, C2- C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;M is 1 or 2;N is 0,1 or 2;P is 0,1 or 2;And (m+n+p) and less than 4, and wherein R2And R3Connection is with shape Cyclization or R2And R3It is not connected to form ring;With
cProvide and contain nitrogen source, wherein described at least one organic amino silane precursor and the reaction containing nitrogen source is siliceous to deposit On the film of nitrogen to described at least one surface.
In another aspect, this document describes the container for deposited dielectric films, there is Formulas I comprising one or more The organic amino silane precursor of halo.In a special embodiment, the container includes at least one equipped with appropriate The pressurisable container of valve and accessory (is preferably made of stainless steel), to allow to convey one or more precursors to being used for CVD or ALD In the reactor of technique.
In yet other aspects, there is provided for the composition of deposited dielectric films, it is included:
XmR1 nHpSi(NR2R3)4-m-n-p I
Wherein X is the halogen selected from Cl, Br, I;R1Independently selected from straight or branched C1-C10Alkyl, C2-C12Alkenyl, C2- C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;M is 1 or 2;N is 0,1 or 2;P is 0,1 or 2;And (m+n+p) and less than 4, and wherein R2And R3Connection is with shape Cyclization or R2And R3It is not connected to form ring;With the solvent being selected from the group:Ether, tertiary amine, nitrile, alkyl hydrocarbon, aromatic hydrocarbon, tertiary amino ether or Its mixture.
Brief Description Of Drawings
Fig. 1 provides mass spectrum (MS) figure of 2, the 6- lupetidine subbase dichlorosilanes described in embodiment 3.
Detailed description of the invention
The organic amino silane of halo is used as silicon-containing film that form stoichiometry and non-stoichiometric (for example, but unlimited In silicon, amorphous silicon, crystalline silicon, microcrystal silicon, polysilicon, silica, the silica of carbon doping, silicon nitride, silicon oxynitride and oxygen The film of carbonitride of silicium) precursor.These precursors are also used as the dopant for example for metal-containing thin film.Halo organic amino Base silane precursor is typically the volatile liquid precursor chemical of high-purity, and it is evaporated and is transported to settling chamber as gas Or to pass through CVD the or ALD process deposits silicon-containing films for semiconductor devices in reactor.In other embodiments, institute State the organic amino silane of halo to can be used in deposition or film forming method based on liquid, such as, but not limited to, spin coating, leaching Painting, aerosol, ink-jet, silk-screen printing or injection coating.Selection for the precursor material of deposition depends on wishing caused Jie Electric material or film.For example, precursor material can the content based on its chemical element, the stoichiometric proportion of its chemical element and/ Or the final dielectric film or coating that are formed under CVD and selected.Precursor material can also be for various other features such as Cost, non-toxic, operating characteristics, at room temperature keep liquid phase ability, volatility, molecular weight and/or other factorses and carry out Selection.In some embodiments, precursor described herein can by any mode, preferably use equipped with appropriate valve and The rustless steel container that pressurizes of accessory is transported to reactor assembly, to allow liquid phase precursor being delivered to settling chamber or reactor.
It is believed that compared with non-halogenated organic amino silane, the organic amino silane precursor of halo described herein can changed More preferable reactivity for substrate surface is provided during learning vapour deposition (particularly cyclic cvd deposition) or ald, With reacted via situ catalytic to be formed Si-N-Si keys, Si-Si bond, Si-O-Si keys and discharge organic amine and HCl, then organic amine Amine-hydrochloride is combined to form with HCl.It is believed that compared with the silicon precursor such as silicon tetrachloride or organic amino silane of routine, halo One particular advantage of organic amino silane is that halogen and organic amino can be with substrate surfaces during ALD or CCVD On Si-OH or SiNH2Reaction carrys out precursor described in grappling, so as to promote the deposition of silicon-containing film.Apart from the advantages described above, in example Such as using cyclic cvd, ALD or PEALD deposition process come in some embodiments of cvd silicon oxide or silicon nitride film, herein The organic amino silane precursor of the halo of description may can relatively low depositing temperature (for example, 500 DEG C or lower, 400 DEG C or It is lower or 300 DEG C or lower) under deposit highdensity material.In other embodiments, precursor described herein for example may be used For the deposition of the higher temperature at a temperature of about 500 DEG C-about 800 DEG C.
In one aspect, there is provided the precursor or the organic amino silane of halo represented by following formula I:
XmR1 nHpSi(NR2R3)4-m-n-p I
Wherein X is the halogen selected from Cl, Br, I;R1Independently selected from straight or branched C1-C10Alkyl, C2-C12Alkenyl, C2- C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;M is 1 or 2;N is 0,1 or 2;P is 0,1 or 2;And (m+n+p) and less than 4, and wherein R2And R3Connection is with shape Cyclization or R2And R3It is not connected to form ring.In some embodiments of the organic amino silane of halo of Formulas I, R2And R3It can connect It is connected together to form ring.In the alternate embodiments of the organic amino silane of halo of Formulas I, R2And R3It is not attached to shape together Cyclization.
In Formulas I-III and throughout the specification, term " alkyl " represents straight with 1-10 or 1-4 carbon atom Chain or pendant functional groups.Exemplary alkyl includes, but not limited to methyl, ethyl, n-propyl, isopropyl, normal-butyl, isobutyl Base, sec-butyl, the tert-butyl group, n-pentyl, isopentyl, tertiary pentyl, hexyl, isohesyl and new hexyl.In some embodiments, alkane Base can have one or more connected functional groups, such as, but not limited to, alkoxy, dialkyl amido or its combination. In other embodiments, alkyl does not have connected one or more functional groups.
In Formulas I-III and throughout the specification, term " cycloalkyl " represents there is 3-12 or 4-10 carbon atom Cyclic functionality.Exemplary cycloalkyl includes, but not limited to cyclobutyl, cyclopenta, cyclohexyl and cyclooctyl.
In Formulas I-III and throughout the specification, term " aryl " represents the aromatic ring with 6-12 carbon atom Shape functional group.Exemplary aryl includes, but not limited to phenyl, benzyl, chlorobenzyl, tolyl and ortho-xylene base.
In Formulas I-III and throughout the specification, term " alkenyl " is represented with one or more carbon-to-carbon double bonds and had There is the group of 2-12 or 2-6 carbon atom.Exemplary alkenyl includes, but not limited to vinyl or pi-allyl.
In Formulas I-III and throughout the specification, term " alkynyl " is represented with one or more carbon-to-carbon triple bonds and had There is the group of 2-12 or 2-6 carbon atom.
In Formulas I-III and throughout the specification, term " alkoxy " represents to be connected to oxygen atom and can have 1- The alkyl (for example, R-O) of 12 or 1-6 carbon atoms.Exemplary alkoxy includes, but not limited to methoxyl group (- OCH3)、 Ethyoxyl (- OCH2CH3), positive propoxy (- OCH2CH2CH3) and isopropoxy (- OCHMe2)。
In some embodiments, one in the alkyl in Formulas I-III, alkenyl, alkynyl, alkoxy and/or aryl or Multiple groups can be substituted, or with the one or more atoms or atomic group for substituting such as hydrogen atom.Exemplary takes Dai Ji includes, but not limited to oxygen, sulphur, halogen atom (for example, F, Cl, I or Br), nitrogen and phosphorus.In other embodiments, Formulas I In one or more of alkyl, alkenyl, alkynyl, alkoxy and/or aryl can be unsubstituted.
In some embodiments, substituent R2And R3Connected in Formulas I to form ring structure.In other embodiments, Substituent R2And R3It is not connected in Formulas I.
Table 1 below provides some non-limiting examples of some embodiments of organic amino silane with Formulas I.
The exemplary organic amino silane with Formulas I of table 1.
In some embodiments, the organic amino silane of the halo of Formulas I as X and is selected from ClH comprising Cl2Si(NR2R3) (wherein m=1, n=0, p=2, R2Selected from straight or branched C3-C10Alkyl and C6-C10Aryl, R3It is side chain C3-C10Alkyl or C6-C10Aryl, and wherein R2And R3Ring or alkyl-substituted ring can be formed) and R1ClHSi(NR2R3) (wherein m=1, n=1, p =1, R1It is C1-C10Alkyl and R2And R3It is straight or branched C3-C10Alkyl or C4-C10Aryl, and wherein R2And R3It can be formed Ring or alkyl-substituted ring).
In some embodiments, the organic amino silane of halo with Formulas I can be in organic solvent or solvent mixture By making the dichlorosilane (DCS) of 1 molar equivalent and the secondary amine of 1 molar equivalent or making trichlorosilane (TCS) and 1 or 2 mole ought The secondary amine with Formula Il of amount is reacted to prepare, and is absorbed using the tertiary amine such as triethylamine or tri-n-butylamine of 1 or 2 molar equivalents Byproduct hydrogen chloride, preferably use tertiary amine as shown in example 1 below and 2.In some embodiments, tertiary amine is worked as by equimolar The secondary amine of amount substitutes.There is Formula Il available for the selected secondary amine in the embodiment:
R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl; R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl, and wherein R2And R3Even Connect to form ring or R2And R3It is not connected to form ring.R wherein2And/or R3It is the reality of branched alkyl, cycloalkyl or aromatic group Apply in mode, the stability of the organic amino silane of the halo synthesized by these amine can be greatly improved, because amino and halogen atom Intermolecular substitution exchange reaction by this R2And/or R3The sterically hindered of group is hindered.Exemplary secondary amine bag with Formula II Include, but be not limited to:Diisopropylamine, di-iso-butylmanice, di-sec-butylamine, isopropylmethylamine, diisopropylethylamine, cyclohexyl methyl Amine, cyclopropylethylamin, Bicyclopropyl amine, cyclohexyl isopropylamine, methylphenylamine (phenyl methyl amine), N-ethylaniline (phenyl ethyl amine), N-Isopropyl aniline, N- butylanilines, N- pi-allyls aniline, N- ethyls-meta-aminotoluene, N-Methyl-adjacent first Aniline, N- methyl-p-toluidines, the fluoro- methylphenylamines of 4-, 4- chloro-n-methyls aniline, N- cyclohexyl aniline, 3- anilino-s third Nitrile or N- phenylamino acetonitriles.
With Formula II (wherein R2And R3Connection is to form ring) exemplary amine include, but are not limited to 2,6- dimethyl piperazines Pyridine, pipecoline, 2- crassitudes, 2,5- dimethyl pyrrolidines, 2,2,6,6- tetramethyl piperidines, 3- methyl indols, 2- Methyl indol, indoles, decahydroquinoline, 8- methyl isophthalic acids, 2,3,4- tetrahydroquinolines, 3- indole acetonitriles, 2- methyl indoline, 2,3- Indoline, 5-Methyl indoline, 1,2,3,4- tetrahydroquinolines, 1,2,3,4- tetrahydrochysene -2- methylquinolines, 1,2,3,4- tetra- Hydrogen -6- methylquinolines, 3,4- dihydros -2H-1,4- benzoxazine, carbazole, 2,6- thebaines and 3,5- thebaine.
Following reaction equation 1,2 and 3, which provides, can be used for preparing the organic amino silicone of halo with Formulas I described herein The reaction process of alkane or the example of synthetic route.Reaction in reaction equation 1,2 and 3 can utilize organic solvent (for example, organic In the presence of solvent) carry out.In wherein the embodiment of organic solvent is used, the example bag of suitable organic solvent Include, but be not limited to, hydrocarbon (such as hexane, octane, toluene) and ether (such as diethyl ether and tetrahydrofuran (THF)).In these embodiments Or in other embodiment, reaction temperature is extremely used at about -70 DEG C in the range of the boiling point of solvent (if being related to solvent). Caused organic amino silane can be purified by the vacuum distillation after all accessory substances and solvent are removed.Reaction Formula 1-3 is the embodiment for the reaction for being related to dichlorosilane or trichlorosilane.It is related to the reality of the synthetic route of part aminating reaction Example is provided with embodiment 1 and 3 herein, and be related to can be via metal made from the reaction of amine and metal alkyl with Formula II The reaction equation 2 of amides provides in example 2.
Reaction equation 1
Reaction equation 2
Reaction equation 3
Method for forming siliceous dielectric film or coating is depositing operation.For the suitable heavy of method disclosed herein Product technique example include, but not limited to cyclic cvd (CCVD), MOCVD (metallorganic CVD), thermal chemical vapor deposition, etc. The change of gas ions enhancing chemical vapor deposition (" PECVD "), high density PECVD, photon assisted CVD, plasma-photon auxiliary Vapour deposition (" PPECVD "), low temperature chemical vapor deposition, the vapour deposition of chemical substance auxiliary, Hot Filament Chemical Vapor sink Product, the CVD of liquid polymer precursor, the deposition of supercritical fluid and low energy CVD (LECVD).In some embodiments, contain The film of metal passes through ald (ALD), plasma enhancing ALD (PEALD) or plasma enhancing cyclic cvd (PECCVD) process deposits.As used herein, term " chemical vapor deposition method " refers to wherein substrate exposed to one or more Any technique of the volatile precursor to be deposited desired by generation is decomposed with substrate surface reactions or on the surface of a substrate.As herein Self-limited type used, that term " atom layer deposition process " refers to the thin film deposition of material to the substrate with different compositions (self-limiting) (for example, the amount of the thin-film material deposited in each reaction cycle is constant) order surface chemistry is made With.Although precursor used herein, reagent and source can be described as " gaseous " sometimes, it should be understood that the precursor can be logical Cross the liquid or solid that directly evaporation, bubbling or distillation are transported under conditions of with or without inert gas in reactor Body.In some cases, the precursor of evaporation can pass through plasma generator.In one embodiment, using ALD techniques Deposited dielectric films.In another embodiment, using CCVD process deposits dielectric films.In further embodiment, Use hot CVD process deposits dielectric film.Terms used herein " reactor " includes, but are not limited to reative cell or settling chamber.
In some embodiments, method disclosed herein by using before reactor is introduced and/or during every ALD or CCVD from precursor avoid the pre-reaction of precursor.In this regard, the deposition technique such as ALD or CCVD techniques is used for Deposited dielectric films.In one embodiment, it is one or more containing silicon precursor, oxygen by the way that substrate surface is alternately exposed to Source, containing nitrogen source or other precursors or reagent and via ALD process deposits films.Film grows through the self-limited type control of surface reaction The pulse length and depositing temperature of system, each precursor or reagent are carried out.But once substrate surface saturation, film growth stop.
In some embodiments, method described herein further comprises except the halo organic amino with above-mentioned Formulas I One or more outside base silane precursor it is other contain silicon precursor or non-halogenated precursors.The other example bag containing silicon precursor Include, but be not limited to, organo-silicon compound such as type siloxane is (for example, HMDO (HMDSO) and dimethyl siloxane (DMS0)), organosilicon alkanes is (for example, methyl-monosilane, dimethylsilane, vinyl trimethylsilane, trimethyl silane, tetramethyl Base silane, ethylsilane, dimethylamino silane methylmethane, 2,4-Two sila pentanes, the sila of Isosorbide-5-Nitrae-two butane, the sila hexanes of 2,5- bis-, The fluorinated derivatives of 2,2- dimethyl silanyl propane, 1,3,5- tri- Silinanes and these compounds), the organosilicon containing phenyl Compound (for example, dimethylphenylsilaneand and diphenylmethylsilane), oxygen-containing organo-silicon compound, such as dimethylformamide dimethyl oxygen Base silane, 1,3,5,7- tetramethyl-ring tetrasiloxanes, 1,1,3,3- tetramethyl disiloxane, 1,3,5,7- tetra- sila -4- oxos - Heptane, 2,4,6,8- tetra- sila -3,7- dioxos-nonane, 2,2- dimethyl -2,4,6,8- tetra- sila -3,7- dioxos-nonyl Alkane, octamethylcy-clotetrasiloxane, [1,3,5,7,9]-pentamethyl D5,1,3,5,7- tetra- sila -2,6- dioxos-ring Octane, hexamethyl cyclotrisiloxane, 1,3- dimethyldisiloxanes, 1,3,5,7,9- pentamethyl D5s, hexa methoxy The fluorinated derivatives of disiloxane and these compounds.
In a specific embodiment of approach described herein, the non-halogenated silicon precursor that contains is with following formula III compound:
(R2R3N)SiH3(III)
Wherein R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10 Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl, and wherein R2With R3Connect to form ring or R2And R3It is not connected to form ring.In some embodiments of formula III, R2And R3It can combine to be formed Cyclic group or ring.In the other embodiment of formula III, R2And R3Cyclic group or ring are not combined to form.It is non-halogenated it is siliceous before The example of body includes, but are not limited to:Two-isopropylamino silane, di-sec-butyl amino silane, phenylmethyl amino silane and 2,6- lupetidine subbase silane.
Depending on deposition process, in some embodiments, one or more halos or it is non-halogenated can be with containing silicon precursor It is introduced into predetermined mole (molar volume) or the micromoles of about 0.1- about 1000 in reactor.In this embodiment party In formula or other embodiment, halo or non-halogenated organic amino silane precursor can introduce reaction with predetermined time span In device.In some embodiments, the time span is about 0.001- about 500 seconds.
In some embodiments, formed to use in the presence of oxygen using oxygen source, oxygen containing reagent or precursor and retouched herein The dielectric film for the method deposition stated.Oxygen source can be introduced into reactor in the form of at least one oxygen source and/or can be attached Ground is present in other precursors for depositing operation.Suitable oxygen source gas can include, for example, water (H2O) (for example, going Ionized water, purified water and/or distilled water), oxygen (O2), oxygen plasma, ozone (O3)、NO、NO2, carbon monoxide (CO), dioxy Change carbon (CO2) and combinations thereof.In some embodiments, oxygen source is included with the standard cubic centimeters per minutes of about 1- about 2000 The oxygen source gas that (squarecubic centimeter) (sccm) or about 1- about 1000sccm flow velocity are introduced into reactor Body.Oxygen source can introduce the about 0.1- times of about 100 seconds.In a special embodiment, oxygen source, which includes, has 10 DEG C or higher temperature water.In wherein film is by the embodiment of ALD or cyclic cvd process deposits, precursor pulse can With the pulse duration with more than 0.01 second, and oxygen source can have a pulse duration less than 0.01 second, and water Pulse duration can have the pulse duration less than 0.01 second.In yet another embodiment, blowing between pulse Horizontal pulse can continuously be entered with the purging between as little as 0 second or no pulse by sweeping the duration.Oxygen source or reagent with less than with The molecular amounts of 1: 1 ratio of silicon precursor provide, so as to which at least some carbon are retained in the dielectric film so deposited.
In some embodiments, dielectric film includes silicon and nitrogen.In these embodiments, using side described herein The dielectric film of method deposition is formed in the presence of containing nitrogen source.Reactor can be introduced in the form of at least one nitrogen source containing nitrogen source In and/or can be present in by way of parenthesis in other precursors for depositing operation.Suitable nitrogen containing source gas can include, example Such as, ammonia, hydrazine, monoalkylhydrazine, dialkyl group hydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma treatment, nitrogen plasma, nitrogen/hydrogen plasma and its mixed Compound.In some embodiments, included containing nitrogen source with about 1 to about 2000 standard cubic centimeters per minute (sccm) or big Ammonia plasma treatment or hydrogen/Nitrogen plasma source gas that about 1 to about 1000sccm flow velocity is introduced into reactor.Can containing nitrogen source To introduce the time of about 0.1 to about 100 second.Film passes through ALD or the embodiment of cyclic cvd process deposits wherein In, precursor pulse can have the pulse duration more than 0.01 second, and can have the pulse less than 0.01 second containing nitrogen source Duration, and the pulse duration of water can have the pulse duration less than 0.01 second.In yet another embodiment In, the purging duration between pulse can continuously enter horizontal pulse with the purging between as little as 0 second or no pulse.
Deposition process disclosed herein can include one or more purge gas.For purging the reactant not consumed And/or the purge gas of byproduct of reaction is the inert gas not reacted with precursor.Exemplary purge gas includes, but It is not limited to, argon (Ar), nitrogen (N2), helium (He), neon, hydrogen (H2) and its mixture.In some embodiments, purge gas such as Ar The about 0.1-1000 seconds are fed in reactor with about 10 to about 2000sccm flow velocity, are likely to remain at instead so as to purge Answer the unreacting substance in device and any accessory substance.
Supplying precursor, oxygen source, the corresponding steps containing nitrogen source and/or other precursors, source gas and/or reagent can be by changing The time of these materials of change supply is carried out, to change the stoichiometric composition of obtained dielectric film.
Energy supply to precursor, containing at least one of nitrogen source, reducing agent, other precursors or its combination with induced reaction and Dielectric film or coating are formed on substrate.This energy can pass through (but not limited to) heat, plasma, pulse plasma Body, Helicon wave plasma (helicon plasma), high-density plasma, inductively coupled plasma, X ray, electronics Beam, photon, remote plasma process and combinations thereof provide.In some embodiments, the 2nd RF radio frequency sources can be used for changing The plasma characteristics become at substrate surface.It is related in wherein deposition in the embodiment of plasma, plasma occurs Method can include direct plasma method for generation (its plasma directly occurs in the reactor) or alternatively long-range Plasma method for generation (its plasma occurs and is fed in reactor outside reactor).
Organic amino silane precursor and/or other silicon precursors that contain can be transported to reative cell such as CVD or ALD in many ways Reactor.In one embodiment, liquid conveying system can be utilized.In alternative embodiment, synthesis can be used Liquid conveying and flash distillation process unit, such as, for example, by Shoreview, MN MSP Corporation manufactures Turbine evaporator (turbo vaporizer), to enable the material of low volatility quantitatively to convey, this causes reproducible Conveying and deposition are without the thermal decomposition of precursor.In liquid supplying way, precursor described herein can be in the form of neat liquid Conveying, or selectively, can be used with the solvent formulation comprising precursor or composition forms.Therefore, in some embodiment party In formula, when that may wish in given terminal applies and be favourable, precursor formulation can include the solvent with appropriate characteristics Composition on substrate to form film.
For the organic amino silane precursor of halo wherein with Formulas I to contain solvent and with Formulas I described herein The organic amino silane precursor of halo composition in those embodiments, selected solvent or its mixture not with it is organic Amino silane reacts.In composition the scope of the amount of solvent by weight percentage from 0.5 weight % to 99.5 weight % or From 10 weight % to 75 weight %.In this or other embodiment, described solvent has and the organic amino of halo of Formulas I Difference between the similar boiling point of silane boiling point (b.p.) or the boiling point of the boiling point of solvent and the organic amino silane of the halo of Formulas I It is 40 DEG C or smaller, 30 DEG C or smaller, 20 DEG C or smaller or 10 DEG C.Or the disparity range between boiling point has following Anticipate one or more end points:0th, 10,20,30 or 40 DEG C.The example of the OK range of boiling point difference includes, but are not limited to 0-40 DEG C, 20-30 DEG C or 10-30 DEG C.The example of suitable solvent includes, but are not limited in composition:Ether (such as Isosorbide-5-Nitrae-dioxane Hexane, dibutyl ethers), tertiary amine (such as pyridine, 1- methyl piperidines, 1- ethyl piperidines, N, N '-Lupetazin, N, N, N ', N '- Tetramethylethylenediamine), nitrile (such as benzonitrile), alkyl hydrocarbon (such as octane, nonane, dodecane, ethyl cyclohexane), aromatic hydrocarbon (example Such as toluene, mesitylene), tertiary amino ether (such as double (2- dimethyl aminoethyls) ethers) or their mixture.Some are non- Restricted exemplified composition includes, but are not limited to:Include diisopropylaminoethyl silane (about 116 DEG C of boiling point) and octane (boiling point 125-126 DEG C) composition, include the combination of diisopropylaminoethyl silane (about 116 DEG C of boiling point) and pyridine (115 DEG C of boiling point) Thing, the composition comprising diisopropylaminoethyl silane (about 116 DEG C of boiling point) and toluene (110 DEG C of boiling point), include N- methyl cyclohexanes The composition of base amino silane (about 171 DEG C of boiling point) and decane (174 DEG C of boiling point), include the (boiling of N- methylcyclohexyls amino silane Point about 171 DEG C) and diethylene glycol dimethyl ether (162 DEG C of boiling point) composition, include N- isopropylcyclohexyls amino silane (boil About 199 DEG C of point) and pair (2- dimethyl aminoethyls) ether (189 DEG C of boiling point) composition, include N- isopropylcyclohexyl amino The composition of silane (about 199 DEG C of boiling point) and benzonitrile (191 DEG C of boiling point).
In another embodiment, this document describes the container for deposited dielectric films, it includes one or more tools There is the organic amino silane precursor of halo of Formulas I.In a special embodiment, container includes at least one equipped with appropriate Valve and accessory pressurisable container (being preferably made of stainless steel), to allow one or more precursors to be transported to for CVD or The reactor of ALD techniques.In this embodiment or other embodiment, the organic amino silane precursor of halo of Formulas I by There is provided in the pressurisable container that stainless steel is formed, and the purity of precursor is is 98% or higher or 99.5% or more by weight Height, this is suitable for most semiconductor application.In some embodiments, this container can also have described for mixing The device of precursor and one or more other precursors (if desired).In these embodiments or other embodiment, hold The content of device can be pre-mixed with other precursor.Selectively, the organic amino silane precursor of halo and/or other precursors can Be maintained at independent container in or with for maintaining machine amino silane precursor and other precursor isolations during storage Separating device single container in.In some embodiments, there is the organic amino silane precursor of halo of Formulas I also in container Comprising backfill gas (back fillgas), it is such as, but not limited to:Nitrogen, inert gas such as helium or its combination.Substituting Embodiment in, the container do not include backfill gas.
As described above, the purity level of the organic amino silane of halo is sufficiently high, to be enough to give birth to for reliable semiconductor Production is received.In some embodiments, the organic amino silane precursor of halo as described herein is included less than 2% weight or few Impurity below the one or more of 1% weight or less than 0.5% weight:Unhindered amina, free halide or halide ion and High molecular weight material.The higher degree of organic amino silane as described herein can be obtained by one or more following processes: Purifying, absorption and/or distillation.
In an embodiment of method described herein, can use cyclical deposition process such as CCVD, ALD or PEALD, wherein using be selected from Formulas I the organic amino silane precursor of halo at least one containing silicon precursor and optionally with Containing nitrogen source (such as, for example, ammonia, hydrazine, monoalkylhydrazine, dialkyl group hydrazine, nitrogen, nitrogen/hydrogen, ammonia plasma treatment, nitrogen plasma, Nitrogen/hydrogen plasma).
In some embodiments, connection precursor container is heated to one to the gas line of reative cell according to technological requirement Or multiple temperature, and the container of the organic amino silane precursor of halo with Formulas I is maintained at one or more temperature to be roused Bubble.In other embodiments, the solution comprising the organic amino silane precursor of at least one halo with Formulas I is injected into steaming Send out in device, the evaporator, which is maintained at one or more temperature, is used for direct liquid injection.
The air-flow of argon and/or other gases may be used as carrier gas to help to convey at least one and have during precursor pulse The steam of machine amino silane precursor is to reative cell.In some embodiments, reative cell operating pressure is about 1Torr.
In typical ALD or CCVD techniques, heated on the warm table of substrate (such as silicon oxide substrate) in the reaction chamber, institute Warm table is stated to be initially exposed to this and contain silicon precursor to be chemically adsorbed on substrate surface so as to obtain the compound.
Purge gas (such as argon gas) purges unadsorbed excessive compound from process chamber.After abundant purging, containing nitrogen source It can be introduced into reative cell to be reacted with the surface of absorption, then carry out another gas purging to remove dereaction by-product from the room Thing.Processing cycle can be repeated with film thickness desired by acquisition.
In some embodiments, the technique uses reducing agent.The reducing agent generally introduces in a gaseous form.Suitably The example of reducing agent include, but are not limited to:Hydrogen, hydrogen plasma, long-range hydrogen plasma (remote hydrogen Plasma), silanes (i.e. diethylsilane, ethylsilane, dimethylsilane, phenyl silane, silane, disilane, amino silicone Alkane, chlorosilane), boranes (i.e. borine, diborane), aluminium alkanes, germane class, hydrazine, ammonia or its mixture.For example depositing nothing Shape in a particular implementation of silicon, use reducing agent.
In this embodiment or other embodiment, it will be understood that can be with more the step of method described herein Kind order is carried out, can sequentially or simultaneously (for example, within least a portion time of another step) progress, and with above-mentioned Any combinations mode of mode is carried out.These materials can be supplied by changing by supplying the corresponding steps of precursor and nitrogen containing source gas Time span carry out, with the stoichiometric composition of dielectric film caused by change.
In another embodiment of method disclosed herein, formed and contained using the ALD deposition method comprised the following steps The film of silicon and nitrogen:
Substrate is provided in ALD reactors;
At least one organic amino silane precursor of halo represented by following formula I is introduced into ALD reactors:
XmR1 nHpSi(NR2R3)4-m-n-p I
Wherein X is the halogen selected from Cl, Br, I;R1Independently selected from straight or branched C1-C10Alkyl, C2-C12Alkenyl, C2- C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;M is 1 or 2;N is 0,1 or 2;P is 0,1 or 2;And (m+n+p) and less than 4, and wherein R2And R3Connection is with shape Cyclization or R2And R3It is not connected to form ring;
Chemisorbed at least one organic amino silane precursor of halo is on substrate;
The organic amino silane precursor of the unreacted at least one is purged with purge gas;
There is provided to organic amino silane precursor on the substrate of heating containing nitrogen source with organic with least one of absorption Amino silane precursors reaction;With
Optionally purge and any unreacted contain nitrogen source.
In another embodiment of method disclosed herein, formed and be situated between using the ALD deposition method comprised the following steps Conductive film:
Substrate is provided in the reactor;
At least one organic amino silane precursor of halo represented by following formula I is introduced into reactor:
XmR1 nHpSi(NR2R3)4-m-n-p I
Wherein X is the halogen selected from Cl, Br, I;R1Independently selected from straight or branched C1-C10Alkyl, C2-C12Alkenyl, C2- C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;M is 1 or 2;N is 0,1 or 2;P is 0,1 or 2;And (m+n+p) and less than 4, and wherein R2And R3Connection is with shape Cyclization or R2And R3It is not connected to form ring;
The organic amino silane precursor of the chemisorbed at least one is on substrate;
The organic amino silane precursor of the unreacted at least one is purged with purge gas;
Oxygen source is provided with least one organic amino with absorption to organic amino silane precursor on the substrate of heating Base silane precursors reaction;With
Optionally purge any unreacted oxygen source.
Above-mentioned steps define a circulation for method described herein, and the circulation can be repeated until being wished The dielectric film thickness of prestige.In this embodiment or other embodiment, it will be understood that the step of method described herein Can be carried out with a variety of orders, can sequentially or simultaneously (for example, within least a portion time of another step) carry out, Any combinations mode in the above described manner is carried out.These things can be supplied by changing by supplying the corresponding steps of precursor and oxygen source The time span of matter is carried out, with the stoichiometric composition of dielectric film caused by change, although always with less than relative to The amount of the stoichiometry of available silicon uses oxygen.
For multicomponent dielectric film, other precursors containing silicon precursor, containing nitrogen precursor, reducing agent or other reagents as that can hand over Alternately it is introduced into reative cell.
In the further embodiment of method described herein, hot CVD process deposits dielectric film is used.In this reality Apply in mode, this method includes:
One or more substrates are placed in be heated to from environment temperature to about 700 DEG C of temperature and be maintained at 1Torr or In reactor under lower pressure;
Introduce the organic amino silane precursor of at least one halo with following formula I:
XmR1 nHpSi(NR2R3)4-m-n-p I
Wherein X is the halogen selected from Cl, Br, I;R1Independently selected from straight or branched C1-C10Alkyl, C2-C12Alkenyl, C2- C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;M is 1 or 2;N is 0,1 or 2;P is 0,1 or 2;And (m+n+p) and less than 4, and wherein R2And R3Connection is with shape Cyclization or R2And R3It is not connected to form ring;With
Oxygen source is provided into reactor with amino silane precursors reaction organic with least one at least in part and to deposit Dielectric film is on one or more substrates.In some embodiments of the CVD method, reactor is introducing step process In be maintained under 100mTorr to 600mTorr pressure.
Above-mentioned steps define a circulation for method described herein, and the circulation can be repeated until being wished The dielectric film thickness of prestige.In this embodiment or other embodiment, it is possible to understand that the step of method described herein Can be carried out with a variety of orders, can sequentially or simultaneously (for example, within least a portion time of another step) carry out, Any combinations mode in the above described manner is carried out.These things can be supplied by changing by supplying the corresponding steps of precursor and oxygen source The time span of matter is carried out, with the stoichiometric composition of dielectric film caused by change, although always with less than relative to The stoichiometry of available silicon uses oxygen.
For multicomponent dielectric film, other precursors are such as containing silicon precursor, containing nitrogen precursor, oxygen source, reducing agent and/or other examinations Agent can be alternately introduced in reative cell.
In the further embodiment of method described herein, hot CVD process deposits dielectric film is used.In this reality Apply in mode, this method includes:
One or more substrates are placed in be heated to from environment temperature to about 700 DEG C of temperature and be maintained at 1Torr or In reactor under lower pressure;
Introduce the organic amino silane precursor of at least one halo with following formula I:
XmR1 nHpSi(NR2R3)4-m-n-p I
Wherein X is the halogen selected from Cl, Br, I;R1Independently selected from straight or branched C1-C10Alkyl, C2-C12Alkenyl, C2- C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R2Selected from straight or branched C1-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;R3Selected from side chain C3-C10Alkyl, C3-C12Alkenyl, C3-C12Alkynyl, C4-C10Cycloalkyl and C6-C10Aryl;M is 1 or 2;N is 0,1 or 2;P is 0,1 or 2;And (m+n+p) and less than 4, and wherein R2And R3Connection is with shape Cyclization or R2And R3It is not connected to form ring;With
There is provided into reactor and contain nitrogen source down to partially amino silane precursors reaction organic with least one and sink Dielectric film is accumulated to one or more substrates.In some embodiments of the CVD method, reactor is introducing step mistake It is maintained in journey under 100mTorr to 600mTorr pressure.
As described above, to can be used for utilizing more than a kind of precursor (such as described herein with Formulas I for method described herein The organic amino silane of halo) and other precursor (such as before non-halogenated organic amino silane described herein with formula III Body), those and/or chlorosilane for example described herein containing silicon precursor be (such as, but not limited to a chlorosilane (MCS), dichlorosilane (DCS), trichlorosilane or silicon tetrachloride) and/or alkylchlorosilane (such as, but not limited to methylchlorosilane, ethyl chlorosilane, Dimethyl dichlorosilane (DMCS), ethyl dichlorosilane) carry out deposition film.In these embodiments, depending on used different precursors Number, one or more precursors are described as the first precursor, the second precursor, the 3rd precursor etc..This method for example available for In cyclic chemical vapor deposition or ald.In these or other embodiments, the precursor can be with a variety of sides Formula introduces (for example, a) and introduces the first precursor;B) purge;C) the second precursor is introduced;D) purge;E) the 3rd precursor is introduced;F) purge Deng, or, a) introduce the first precursor;B) purge;C) the second precursor is introduced;D) purge;E) the second precursor is introduced;Deng).At one In specific embodiment, there is provided silicon oxide film or silicon, the method for carbon and sull, comprise the following steps:
A) steam as caused by the first precursor and substrate contact first precursor described in chemisorbed heated are made to heating Substrate on;
B) any unadsorbed precursor is purged;
C) to introducing oxygen source on the substrate of heating with the first precursors reaction with absorption;
D) any unreacted oxygen source is purged;
E) steam as caused by the second precursor different from the first precursor and the substrate contact heated are made with chemisorbed institute The second precursor is stated to the substrate of heating;
F) any unadsorbed precursor is purged;
G) to introducing oxygen source on the substrate of heating with the first and second precursors reactions with absorption;With
H) any unreacted oxygen source is purged,
Wherein repeat step a) is to h) until reaching desired thickness.
In the yet another implementation of approach described herein, there is provided deposited silicon nitride or carbon silicon nitride film Method, comprise the following steps:
A) steam as caused by the first precursor and substrate contact first precursor described in chemisorbed heated are made to heating Substrate on;
B) any unadsorbed precursor is purged;
C) to introducing nitrogen source on the substrate of heating with the first precursors reaction with absorption;
D) any unreacted nitrogen source is purged;
E) steam as caused by the second precursor different from the first precursor and the substrate contact heated are made with chemisorbed institute The second precursor is stated to the substrate of heating;
F) any the second unadsorbed precursor is purged;
G) to introducing nitrogen source on the substrate of heating with the second precursors reaction with absorption;With
H) any unreacted nitrogen source is purged,
Wherein repeat step a) is to h) until reaching desired thickness.
In further embodiment, described herein is to use cyclic chemical vapor deposition (CCVD) or atomic layer Deposition (ALD) technology is such as, but not limited to plasma enhancing ALD (PEALD) or plasma enhancing CCVD (PECCVD) technique Carry out the method for depositing silicon-containing films.In these embodiments, depositing temperature can be with of a relatively high, or is about 500 DEG C-800 DEG C, So as to control the specification of the film performance needed for particular semiconductor application.In a specific embodiment, methods described Comprise the following steps:Steam and the substrate contact of heating as caused by the organic amino silane of the halo with Formulas I is set to be inhaled with chemistry The attached precursor is on the substrate of heating;Purge any unadsorbed precursor;Reducing agent is introduced with the precursor of reduction adsorption;With Purge any unreacted reducing agent.
In some embodiments, the organic amino silane precursor of the halo described herein with Formulas I is also used as containing The dopant of metallic film (such as, but not limited to, metal-oxide film or metal nitride film).In these embodiments In, using ALD or CVD techniques (those techniques as described herein), have using metal alkoxide, metal amide or volatility Machine metal precursor deposits metal-containing thin film.Can be used for the example of the suitable metal alkoxide precursor of method described herein includes, But be not limited to, 3-6 races metal alkoxide, have alkoxy and alkyl-substituted cyclopentadienyl ligands 3-6 races metal complex, 3-6 races metal complex with alkoxy and alkyl-substituted pyrroles's ylidene ligands, with alkoxy and diketone root (diketonate) the 3-6 races metal complex of part, the 3-6 races metal complex with alkoxy and ketone ester part;Can be with Example for the suitable metal amides precursor of method described herein includes, but not limited to four (dimethylamino) zirconiums (TDMAZ), four (diethylamino) zirconiums (TDEAZ), four (ethylmethylamino) zirconiums (TEMAZ), four (dimethylamino) hafniums (TDMAH), four (diethylamino) hafniums (TDEAH) and four (ethylmethylamino) hafniums (TEMAH), four (dimethylamino) titaniums (TDMAT), four (diethylamino) titaniums (TDEAT), four (ethylmethylamino) titaniums (TEMAT), (diethyl of tertbutylimido three Base amino) tantalum (TBTDET), tertbutylimido three (dimethylamino) tantalum (TBTDMT), (the ethyl first of tertbutylimido three Base amino) tantalum (TBTEMT), ethylimino three (diethylamino) tantalum (EITDET), ethylimino three (dimethylamino) Tantalum (EITDMT), ethylimino three (ethylmethylamino) tantalum (EITEMT), tertiary pentyl imino group three (dimethylamino) tantalum (TAIMAT), tertiary pentyl imino group three (diethylamino) tantalum, five (dimethylamino) tantalums, (the ethyl first of tertiary pentyl imino group three Base amino) tantalum, two (tertbutylimido) two (dimethylamino) tungsten (BTBMW), two (tertbutylimido) two (diethyl amino Base) tungsten, two (tertbutylimido) two (ethylmethylamino) tungsten and combinations thereof.It can be used for the suitable of method disclosed herein The example of Organometallic precursor include, but not limited to 3 race's metal cyclopentadienyl compounds or alkyl cyclopentadienyl compound. This paper exemplary 3-6 races metal include, but not limited to Y, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Er, Yb, Lu, Ti, Hf, Zr, V, Nb, Ta, Cr, Mo and W.Other volatile organometallic precursors include, but are not limited to metal alkyl precursor for example, but It is not limited to triethyl aluminum (TEA), trimethyl aluminium (TEA).
In some embodiments, the organic amino silane of the halo can be used for the deposition based on liquid or the film side of being formed Method, such as, but not limited to, spin coating, dip-coating, aerosol, ink-jet, silk-screen printing or injection coating.Wherein silicon thin film by based on In the embodiment that the deposition of liquid is formed, laminated film is by particularly comprising as at least one siliceous of silica source The composition of the organic amino silane of the precursor such as halo as described herein with Formulas I, catalyst and water is formed.The composition Solvent and surfactant can also be included.In short, simultaneously evaporation solvent and water can be formed on substrate by composition distribution Film.Surfactant, residual solvent and water generally are enough to generate by making the substrate of coating contact with one or more energy The time of silicon-containing film removes.In some cases, the film can be preheated so as to be substantially finished the water of silica source Solution, continue cross-linking process and drive away any residual solvent (if present) from film.
In addition to the organic amino silane of the halo with Formulas I described herein, another example of silica source can be with It is included in the compound that Si -- H bond is produced when removing pore-forming material.
The further example in the source is seen such as bibliography Hay, " Synthesis ofOrganic- Inorganic Hybrids via the Non-hydrolytic Sol-Gel Process ", Chem.Mater., 13, 3396-3403 (2001) or Hay etc., " A Versatile Route toOrganically-Modified Silicas and Porous Silicas via the Non-HydrolyticSol-Gel Process ", J.Mater.Chem., 10,1811- In non-hydrolytic chemistry methods described in 1818 (2000).
Another example again of silica source can include cataloid, fumed silica or silicic acid starting material Material.
Still other example of silica source includes silsesquioxane, such as hydrogen silsesquioxane (HSQ, HSiO1.5) and Methyl silsesquioxane (MSQ, RSiO1.5, wherein R is methyl).
In some embodiments, can be added to silica source as hydrolysis and condensation product in mixture.Two The hydrolysis and condensation of silica source by intermittently or continuously added to solvent and adding titanium dioxide simultaneously by water and catalyst Silicon source, and carried out while being usually and stirring the mixture 0-24 hours at a temperature of -30-100 DEG C, preferably 20-100 DEG C Hydrolysis and condensation reaction and occur.The composition can be adjusted with by being concentrated in each preparation process or dilute with solvent Release to provide desired solids content.In addition, the compound of acetic acid is produced when silica source can be hydrolysis.
Any point during film is formed can occur for the hydrolysis and condensation of silica source, i.e. added to mixing Before thing, be added to mixture after, contacted with least one energy before and/or period etc..For example, in some embodiments In, at least one silica source is mixed with solvent, water and surfactant in a reservoir, and catalyst is gradually added into container In and mix.It is expected that a variety of different orders can be used to be added in mixture without departing from the spirit of the invention.
Catalyst suitable for the present invention includes that the substituent water from silica source can be catalyzed in presence of water The condensation of two kinds of silica sources of solution and/or catalysis is to form any organic or inorganic acid of Si-O-Si bridges or alkali.Catalyst can To be organic base, such as, but not limited to quaternary ammonium salt and hydroxide, such as ammonium or tetramethylammonium;Amine, such as primary amine, secondary amine and uncle Amine;And amine oxide.Catalyst can also be acid, such as, but not limited to nitric acid, maleic acid, oxalic acid, acetic acid, formic acid, glycolic, second Aldehydic acid or its mixture.In a preferred embodiment, the catalyst includes nitric acid.
It can include that there is deliquescent any solvent to reagent suitable for the solvent of the present invention.Solvent for example can be alcohol Solvent, ketone solvent, amide solvent or ester solvent.In some embodiments, the solvent can be supercritical fluid, such as two Carbonoxide, fluorocarbon, sulfur hexafluoride, alkane and other suitable multicomponent mixtures etc..In some embodiments, use There is relatively low boiling point in one or more solvents of the present invention, i.e. less than 160 DEG C.These solvents include but is not limited to, Tetrahydrofuran, acetone, the dioxane of Isosorbide-5-Nitrae-, DOX, ethyl acetate and methyl ethyl ketone.Available for the present invention but boiling point Other solvents more than 160 DEG C include dimethylformamide, dimethyl acetamide, 1-METHYLPYRROLIDONE, ethylene carbonate, carbon Sour propylene diester, glycerine and derivative, naphthalene and substitution form, acetic anhydride, propionic acid and propionic andydride, dimethyl sulfone, benzophenone, hexichol Sulfone, phenol, metacresol, dimethyl sulfoxide, diphenyl ether, terphenyl etc..Preferable solvent includes propylene glycol propyl ether (PGPE), 3- heptan Alcohol, 2- methyl-1-pentenes alcohol, 5- methyl -2- hexanols, 3- hexanols, 2- enanthol, 2- hexanols, 2,3- dimethyl -3- amylalcohols, propane diols Methyl ether acetate (PGMEA), ethylene glycol n-butyl ether, propylene glycol n-butyl ether (PGBE), 1-Butoxy -2- propyl alcohol, 2- methyl - 3- amylalcohols, 2-Methoxyethyl acetate, butoxy ethanol, 2-Ethoxyethyl group acetoacetate, 1- amylalcohols and propane diols first Ether.Further exemplary solvent includes lactate, pyruvate and glycol.Further exemplary solvent includes EP1,127, Those listed solvents in 929.Solvent listed above can be used alone or being applied in combination with two or more solvents.
In some embodiments, the reagent reacting in composition on substrate so that form the flowable thin of condensation Film.The film is flowed into gap with dielectric material blind.Then the film is converted by one or more different technologies For solid dielectric material, the technology includes thermal annealing, ultraviolet (UV) irradiation, microwave irradiation or exposed to oxidative plasma Body.According to some embodiments, the film by including but not limited to be crosslinked the precursor so as to generate solid material and/ Or remove dehydrogenation (-- H), hydroxyl (-- OH) or water (H2O) mechanism of group is converted into solid material.In certain embodiments, it is electric Sense coupled plasma is used to convert the film.
In some embodiments, caused dielectric film or coating can carry out deposition post processing, for example, but unlimited In, corona treatment, chemical treatment, ultraviolet light, electron beam irradiation and/or the one or more performances for influenceing film Other processing.
In some embodiments, dielectric film described herein has 6 or lower dielectric constant.In these embodiment party In formula or other embodiment, film can have about 5 or lower either about 4 or lower or about 3.5 or more Low dielectric constant.However, it can be envisaged that can be formed according to the expection terminal use of film has other dielectric constants The film of (for example, higher or lower).The silicon-containing film that is formed using organic amino silane precursor described herein and method or Dielectric film has formula SixOyCzNvHwAn embodiment in, wherein Si scope is about 10% to about 40%, O The scope that scope is about 0% to about 65%, C is about 0% to about 75% or about 0% to about 50%, N scope It is about 0% to about 75% or about 0% to about 50%, and H scope is about 0% to about 50%, above percentage Surveyed than for atomic weight percent, wherein x+y+z+v+w=100 atomic weight percents, such as example passing through XPS or other methods Fixed.However, the other embodiment of dielectric or silicon-containing film with different formulations can use halo described herein organic Amino silane precursor is carried out.
As it was previously stated, method described herein can be used for the depositing silicon-containing films at least a portion of substrate.Properly The example of substrate include, but not limited to silicon, SiO2、Si3N4, OSG, FSG, carborundum, hydrogenated silicon carbide, silicon nitride, hydrogenation Silicon nitride, carbonitride of silicium, hydrogenation carbonitride of silicium, boron nitride (boronitride), ARC, photoresist, You Jiju Compound, porous organic and inorganic materials, metal (such as copper and aluminium) and diffusion-barrier coating (such as, but not limited to, TiN, Ti (C) N, TaN, Ta (C) N, Ta, W or WN).Film is compatible with a variety of follow-up processing steps, such as, for example, chemically mechanical polishing (CMP) handled with anisotropic etching.
The film of deposition have include, but not limited to computer chip, optics, magnetic information storage, backing material or Coating, Micro Electro Mechanical System (MEMS), Nano electro-mechanical system, thin film transistor (TFT) (TFT) and liquid crystal display (LCD) on substrate Application.
In the claims, letter is used to identify claimed step (such as (a), (b) and (c)).These letters It is used to help censure method and step, the order performed without being intended to refer to claimed step, unless and only reaching this The degree that order specifically describes in the claims.
Following examples merely illustrate the method and this paper described herein for preparing the organic amino silane precursor of halo The method of the silicon-containing film of the deposition of description, and be not intended to limit the invention in any way.
Embodiment
Embodiment 1:Synthesize 2,6- lupetidine subbase chlorosilanes
In 2000ml equipped with addition 1000ml hexanes in 3 neck round-bottom flasks of mechanical agitator and charging hopper.Using Flask is cooled to -20 DEG C by dry ice IPA cryostats, and 101g (1.0mol) dichlorosilane is condensed and is dissolved in hexane.Under agitation The mixture of 113g (1.0mol) 2,6- lupetidines and 111g (1.1mol) triethylamine is added drop-wise in flask.Addition is completed Afterwards, exist-20 DEG C of stirring reaction mixtures 1 hour, are then warming up to room temperature.Pass through the vacuum filter removal HCl in bag glove Et3N salt byproduct precipitate, uses N2Purge to prevent product and the reaction of moisture in air.The GC/MS of filtrate is analyzed to identify Product 2,6- lupetidine subbase chlorosilanes, the molecular ion quality for showing product are 177 and M-CH3Support quality piece The quality of section is 162.Solvent hexane is removed by distilling, and product is separated by being evaporated in vacuo.Boiling point under 10torr is 60 DEG C, yield 66%.
Embodiment 2:The replacement synthetic route of 2,6- lupetidine subbase chlorosilanes
At -78 DEG C to 7.55g (66.68mmol) it is cis-solution of 2, the 6- lupetidines in 50mL THF is added dropwise The 2.5M hexane solutions of 28.00mL (70.02mmol) n-BuLi.Separate out and precipitate and reactant mixture heats up under agitation To room temperature.After one hour, the mixture is added dropwise to 6.74g (66.68mmol) dichlorosilanes in 30mL dimethylbenzene at -40 DEG C In the solution of 70mL hexanes, and form light grey precipitation.Reactant mixture is stirred 16 hours, afterwards by its consolidating from precipitation It is decanted and is distilled under ambient pressure to remove volatile materials in body.Product needed for 3.16g is separated, yield is 27%.Product turns out to be 2,6- lupetidine subbase chlorosilanes through GC/MS analyses.
Embodiment 3:Synthesize 2,6- lupetidine subbase dichlorosilanes
The synthesis of 2,6- lupetidine subbase dichlorosilanes by 70 weight % hexane solution with 1 part of 2,6- bis- Methyl piperidine: 1 part of triethylamine: prepared by the mixed in molar ratio of 1.1 parts of trichlorosilanes.It is double to prevent to need excessive trichlorosilane The formation of (2,6- lupetidine subbase) chlorosilane.Pass through the vacuum filter removal HClEt in bag glove3N salt accessory substances Precipitation, uses N2Purge to prevent product and the reaction of moisture in air.GC/MS analyses (being shown in Fig. 1) to filtrate confirm Product 2,6- lupetidine subbase dichlorosilanes are generated, display quality is 211 and-CH3Support mass fragment quality For 196.After vacuum filter, total hexane amount is removed by the simple distillation in first step.Second step is using vacuum distillation skill Art, wherein collecting product.It is 93 DEG C that the boiling point under 18torr is determined by vacuum distillation, and the boiling point under 5torr is 66 DEG C, yield For 64%.
Embodiment 4:The ald of silicon-containing film
Use following precursor:2,6- lupetidine subbase chlorosilanes carry out the ald of silicon-containing film.Testing Deposited in the ALD processing equipments of room scale.All gas (for example, purging and reacting gas or precursor and oxygen source) are preheating to 100 DEG C, subsequently into crystallizing field.Gas and precursor flow rate use the ALD diaphragm valves with actuating at a high speed to control.Lining for deposition Bottom is 12 inches of long silicon ribbons, thermocouple is attached on sample holder to confirm underlayer temperature.Using ozone as oxygen source gas Body is deposited, and the technological parameter deposited is provided in Table II:
Table II:The technique that the ald of silicon-containing film is carried out with ozone
Repeat step b) is to e) until reaching desired thickness.The sedimentation rate of silicon-containing film caused by sign and refraction Rate.By the way that the reflectance data from film and default physical model (such as Lorentz Oscillator models) are fitted, Use the thickness and refractive index of FilmTek 2000SE ellipsometers measurement film.Film composition uses X-ray photoelectron spectroscopy (XPS) technology is analyzed.In the PHI equipped with multi-channel plate (MCD) and the Al monochromatic x-rays source focused on X-ray photoelectron spectroscopy experiment is carried out on 5000VersaProbe spectrometers.Film forms in the filmPlace measurement with Remove accidental carbon signal.The film composition of measurement eliminates hydrogen (because it can not be detected with XPS), and is normalized to 100%.
X-ray reflection meter measurement (XRR) is carried out on all samples to determine density of film.In 0.2≤2≤0.65 model The interior gate time using 0.001 step-length and 1s/ step is enclosed to scan sample.Using two-layer model analyze data, wherein will lining Bottom is defined as Si and film is defined as into silica.
As summarized in Table III, fed with the precursor of one second, using 2,6- lupetidine subbase chlorosilanes in 150- Silicon oxide film is formed under 300 DEG C of various various substrates:
Table III:The technological parameter of 2,6- lupetidine subbase chlorosilanes and the summary of result
As summarized in Table IV, fed with variable precursor, using 2,6- lupetidine subbase chlorosilanes at 300 DEG C Silicon oxide film is formed under underlayer temperature:
Table IV:The technological parameter and result of 2,6- lupetidine subbase chlorosilanes are summarized
Silicon oxide deposition is shown with the increased sedimentation rate saturation of precursor charging, shows to be similar to the atomic layer deposition from limit Product (ALD) sample behavior.As determined by x-ray photoelectron spectroscopy (XPS), deposition film have 33at.% Si and 67at.% O.Carbon, nitrogen and chlorine are not detected in the film.Density of film is 1.9 ± 0.05g/cc.

Claims (37)

1. forming the method for dielectric film at least one surface of substrate by depositing operation, the depositing operation, which is selected from, to be changed Learning gas-phase deposition and atom layer deposition process, this method includes:
At least one surface of the substrate is provided in the reaction chamber;
Introduce the organic amino silane precursor of at least one halo;
Nitrogen source will be contained to be introduced into the reative cell, wherein the organic amino silane precursor of at least one halo and described containing nitrogen source React to provide described dielectric film at least one surface;Before the wherein described organic amino silane of at least one halo Body is selected from lupetidine subbase dichlorosilane and lupetidine subbase chlorosilane.
2. according to the method for claim 1, wherein the organic amino silane precursor of at least one halo is 2,6- diformazans Phenylpiperidines subbase dichlorosilane.
3. according to the method for claim 1, wherein the organic amino silane precursor of at least one halo is 2,6- diformazans Phenylpiperidines subbase chlorosilane.
4. according to the method for claim 1, wherein the nitrogenous source be selected from ammonia, hydrazine, nitrogen and hydrogen, ammonia plasma treatment, Nitrogen plasma, nitrogen and hydrogen plasma and its mixture.
5. according to the method for claim 1, wherein the nitrogenous source is selected from monoalkylhydrazine and dialkyl group hydrazine.
6. according to the method for claim 1, wherein the dielectric film is selected from silicon nitride and carbonitride of silicium.
7. forming the method for dielectric film by ald (ALD) technique, this method comprises the following steps:
A. substrate is provided in ALD reactors;
B. provide at least one halo organic amino silane precursor in the ALD reactors;
C. ALD reactors described in inert gas purge are used;
D. provided in the ALD reactors and contain nitrogen source;
E. ALD reactors described in inert gas purge are used;With
Repeat step b to e is until dielectric film thickness desired by acquisition;Before the wherein described organic amino silane of at least one halo Body is selected from lupetidine subbase dichlorosilane and lupetidine subbase chlorosilane.
8. according to the method for claim 7, wherein the organic amino silane precursor of at least one halo is 2,6- diformazans Phenylpiperidines subbase dichlorosilane.
9. according to the method for claim 7, wherein the organic amino silane precursor of at least one halo is 2,6- diformazans Phenylpiperidines subbase chlorosilane.
10. according to the method for claim 7, wherein the nitrogenous source is selected from ammonia, hydrazine, nitrogen, nitrogen and hydrogen, ammonia plasma Body, nitrogen plasma, nitrogen and hydrogen plasma and its mixture.
11. according to the method for claim 7, wherein the nitrogenous source is selected from monoalkylhydrazine and dialkyl group hydrazine.
12. according to the method for claim 7, wherein the dielectric film is selected from silicon nitride and carbonitride of silicium.
It is thin that 13. dielectric is formed at least one surface of substrate using plasma enhanced atomic layer deposition (PEALD) technique The method of film, this method include:
A. substrate is provided in ALD reactors;
B. provide at least one halo organic amino silane precursor in the ALD reactors;
C. ALD reactors described in inert gas purge are used;
D. plasma is provided in the ALD reactors and contains nitrogen source;
E. ALD reactors described in inert gas purge are used;With
Repeat step b to e is until dielectric film thickness desired by acquisition;Before the wherein described organic amino silane of at least one halo Body is selected from lupetidine subbase dichlorosilane and lupetidine subbase chlorosilane.
14. according to the method for claim 13, wherein the organic amino silane precursor of at least one halo is 2,6- bis- Methylpiperidinio dichlorosilane.
15. according to the method for claim 13, wherein the organic amino silane precursor of at least one halo is 2,6- bis- Methylpiperidinio chlorosilane.
16. according to the method for claim 13, wherein the plasma is selected from ammonia plasma treatment, nitrogen plasma containing nitrogen source Body, nitrogen and hydrogen plasma and its mixture.
17. according to the method for claim 13, wherein the dielectric film is selected from silicon nitride and carbonitride of silicium.
18. the method for silicon oxide film is formed on substrate, including:
Make oxidant with the precursors reaction comprising the organic amino silane of at least one halo with described in gas-phase deposition Silicon oxide film is formed on substrate;The wherein described organic amino silane of at least one halo is selected from lupetidine subbase two Chlorosilane and lupetidine subbase chlorosilane.
19. according to the method for claim 18, wherein the vapour deposition is selected from chemical vapor deposition, ald At least one of with plasma enhanced atomic layer deposition.
20. according to the method for claim 18, wherein the vapour deposition is selected from low-pressure chemical vapor deposition, plasma At least one in body enhancing chemical vapor deposition, cyclic chemical vapor deposition and plasma enhancing cyclic chemical vapor deposition Kind.
21. according to the method for claim 18, wherein the organic amino silane of at least one halo is 2,6- dimethyl Piperidino dichlorosilane.
22. according to the method for claim 18, wherein the organic amino silane of at least one halo is 2,6- dimethyl Piperidino chlorosilane.
23. the method for forming silicon oxide film on substrate, including:
By being vapor-deposited by the composition comprising at least one organic amino silane precursor and at least one oxidant described Silicon oxide film is formed on substrate;
Wherein described vapour deposition is in chemical vapor deposition, ald and plasma enhanced atomic layer deposition It is at least one;And the wherein described organic amino silane precursor of at least one be selected from lupetidine subbase dichlorosilane and Lupetidine subbase chlorosilane.
24. according to the method for claim 23, wherein the vapour deposition is selected from low-pressure chemical vapor deposition, plasma At least one in body enhancing chemical vapor deposition, cyclic chemical vapor deposition and plasma enhancing cyclic chemical vapor deposition Kind.
25. according to the method for claim 23, wherein the organic amino silane precursor of at least one is 2,6- dimethyl Piperidino dichlorosilane.
26. according to the method for claim 23, wherein the organic amino silane precursor of at least one is 2,6- dimethyl Piperidino chlorosilane.
27. the method for forming silicon oxide film on substrate, including:
The organic amino silane of halo is introduced into reactor;
At least one oxidant is introduced into reactor, wherein, at least one oxidant and the organic amino silane of the halo React to provide silicon oxide film over the substrate;The wherein described organic amino silane of halo is selected from lupetidine Base chlorosilane and lupetidine subbase dichlorosilane.
28. for forming the method with certain thickness silicon oxide film on substrate, this method includes:
A. the organic amino silane precursor of at least one halo is introduced into settling chamber;
B. at least one organic amino silane precursor of halo described in chemisorbed is on the substrate;
C. unreacted at least one organic amino silane precursor of halo is purged using purge gas;
D. oxygen source is provided to have with least one halo adsorbed to the organic amino silane precursor of halo on the substrate of heating Machine amino silane precursors reaction;With
E. any unreacted oxygen source is optionally purged;
The wherein described organic amino silane precursor of at least one halo is selected from lupetidine subbase dichlorosilane and 2,6- Lupetidine subbase chlorosilane.
29. according to the method for claim 28, wherein repeat step a to d and optional step e, until reaching described Film thickness.
30. according to the method for claim 28, wherein the organic amino silane precursor of at least one halo is 2,6- bis- Methylpiperidinio dichlorosilane.
31. according to the method for claim 28, wherein the organic amino silane precursor of at least one halo is 2,6- bis- Methylpiperidinio chlorosilane.
32. according to the method for claim 28, it is Atomic layer deposition method.
33. according to the method for claim 28, it is plasma enhancing cyclic chemical vapor deposition method.
34. the organic amino silane precursor of halo, it is 2,6- lupetidine subbase dichlorosilanes.
35. by the depositing operation selected from chemical vapor deposition method and atom layer deposition process at least one surface of substrate The upper method for forming silicon-containing film, this method include:
At least one surface of the substrate is provided in the reaction chamber;With
Using the organic amino silane precursor of halo the silicon-containing film is formed at least one surface;Wherein described halo Organic amino silane precursor is selected from lupetidine subbase chlorosilane and lupetidine subbase dichlorosilane.
36. for the composition of deposited dielectric films, said composition includes:
A) the organic amino silane precursor of halo, selected from 2,6- lupetidine subbase chlorosilanes and 2,6- lupetidine subbase two Chlorosilane;With
B) solvent selected from ether, tertiary amine, nitrile, alkyl hydrocarbon, aromatic hydrocarbon or its mixture.
37. composition according to claim 36, wherein the solvent is tertiary amino ether.
CN201210392207.9A 2011-09-27 2012-09-27 Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same Active CN103012457B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201710325154.1A CN107312028B (en) 2011-09-27 2012-09-27 Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same
CN201711096518.XA CN107857774A (en) 2011-09-27 2012-09-27 Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161539717P 2011-09-27 2011-09-27
US61/539,717 2011-09-27
US13/622,117 US8993072B2 (en) 2011-09-27 2012-09-18 Halogenated organoaminosilane precursors and methods for depositing films comprising same
US13/622,117 2012-09-18

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN201710325154.1A Division CN107312028B (en) 2011-09-27 2012-09-27 Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same
CN201711096518.XA Division CN107857774A (en) 2011-09-27 2012-09-27 Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same

Publications (2)

Publication Number Publication Date
CN103012457A CN103012457A (en) 2013-04-03
CN103012457B true CN103012457B (en) 2018-02-09

Family

ID=46968036

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201711096518.XA Pending CN107857774A (en) 2011-09-27 2012-09-27 Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same
CN201210392207.9A Active CN103012457B (en) 2011-09-27 2012-09-27 Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same
CN201710325154.1A Active CN107312028B (en) 2011-09-27 2012-09-27 Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201711096518.XA Pending CN107857774A (en) 2011-09-27 2012-09-27 Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710325154.1A Active CN107312028B (en) 2011-09-27 2012-09-27 Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same

Country Status (6)

Country Link
US (1) US8993072B2 (en)
EP (1) EP2574611B1 (en)
JP (3) JP5658214B2 (en)
KR (1) KR101506940B1 (en)
CN (3) CN107857774A (en)
TW (1) TWI437005B (en)

Families Citing this family (408)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
TW201509799A (en) 2013-07-19 2015-03-16 Air Liquide Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
EP3049499B1 (en) * 2013-09-27 2020-07-22 L'air Liquide, Société Anonyme Pour L'Étude Et L'exploitation Des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
JP5852147B2 (en) * 2014-01-23 2016-02-03 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
EP3149009A4 (en) 2014-05-30 2017-11-08 Dow Corning Corporation Diaminosilane compounds
CN106459098A (en) 2014-05-30 2017-02-22 道康宁公司 Monoaminosilane compounds
KR102065329B1 (en) * 2014-05-30 2020-01-13 다우 실리콘즈 코포레이션 Process of synthesizing diisopropylaminw-disilanes
US10113234B2 (en) * 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
JP6578353B2 (en) * 2014-09-23 2019-09-18 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Carbosilane-substituted amine precursor for SI-containing film deposition and method thereof
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
WO2016126911A2 (en) * 2015-02-06 2016-08-11 Air Products And Chemicals, Inc. Compositions and methods using same for carbon doped silicon containing films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
KR102655396B1 (en) 2015-02-23 2024-04-04 어플라이드 머티어리얼스, 인코포레이티드 Cyclic sequential processes to form high quality thin films
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6959147B2 (en) * 2015-06-16 2021-11-02 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Halide silane compounds and compositions and the process for depositing silicon-containing films using them
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US20180202042A1 (en) * 2015-07-09 2018-07-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10745808B2 (en) * 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
CN108026637A (en) * 2015-09-11 2018-05-11 弗萨姆材料美国有限责任公司 Method for depositing conformal metal or metalloid silicon nitride films and resulting films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
JP6594768B2 (en) * 2015-12-25 2019-10-23 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
TWI753794B (en) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 Si-containing film forming compositions and methods of making and using the same
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6784530B2 (en) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 How to process the object to be processed
KR102362282B1 (en) 2016-03-29 2022-02-11 도쿄엘렉트론가부시키가이샤 How to process the object
WO2017170405A1 (en) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 Method for processing object to be processed
JP6770848B2 (en) 2016-03-29 2020-10-21 東京エレクトロン株式会社 How to process the object to be processed
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102441431B1 (en) * 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 Processing methods comprising positioning a substrate with a surface in a processing chamber
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
TWI746624B (en) * 2016-09-01 2021-11-21 美商Asm Ip控股公司 Method for protecting layer by forming hydrocarbon-based extremely thin film
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10464953B2 (en) * 2016-10-14 2019-11-05 Versum Materials Us, Llc Carbon bridged aminosilane compounds for high growth rate silicon-containing films
CN109890997A (en) * 2016-10-25 2019-06-14 巴斯夫欧洲公司 The method for generating thin silicon-containing film
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (en) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6857503B2 (en) 2017-02-01 2021-04-14 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US11117161B2 (en) 2017-04-05 2021-09-14 Nova Engineering Films, Inc. Producing thin films of nanoscale thickness by spraying precursor and supercritical fluid
KR102323894B1 (en) 2017-04-05 2021-11-08 이상인 Deposition of Materials by Spraying of Precursors Using Supercritical Fluids
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019069737A1 (en) * 2017-10-04 2019-04-11 Dic株式会社 Particles, ink, and light-emitting element
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102103346B1 (en) * 2017-11-15 2020-04-22 에스케이트리켐 주식회사 Precursor Solution for Vapor Deposition and Fabrication Method of Thin Film Using the Same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10640523B2 (en) 2018-01-12 2020-05-05 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (en) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. Method for depositing a gap filling layer by plasma assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI843623B (en) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (en) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (en) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition processes for forming metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (en) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP7265446B2 (en) * 2018-08-10 2023-04-26 住友精化株式会社 Aminosilane compound, composition for forming a silicon-containing film containing said aminosilane compound
CN110824599B (en) 2018-08-14 2021-09-03 白金科技股份有限公司 Infrared band-pass filter
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (en) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 Thin film deposition method with respect to substrate
JP6980624B2 (en) * 2018-09-13 2021-12-15 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing method, substrate processing device and program
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102157137B1 (en) 2018-11-30 2020-09-17 주식회사 한솔케미칼 Silicon precursor and fabrication method of silicon-containing thin film using the same
EP3680245A4 (en) * 2018-11-30 2020-07-22 Hansol Chemical Co., Ltd Silicon precursor and method for manufacturing silicon-containing thin film using same
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (en) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method and system for forming device structures using selective deposition of gallium nitride - Patents.com
JP7164789B2 (en) * 2018-12-21 2022-11-02 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Precursors and processes for depositing Si-containing films using ALD at temperatures above 550°C
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TWI838458B (en) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TWI845607B (en) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TWI842826B (en) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (en) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (en) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP7527928B2 (en) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
CN114867888A (en) * 2019-12-27 2022-08-05 弗萨姆材料美国有限责任公司 Method for depositing a film
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
JP2021111783A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Channeled lift pin
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (en) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
CN111233513A (en) * 2020-02-12 2020-06-05 南京医科大学 Method for modifying bonding surface of zirconia ceramic restoration
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
KR20210127620A (en) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. method of forming a nitrogen-containing carbon film and system for performing the method
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (en) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
JP2021177545A (en) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing system for processing substrates
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202146699A (en) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202202649A (en) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
TW202229601A (en) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (en) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 Gas supply unit and substrate processing apparatus including the same
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235649A (en) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Methods for filling a gap and related systems and devices
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
KR20220081905A (en) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. Silicon precursors for silicon silicon nitride deposition
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
WO2022139535A1 (en) * 2020-12-24 2022-06-30 주식회사 유피케미칼 Thin film forming method using top-surface modifier
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0902031A2 (en) * 1997-08-28 1999-03-17 Dow Corning Corporation Aminosilanes and method of preparation
CN1584108A (en) * 2003-04-05 2005-02-23 罗姆和哈斯电子材料有限责任公司 Organometallic compounds
US6869638B2 (en) * 2001-03-30 2005-03-22 Advanced Tehnology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
CN102282291A (en) * 2009-03-19 2011-12-14 株式会社艾迪科 Raw material for chemical vapor deposition, and process for forming silicon-containing thin film using same
CN103582719A (en) * 2011-06-03 2014-02-12 气体产品与化学公司 Compositions and processes for depositing carbon-doped silicon-containing films

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CS267800B1 (en) 1988-12-19 1990-02-12 Jan Ing Csc Janiga Process for the preparation of trisdietylaminosiliciumchloride
CA1336596C (en) * 1989-07-26 1995-08-08 Constantine A. Stewart Organosilane compounds
JP3282769B2 (en) * 1994-07-12 2002-05-20 ソニー株式会社 Method for manufacturing semiconductor device
DE69809148T2 (en) 1997-07-31 2003-07-24 Nippon Mitsubishi Oil Corp., Tokio/Tokyo silane compound
EP1127929B1 (en) 2000-02-28 2009-04-15 JSR Corporation Composition for film formation, method of film formation, and silica-based film
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
JP4054957B2 (en) * 2001-12-07 2008-03-05 信越化学工業株式会社 Method for reducing the chlorine content of tetrakis (dimethylamino) silane
AU2003281815A1 (en) * 2002-08-02 2004-02-23 The Government Of The United States Of America, Represented By The Secretary, Dept. Of Health And Hu Cross-linked nitric oxide-releasing polyamine coated substrates, compositions comprising same and method of making same
US6893750B2 (en) 2002-12-12 2005-05-17 General Electric Company Thermal barrier coating protected by alumina and method for preparing same
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7358194B2 (en) 2005-08-18 2008-04-15 Tokyo Electron Limited Sequential deposition process for forming Si-containing films
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US8318966B2 (en) * 2006-06-23 2012-11-27 Praxair Technology, Inc. Organometallic compounds
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP5119832B2 (en) 2007-09-27 2013-01-16 富士通株式会社 Interface roughness reducing film, wiring layer, semiconductor device, and method of manufacturing semiconductor device
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
EP2553141A4 (en) 2010-04-01 2013-08-21 Air Liquide Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US20120277457A1 (en) * 2010-10-12 2012-11-01 Air Products And Chemicals, Inc. Aminosilanes and methods for making same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0902031A2 (en) * 1997-08-28 1999-03-17 Dow Corning Corporation Aminosilanes and method of preparation
US6869638B2 (en) * 2001-03-30 2005-03-22 Advanced Tehnology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
CN1584108A (en) * 2003-04-05 2005-02-23 罗姆和哈斯电子材料有限责任公司 Organometallic compounds
CN102282291A (en) * 2009-03-19 2011-12-14 株式会社艾迪科 Raw material for chemical vapor deposition, and process for forming silicon-containing thin film using same
CN103582719A (en) * 2011-06-03 2014-02-12 气体产品与化学公司 Compositions and processes for depositing carbon-doped silicon-containing films

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
Aminosilane-Iodosilane Adducts;J. Emsley;《J. Chem. Soc.-Inorg. Phys. Theor.》;19680101;第1012页右栏 *
Aminosubstituted Disilanes: Synthesis by Unsymmetrical and Symmetrical Reductive Coupling;Joachim Heinicke等,;《Heteroatom Chemistry》;19981231;第312页方案2-3、第314页左栏第2-3段 *
CHEMICAL ABSTRACTS SERVICE RN:18145-37-4;-;《STN Registry数据库》;19841116;CAS RN为18145-37-4的化合物 *
Preparation of β-Cyanoethyltrichlorosilane Using Silylamine Catalysts;ROSCOE A. PIKE等,;《Journal of Organic Chemistry》;19620101;第2191页表1 *
Properties and Reactions of Organosilanes and Organogermanes Containing the Potentially Bidentate (X(CH2)n)2N-Group;Harle Heather D.等,;《Synthesis and Reactivity in Inorganic and Metal-Organic Chemistry》;20031231;CAS RN为705290-15-9的化合物 *

Also Published As

Publication number Publication date
JP2015026849A (en) 2015-02-05
EP2574611A1 (en) 2013-04-03
CN107312028A (en) 2017-11-03
EP2574611B1 (en) 2016-03-23
CN107312028B (en) 2023-04-14
KR101506940B1 (en) 2015-03-31
KR20130034001A (en) 2013-04-04
TW201319077A (en) 2013-05-16
CN103012457A (en) 2013-04-03
US20130078392A1 (en) 2013-03-28
US8993072B2 (en) 2015-03-31
JP2013100262A (en) 2013-05-23
JP5658214B2 (en) 2015-01-21
CN107857774A (en) 2018-03-30
JP6310018B2 (en) 2018-04-11
TWI437005B (en) 2014-05-11
JP6100743B2 (en) 2017-03-22
JP2016219834A (en) 2016-12-22

Similar Documents

Publication Publication Date Title
CN103012457B (en) Halogenated organoaminosilane precursors and methods of depositing thin films comprising the same
KR101924630B1 (en) Organoaminodisilane precursors and methods for depositing films comprising same
KR101651955B1 (en) Aza-polysilane precursors and methods for depositing film comprising same
CN103451619B (en) Organic aminodisilane precursor and comprise the method for thin film deposition of this precursor
EP2860182B1 (en) Organoaminosilane precursors and methods for depositing films comprising same
CN102827198B (en) Organic amino base silane precursor and preparation and application thereof
US8912353B2 (en) Organoaminosilane precursors and methods for depositing films comprising same
CN103864837B (en) Alkoxysilylamine compounds and uses thereof
CN103224510B (en) Alkoxy amino silane compound and application thereof
KR20190042110A (en) Composition and method for the deposition of silicon oxide films
TWI680982B (en) Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
TWI852311B (en) Halide-functionalized cyclotrisilazanes as precursors for deposition of silicon-containing films

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20170602

Address after: Arizona, USA

Applicant after: Versum Materials US, LLC

Address before: American Pennsylvania

Applicant before: Air Products and Chemicals, Inc.

GR01 Patent grant
GR01 Patent grant