CN102745638A - 微机电器件蚀刻停止 - Google Patents

微机电器件蚀刻停止 Download PDF

Info

Publication number
CN102745638A
CN102745638A CN2012100576267A CN201210057626A CN102745638A CN 102745638 A CN102745638 A CN 102745638A CN 2012100576267 A CN2012100576267 A CN 2012100576267A CN 201210057626 A CN201210057626 A CN 201210057626A CN 102745638 A CN102745638 A CN 102745638A
Authority
CN
China
Prior art keywords
layer
mems
etching stopping
structure sheaf
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012100576267A
Other languages
English (en)
Other versions
CN102745638B (zh
Inventor
朱家骅
蔡易恒
梁凯智
徐家保
朱立晟
张贵松
杨学安
林宗贤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102745638A publication Critical patent/CN102745638A/zh
Application granted granted Critical
Publication of CN102745638B publication Critical patent/CN102745638B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/84Types of semiconductor device ; Multistep manufacturing processes therefor controllable by variation of applied mechanical force, e.g. of pressure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00039Anchors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00777Preserve existing structures from alteration, e.g. temporary protection during manufacturing
    • B81C1/00785Avoid chemical alteration, e.g. contamination, oxidation or unwanted etching
    • B81C1/00801Avoid alteration of functional structures by etching, e.g. using a passivation layer or an etch stop layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0307Anchors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/015Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • B81C2201/014Controlling etch progression by depositing an etch stop layer, e.g. silicon nitride, silicon oxide, metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Micromachines (AREA)

Abstract

本发明提供了微机电系统(MEMS)器件和用于制造这种器件的方法。在实施例中,MEMS器件包括:衬底,位于衬底上方的介电层,位于介电层上方的蚀刻停止层,以及和位于介电层上方的两个定位塞,该两个定位塞均与设置在介电层上方的蚀刻停止层或顶部金属层相接触。该器件进一步包括设置在空腔上方的MEMS结构层,该空腔通过释放牺牲层而在两个定位塞之间和位于蚀刻停止层上方的位置上形成。本发明还提供了一种微机电器件蚀刻停止。

Description

微机电器件蚀刻停止
技术领域
本发明涉及半导体领域,更具体地,本发明涉及一种微机电器件蚀刻停止。
背景技术
晶圆级封装(WLP)技术用于晶圆级的半导体器件封装。为了提高电性能并降低成本,可以在各种各样的工艺技术中采用WLP,其中,上述工艺技术包括:3D集成电路(IC)、芯片级封装(CSP)器件、以及微机电系统(MEMS)。然而,对于当前WLP技术和该技术提供的晶圆制造和封装工艺的集成存在若干限制。封装方法(例如,保护器件和提供互连)可能与用于形成器件的制造工艺不兼容。此外,解决方法通常需要复杂的封装方案,该封装方案会造成面积/密度损失、污染问题、和/或结构性弱点。在一实例中,由于缺乏对牺牲层蚀刻的控制,MEMS器件在固定区中具有较差的机械结构。
发明内容
本发明提供了多个不同实施例。根据一实施例,提供了一种微机电系统(MEMS)器件。该器件包括:衬底;介电层,位于衬底上方;蚀刻停止层,位于介电层上方;两个定位塞,位于介电层上方,两个定位塞均与设置在介电层上方的蚀刻停止层或者顶部金属层相接触;以及微机电系统(MEMS)结构层,被设置在空腔的上方,通过释放牺牲层,在两个定位塞之间并且在蚀刻停止层上方形成空腔。
另一主要实施例提供了一种制造微机电系统(MEMS)器件的方法。该方法包括:提供衬底;在衬底上方形成介电层;在介电层上方形成蚀刻停止层;在蚀刻停止层上方形成牺牲层;在牺牲层上方形成微机电系统(MEMS)结构层;在介电层上方形成两个定位塞,两个定位塞均与位于介电层上方的蚀刻停止层或顶部金属层相接触;图案化MEMS结构层,从而形成释放孔;以及通过MEMS结构层的释放孔去除位于两个定位塞之间并且位于蚀刻停止层上方的牺牲层。
为了解决现有技术中所存在的问题,根据本发明的一个方面,提供了一种微机电系统(MEMS)器件,包括:衬底;介电层,位于所述衬底上方;蚀刻停止层,位于所述介电层上方;两个定位塞,位于所述介电层上方,所述两个定位塞均与设置在所述介电层上方的所述蚀刻停止层或者顶部金属层相接触;以及微机电系统(MEMS)结构层,被设置在空腔的上方,通过释放所述牺牲层,在所述两个定位塞之间并且在所述蚀刻停止层上方形成所述空腔。
在该器件中,所述蚀刻停止层包含介电材料或者非导电材料。
在该器件中,所述蚀刻停止层耐HF蒸汽、耐液态HF、和/或耐缓冲HF。
在该器件中,所述蚀刻停止层由AlN、SiC、和/或无定形碳构成。
在该器件中,所述介电层和所述牺牲层由相同材料构成。
在该器件中,所述定位塞被设置为穿过所述MEMS结构层和/或所述牺牲层。
在该器件中,所述定位塞由导电材料或者非导电材料构成。
在该器件中,所述定位塞由SiGe、多晶硅、钨、氮化钛、和/或氧化铝构成。
在该器件中,所述顶部金属层被设置在所述蚀刻停止层上方;并且所述器件进一步包括:导电通孔,被设置为穿过所述MEMS结构层,从而与所述顶部金属层相接触。
在该器件中,所述顶部金属层被设置在位于所述介电层上方的部分中,并且其中,所述蚀刻停止层被设置在所述顶部金属层的所述部分之间。
根据本发明的另一方面,提供了一种制造微机电系统(MEMS)器件的方法,所述方法包括:提供衬底;在所述衬底上方形成介电层;在所述介电层上方形成蚀刻停止层;在所述蚀刻停止层上方形成牺牲层;在所述牺牲层上方形成微机电系统(MEMS)结构层;在所述介电层上方形成两个定位塞,所述两个定位塞均与位于所述介电层上方的所述蚀刻停止层或顶部金属层相接触;图案化所述MEMS结构层,从而形成释放孔;以及通过所述MEMS结构层的所述释放孔去除位于所述两个定位塞之间并且位于所述蚀刻停止层上方的所述牺牲层。
在该方法中,所述蚀刻停止层由介电材料或者非导电材料构成。
在该方法中,所述蚀刻停止层耐HF蒸汽、耐液态HF、和/或耐缓冲HF。
在该方法中,所述蚀刻停止层由AlN、SiC、和/或无定形碳构成。
在该方法中,所述介电层和所述牺牲层由相同材料构成。
在该方法中,所得形成的所述定位塞穿过所述MEMS结构层和/或所述牺牲层。
在该方法中,所述定位塞由导电材料或非导电材料构成。
在该方法中,所述定位塞由SiGe、多晶硅、钨、氮化钛、和/或氧化铝构成。
在该方法中,进一步包括:在所述蚀刻停止层上方形成所述顶部金属层;以及形成穿过所述MEMS结构层和所述牺牲层,从而与所述顶部金属层相接触的导电通孔。
在该方法中,进一步包括:在所述介电层上方的部分中形成所述顶部金属层;以及在所述顶部金属层的所述部分之间形成所述蚀刻停止层。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的多方面。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减少。
图1为根据本发明的实施例的制造微机电系统(MEMS)器件的方法的流程图。
图2A至图2K-1为根据本发明的实施例的在各个制造阶段的MEMS器件的横截面图,图2K-2为在图2K-1中所示的制造阶段的MEMS器件的俯视图。
图3A-图6A和图3B-图6B为根据本发明的实施例的分别具有非导电定位塞和导电定位塞的MEMS器件的横截面图。
图7A至图7J-1为根据本发明的实施例的在各个制造阶段的MEMS器件的横截面图,图7J-2为在图7J-1中所示的制造阶段的MEMS器件的俯视图。
图8A-图11A和图8B-图11B为根据本发明的实施例的分别具有非导电定位塞和导电定位塞的MEMS器件的横截面图。
具体实施方式
可以理解,为了实施本发明的不同部件,以下发明提供了许多不同的实施例或示例。以下描述元件和布置的特定实例以简化本发明。当然这些仅仅是实例并不旨在进行限定。再者,以下描述中第一部件形成在第二部件上方或之上可以包括第一部件和第二部件直接接触形成的实施例,还可包括将附加部件形成插入到第一部件和第二部件之间,从而使得第一部件和第二部件不直接接触的实施例。为了简明和清楚,可以任意地以不同的尺寸绘制各种部件。应该注意,为了简明和清楚,在附图中类似地标示出相同或相似部件。另外,为了清楚,可以简化某些附图。因此,附图可能没有示出给定装置(例如,器件)或方法的所有元件。
在本文中,参考作为本发明的理想配制的示意图的附图来描述本发明的各个方面。这样,可以预期诸如制造技术和/或公差可能导致示意图的形状变化。因此,通过本发明所提出本发明的各个方面不应该被理解为局限于在本文中所示出和描述的元件(例如,区域、层、部分、衬底等)的特定形状,而是包括例如由于制造而导致的形状的偏差。例如,作为矩形所示和所述的元件可以在其边缘处具有圆形或曲线特性和/或梯度密度,而不是从一个元件至另一个元件的不连续变化。因此,在图中示出的元件实际上是示意性的,并且其形状不用于示出元件的实际形状,并且不用于限定本发明的范围。
可以理解,当将诸如区域、层、部分、衬底等的元件称作位于另一元件“上方”时,该元件可以直接位于其他元件的“上方”或者还可能具有中间元件。相反,当将元件称作直接处于另一元件的上方时,不存在中间元件。应该进一步理解,当将元件称作形成在另一元件的上方时,可以在其他元件或中间元件的上方生长、沉积、蚀刻、附接、连接、耦合、或者相反,制备或制造该元件。
另外,本文可能使用空间相对术语(诸如,“下”或“底部”和“上”或“顶部”),以描述如附图所示的一个元件与另一元件的关系。应该理解,除了在图中示出的定向之外,这些术语旨在包括装置的不同定向。例如,如果翻转在附图中的装置,则所述的位于另一元件“下”侧的元件定位在另一元件的“上”侧。因此,术语“下”可以根据装置的具体定向包括“下”和“上”这两个定向。类似地,如果翻转在附图中的元件,则所述的位于另一元件“下方”或“之下”的元件定向为位于另一元件的“上方”。因此,术语“下方”或“之下”可以包括上方和下方这两个定向。
除非另有定义,否则本文所用的所有术语(包括技术上的和理论上的术语)的含义与本发明所属领域的普通技术人员通常理解的含义相同。应当进一步理解,例如通用字典中限定的术语的含义应该被解释为与相关技术和本发明的上下文中的意思相一致的含义。
如这里所用的,除非文中明确说明,否则单数形式“一个(a,an)”和“该(the)”也包括复数形式。还应当进一步理解,术语“包括”和/或“包含”用在本说明书中时,其指明存在所述特征、整数、步骤、操作、元件和/或成分,但并不排除存在或者增加一个或多个其它特征、整数、步骤、操作、元件、成分和/或它们的组合。术语“和/或”包括:所列相关术语中的一个或多个的任何一个或者所有组合。
应该理解,尽管这里使用术语“第一”和“第二”来描述各种区域、层和/或部分,但是没有通过这些术语限定这些区域、层、和/或部分。仅将这些术语用于区分一区域、一层、或一部分和另一区域、另一层、或另一部分。因此,可以把下文中所讨论的第一区域、第一层、或第一部分称为第二区域、第二层、或第二部分,并且类似地,在不背离本发明的教导的情况下,可以将第二区域、第二层、或第二部分称为第一区域、第一层、或第一部分。
应该理解,可以仅简要描述器件的若干处理步骤和/或部件,这些步骤和/或部件对于本领域中的技术人员来说是众所周知的。此外,可以增加额外的处理步骤或部件,并且可以去除和/或改变某一以下处理步骤或部件,同时仍实现权利要求。因此,应该将以下描述理解为仅表示实例,并不旨在提出需要一个或多个步骤或部件。
应该进一步理解,本发明通常与适用于衬底封装的WLP相关。本文所述的衬底可以采用多种形式,该多种形式包括,但不限于,具有包括通过基于CMOS的工艺所形成的集成电路的晶圆(或者其部分)、管芯、微机电系统(MEMS)衬底、顶盖(capping)衬底、具有形成在其上的CMOS器件和MEMS器件的单个衬底等。相反,载体晶圆可能不包括集成电路。此外,如上所述,本文描述了具体实施例,该具体实施例仅为示例性的并不旨在限定。
现在,参考图1,示出了根据本发明的实施例的制造MEMS器件的方法100的流程图。方法100从框102开始,其中,提供衬底。在框104中,在衬底上方形成介电层。在框106中,在介电层上方形成蚀刻停止层。在框108中,在蚀刻停止层上方形成牺牲层。在框110中,在牺牲层的上方形成微机电系统(MEMS)结构层。在框112中,在介电层上方形成两个定位塞,这两个定位塞均与位于介电层上方的蚀刻停止层或顶部金属层接触。在框114中,将MEMS结构层图案化,从而形成释放孔。在框116中,通过MEMS结构层的释放孔去除牺牲层,该牺牲层位于在两个定位塞之间和蚀刻停止层上方。
应该注意,可以对方法100的操作进行重新布置或者修改,而不超出各个方面的范围。应该进一步注意,可以在图1的方法100之前、之中、以及之后提供额外工艺,并且本文中仅简要描述了其他一些工艺。在一实例中,蚀刻停止层包括电介质材料或非导电材料。在另一实例中,蚀刻停止层耐HF蒸汽、耐液体HF、和/或耐缓冲HF。在另一实例中,蚀刻停止层包括:AlN、SiC、和/或无定形碳。在另一实例中,介电层和牺牲层均包括相同材料,比如氧化物。在另一实例中,通过MEMS结构层和/或牺牲层形成定位塞。在另一实例中,定位塞由导电材料或非导电材料形成。在另一实例中,定位塞由SiGe、多晶硅、钨、氮化钛、和/或氧化铝。
在又一实例中,方法100可以进一步包括:在蚀刻停止层上方形成顶部金属层,并且形成金属通孔或导体通孔,该通孔穿过MEMS结构层和牺牲层,从而与顶部金属层接触。在又一实例中,方法100可以进一步包括:在介电层上方的部分中形成顶部金属层,并且在顶部金属层的部分之间形成蚀刻停止层。
衬底可以包括:各种半导体器件、和/或其他适当有源器件和/或无源器件。示例半导体器件包括集成电路,该集成电路包括:具有互补MOSFET(CMOS)部件的金属绝缘体半导体场效应晶体管(MOSFET)、CIS、和/或其他适当有源器件和/或无源器件。在实施例中,衬底可以包括:使用基于CMOS工艺设计和形成的集成电路(或者集成电路的部分)。可以通过硅晶圆制造MEMS衬底,并且该MEMS衬底包括:MEMS特征和/或功能。具有通过其他半导体制造技术所形成的器件(例如集成电路)的衬底也在所述方法的范围内。
根据一个方面,MEMS结构的衬底可以包括硅晶圆,并且还可以包括其他元素半导体,例如锗;或者化合物半导体,例如,碳化硅、砷化镓、砷化铟、和/或磷化铟。可以通过本领域通常公知的各种沉积、图案化、和蚀刻技术来形成上述方法100的各种结构。
现在,参照图2A至图2K-2,图2A至图2K-1为根据本发明的实施例的在各种制造阶段的MEMS器件200的横截面图,并且图2K-2为在图2K-1中所示的制造阶段的MEMS器件的俯视图。
图2A示出了衬底202。在一实施例中,衬底202可以包括半导体衬底,并且可以由硅构成,或者可选地,可以由锗化硅、砷化镓、或者其他适当半导体材料构成。衬底可以进一步包括掺杂有源区域和其他部件,例如埋层、和/或外延层。此外,衬底可以为绝缘体上半导体,例如绝缘体上硅(SOI)。在其他实施例中,半导体衬底可以包括掺杂外延层、梯度半导体层、和/或可以进一步包括:覆盖不同类型的另一半导体层的半导体层,例如,锗化硅层上的硅层。在其他实例中,化合物半导体衬底可以包括多层硅衬底,或者硅衬底可以包括多层化合物半导体结构。可以将有源区配置为NMOS器件(例如,nFET)或者PMOS器件(例如,pFET)。半导体衬底可以包括在先前工艺步骤期间所形成的或者在随后工艺步骤期间形成的下层、器件、结、以及其他部件(未示出)。
图2B示出了形成在衬底202上方的介电层204。在一个实施例中,介电层204包括由介电材料构成的介电层206,例如CMOS工艺的氧化物或氮化物,并且可以进一步包括:通过通孔210互连的多个金属层208。可以通过各种沉积工艺和蚀刻工艺形成介电层206、金属层208、以及通孔210。
图2C示出了形成在介电层204上方的蚀刻停止层212。根据一个方面,蚀刻停止层212由介电材料或非导电材料构成。根据另一方面,蚀刻停止层212耐HF蒸汽、耐液体HF、和/或耐缓冲HF。根据另一方面,蚀刻停止层212包括由AlN、SiC、和/或无定形碳构成。根据另一方面,形成与CMOS工艺兼容的蚀刻停止层212。可以使用各种传统的沉积、图案化、以及蚀刻技术和工具来形成蚀刻停止层212。优选地,蚀刻停止层212控制随后在蚀刻停止层上方形成的牺牲层的垂直蚀刻。
图2D示出了形成在蚀刻停止层212上方的顶部金属层214(例如,顶部金属层214a、214b、214c)以及将顶部金属层214连接至金属层208的相应通孔215。可以使用各种传统沉积、图案化、以及蚀刻工艺和工具来形成顶部金属层214和通孔215。
图2E示出了形成在顶部金属层214或蚀刻停止层212上方的牺牲层216。根据一个方面,在一个实例中,吸收层216由与介电层206相同的材料构成,例如,氧化硅,但是在其他实施例中,可以由不同材料组成。在一个实例中,牺牲层216可以由各种材料构成,例如,金属、聚合物、氮化物、氧化物、或者其组合,但是如下文中进一步描述,牺牲层可以由能够从随后形成的MEMS结构层218选择性地去除的材料构成。牺牲层216通过诸如化学机械平坦化(CMP)进行平坦化,从而提供了更坚固的机械结构。根据一个方面,可以通过各种传统技术和工具,例如,通过化学汽相沉积(CVD)、低压CVD(LPCVD)、物理汽相沉积(PVD)、电镀、蒸发、电子束蒸发(电子束蒸镀机)、离子束、能量束、其组合、和/或其他适当沉积工艺来形成牺牲层216。
图2F示出了形成在牺牲层216上方的微机电系统(MEMS)结构层218。在一实施例中,可以沉积和平坦化MEMS结构层218,或者在另一实施例中,可以将MEMS结构层218与另一晶圆结合。在一个方面中,MEMS结构层218可以由各种材料构成,但是该MEMS结构层218根据牺牲层216的随后蚀刻是选择性的。
图2G示出了形成在介电层204上方的两个定位塞220(例如,定位塞220a、220b)。在该实施例中,两个定位塞220均与蚀刻停止层212接触。在其他实施例中,如下文中关于图4A-图4B、图6A-图6B、以及图11A-图11B进一步描述,定位塞220均与位于介电层204的上方的顶部金属层接触。根据一个方面,将定位塞220均被设置为穿过MEMS结构层218和/或牺牲层216。根据另一方面,可以在形成MEMS结构层218之前,形成定位塞220,并且可以将该定位塞220设置为穿过牺牲层216(例如,如下文中关于图5A-图5B、图6A-图6B、图10A-图10B、以及图11A-图11B所示出)。因此,根据一个方面,可以将定位塞220均设置为穿过MEMS结构层218和/或牺牲层216。根据另一方面,定位塞220均由导电材料或非导电材料构成。根据又一方面,定位塞220均由SiGe、多晶硅、钨、氮化钛、和/或氧化铝构成。根据又一方面,定位塞220不仅限于单种材料,还可以由多膜堆叠构成。根据又一方面,在沉积工艺中,在约450摄氏度下形成定位塞220。根据又一方面,可以通过光刻、穿过MEMS结构层218和牺牲层216的蚀刻、沉积定位塞材料、以及回蚀刻来形成定位塞220。
图2H示出了所形成的穿过MEMS结构层218和牺牲层216,从而与顶部金属层214接触的通孔(例如,通孔222a、222b)。根据一个方面,通孔222可以由导电材料构成。根据一个方面,可以将通孔222设置为穿过MEMS结构层218和牺牲层216,从而与顶部金属层214接触。在其他实施例中,可以在形成MEMS结构层218之前形成通孔222,并且因此,可以将通孔222设置为穿过牺牲层216,从而与顶部金属层214接触。
图2I示出了形成在相应通孔222(例如,通孔222a、222b)上方的金属焊盘224(例如,焊盘224a、224b)。可以根据MEMS器件的要求沉积和图案化其他材料和层。可以使用各种传统的沉积、图案化、以及蚀刻工艺和工具来形成金属焊盘224,该金属焊盘224可以由各种金属形成。
图2J示出了经过图案化的MEMS结构层218,从而形成释放孔226。可以通过各种光刻和蚀刻技术来图案化MEMS结构层218。比图2J中所示出的开口更多或更少仍包含在本发明的范围内。可以使用各种传统图案化和蚀刻技术和工具来图案化蚀刻MEMS结构层218。在一个实例中,可以结合用于图案化蚀刻的图案化光刻胶使用具有氟化蚀刻气体的各向同性氧化物蚀刻机。在另一实例中,可以使用深反应离子蚀刻来形成开口226。可以使用其他制造技术来图案化衬底的,这些技术可以包括用来图案化衬底的光刻处理和/或蚀刻。
图2K-1为沿着图2K-2的线I-I所得到的横截面图。图2K-1和图2K-2分别示出了MEMS器件200的横截面图和俯视图,其中,位于两个定位塞220a、220b之间并且位于蚀刻停止层212上方的牺牲层216通过MEMS结构层218的释放孔226被去除。根据一个方面,可以通过选择性蚀刻去除该牺牲层216,例如,通过汽相HF蚀刻。
优选地,在空腔228的上方形成MEMS结构层218,该空腔228位于定位塞220之间和蚀刻停止层212上方,当将定位塞220和蚀刻停止层212用于分别在水平(横向或侧向)和垂直方向上控制蚀刻去除牺牲层时,改进了机械强度并且保护了下面的介电材料。换句话说,通过蚀刻停止层212,保护了位于蚀刻停止层212下面的介电层在牺牲层的蚀刻工艺期间免受损害,并且通过蚀刻塞220,保护了MEMS结构层218的定位区域(即,连接至介电层204和衬底202的MEMS结构层218的区域)在牺牲层的蚀刻工艺期间免受损害,从而改进了在定位区域的机械强度。此外,本发明提供了具有氧化物牺牲层的MEMS和CMOS的单片集成电路。
现在,参照图3A-图6A和图3B-图6B,横截面图示出了根据本发明的实施例的分别具有非导电定位塞和导电定位塞的MEMS器件。图3A-图6A和图3B-图6B示出了MEMS器件,其中,该器件与在图2K-1中所示出的MEMS器件200类似,并且如以上关于图2A至图2K-1所描述,该器件可以包括与MEMS器件200相同的或基本相似的器件。在这里,可能不包括相同或基本相似的元件的描述,从而避免冗长描述,但是这样的描述完全可应用于关于图3A-图6A和图3B-图6B的这些实施例中。相互并列地示出了这些附图,从而突出本发明的不同实施例。
图3A示出了包括导电通孔322a的MEMS器件300A,所形成的该导电通孔穿过MEMS结构层218和牺牲层216,从而与顶部金属层214接触。形成穿过MEMS结构层218和牺牲层216,从而与蚀刻停止层212接触的非导电定位塞220a。可以在与定位塞形成工艺分离的通孔形成工艺(例如,蚀刻和沉积工艺)中形成导电通孔322a。相反,图3B示出了包括导电通孔322b的MEMS器件300B,其中,可以在与导电定位塞220b形成工艺相同的工艺期间形成该导电通孔。在这种情况下,不需要附加的通孔塞工艺。
图4A示出了包括导电通孔322a的MEMS器件400A,该导电通孔322a形成为穿过MEMS结构层218和牺牲层216,从而与顶部金属层414接触,其中,在该实施例中,该顶部金属层414比顶部金属层214(图3A-图3B)的尺寸更宽,从而使得非导电定位塞420a形成为穿过MEMS结构层218和牺牲层216,从而与顶部金属层414(而不是蚀刻停止层212)接触。可以在与定位塞形成工艺分离的通孔形成工艺(例如,沉积工艺)中形成导电通孔322a。相反,图4B示出了包括导电通孔322b的MEMS器件400B,其中,可以在与导电定位塞420b形成工艺相同的工艺期间形成该导电通孔。在这种情况下,不需要附加的通孔塞工艺。
图5A示出了包括导电通孔522a的MEMS器件500A,在形成MEMS结构层218之前形成该导电通孔,从而使得所形成的该导电通孔穿过牺牲层216,从而与顶部金属层214接触。非导电定位塞520a也在形成MEMS结构层218之前形成,从而使得所形成的定位塞520穿过牺牲层216,从而与蚀刻停止层212接触。可以在与非导电定位塞形成工艺分离的通孔形成工艺(例如,沉积工艺)中形成导电通孔522a。相反,图5B示出了包括导电通孔522b的MEMS器件500B,其中,在与导电定位塞520b的形成工艺相同的工艺中形成该导电通孔。在这种情况下,不需要附加的通孔塞工艺。
图6A示出了包括导电通孔522a的MEMS器件600A,在形成MEMS结构层218以前形成该导电通孔,从而使得所形成的导电通孔522a穿过牺牲层216,从而与顶部金属层414接触,其中,在该实施例中,该顶部金属层414具有比顶部金属层214(图5A-图5B)更宽的尺寸。在形成MEMS结构层218之前还形成了非导电定位塞520a,所形成的非导电定位塞520a穿过牺牲层216,从而与蚀刻停止层412(而不是蚀刻停止层212)接触。可以在与定位塞形成工艺分离的通孔形成工艺(例如,沉积工艺)中形成导电通孔522a。相反,图6B示出了包括导电通孔522b的MEMS器件600B,其中,在与导电定位塞520b的形成工艺相同的工艺中形成该导电通孔。在这种情况下,不需要附加的通孔栓塞工艺。
现在,参照图7A至图7K-2,图7A至图7K-1为根据本发明的实施例的各个制造工艺中的MEMS器件700的横截面图,并且图7K-2为在图7K-1中所示的制造阶段中的MEMS器件的俯视图。
图7A示出了衬底702。在一个实施例中,衬底702可以包括半导体衬底,并且可以由硅构成,或者可选地,可以包括锗化硅、砷化镓、或者其他适当半导体材料。衬底可以进一步包括:掺杂有源区域和其他部件,例如埋层、和/或外延层。此外,衬底可以为绝缘体上半导体,例如绝缘体上硅(SOI)。在其他实施例中,半导体衬底可以包括掺杂外延层、梯度半导体层、和/或可以进一步包括覆盖了不同类型的另一半导体层的半导体层,例如,锗化硅层上的硅层。在其他实例中,化合物半导体衬底可以包括多层硅结构,或者硅衬底可以包括多层化合物半导体结构。可以将有源区域配置为NMOS器件(例如,nFET)或者PMOS器件(例如,pFET)。半导体衬底可以包括:在先前工艺步骤期间所形成的或者在随后工艺步骤期间所形成的下层、器件、结、以及其他部件(未示出)。
图7B示出了形成在衬底702上方的介电层704。在一个实施例中,介电层704包括由介电材料构成的介电层706,例如CMOS工艺的氧化物或氮化物,并且可以进一步包括:通过通孔710互连的多个金属层708。可以通过各种沉积和蚀刻工艺形成介电层706、金属层708、以及通孔710。
图7B进一步示出了形成在介电层704上方的部分的顶部金属层714(例如,顶部金属层714a、714b、714c)和将顶部金属层714的部分连接到金属层708的相应通孔710。可以使用各种传统的沉积、图案化、和蚀刻技术来形成顶部金属层714。
图7C示出了形成在介电层704上方并且在顶部金属层714的部分之间的蚀刻停止层712(蚀刻停止层712a、712b)。根据一个方面,蚀刻停止层712覆盖了顶部金属层714的部分的端部。根据一个方面,蚀刻停止层712由电介质材料或非导电材料构成。根据另一方面,蚀刻停止层712耐HF蒸汽、耐液体HF、和/或耐缓冲HF。根据另一方面,蚀刻停止层712由AlN、SiC、和/或无定形碳构成。根据另一方面,形成与CMOS工艺兼容的蚀刻停止层712。可以使用各种传统的沉积、图案化、以及蚀刻技术和工具来形成蚀刻停止层712。优选地,蚀刻停止层712和顶部金属层714都控制了随后在蚀刻停止层712和顶部金属层714上方形成的牺牲层的垂直蚀刻。
图7D示出了形成在顶部金属层714和蚀刻停止层712上方的牺牲层716。根据一个方面,在一个实例中,牺牲层716由与介电层706相同的材料构成,例如,氧化硅,但是在其他实施例中,该牺牲层可以由不同材料构成。在一实例中,牺牲层716可以由各种材料构成,例如,金属、聚合物、氮化物、氧化物、或者其组合,但是如下文中进一步描述的,牺牲层可以由能够从随后形成的MEMS结构层718选择性地去除的材料构成。通过诸如化学机械平坦化(CMP)将牺牲层716进行平坦化,从而提供了更坚固的机械结构。根据一个方面,可以通过各种传统技术和工具,例如,通过化学汽相沉积(CVD)、低压CVD(LPCVD)、物理汽相沉积(PVD)、电镀、蒸发、电子束蒸发(电子束蒸镀机)、离子束、能量束、其组合、和/或其他适当沉积工艺来形成牺牲层716。
图7E示出了形成在牺牲层716上方的微机电系统(MEMS)结构层718。在一个实施例中,可以沉积和平坦化MEMS结构层718,或者在另一实施例中,可以将MEMS结构层718与另一晶圆相接合。在一个方面,MEMS结构层718可以由各种材料构成,但是根据牺牲层716的随后蚀刻是可选择的。
图7F示出了形成在介电层704上方的两个定位塞720(例如,定位塞720a、720b)。在该实施例中,两个定位塞720均与蚀刻停止层712接触。在其他实施例中,如下文中关于图9A-图9B和图11A-图11B所作的进一步描述,定位塞720均与位于介电层704的上方的顶部金属层接触。根据一个方面,将定位塞720均设置为穿过MEMS结构层718和/或牺牲层716。根据另一方面,可以在形成MEMS结构层718之前,形成定位塞720,并且可以将该定位塞720设置为穿过牺牲层716(例如,如下文中关于图10A-图10B和图11A-图11B所示出的)。因此,根据一个方面,可以将定位塞720均设置为穿过MEMS结构层718和/或牺牲层716。根据另一方面,定位塞720均由导电材料或非导电材料构成。根据又一方面,定位塞720均由SiGe、多晶硅、钨、氮化钛、和/或氧化铝构成。根据又一方面,定位塞720不仅限于单种材料,而是可以由多膜堆叠构成。根据又一方面,在沉积工艺中,在约450摄氏度下形成定位塞720。根据又一方面,可以通过光刻、穿过MEMS结构层718和牺牲层716的蚀刻、沉积定位塞材料、以及回蚀刻来形成定位塞720。
图7G示出了形成为穿过MEMS结构层718和牺牲层716,从而与顶部金属层714接触的通孔722(例如,通孔722a、722b)。根据一个方面,通孔722可以由导电材料构成。根据一个方面,可以将通孔722设置为穿过MEMS结构层718和牺牲层716,从而与顶部金属层714接触。在其他实施例中,可以在形成MEMS结构层718之前形成通孔722,并且因此,可以将通孔722设置为穿过牺牲层716,从而与顶部金属层714接触。
图7H示出了形成在相应通孔722(例如,通孔722a、722b)上方的金属焊盘724(例如,焊盘724a、724b)。可以根据MEMS器件的要求沉积和图案化其他材料和层。可以使用各种传统的沉积、图案化、以及蚀刻工艺和工具来形成金属焊盘724,该金属焊盘可以由各种金属形成。
图7I示出了经过图案化从而形成了释放孔726的MEMS结构层718。可以通过各种光刻和蚀刻技术来图案化MEMS结构层718。比在图7I中所示的更多或更少的开口包含在本发明的范围内。可以使用各种传统图案化和蚀刻技术和工具来图案化蚀刻MEMS结构层718。在一个实例中,可以结合用于图案化蚀刻的图案化光刻胶,使用具有氟化蚀刻气体的各向同性氧化物蚀刻机。在另一实例中,可以使用深反应离子蚀刻来形成开口726。可以使用其他制造技术来图案化衬底的,这些技术可以包括用来图案化衬底的光刻处理和/或蚀刻。
图7J-1为沿着图7J-2的线II-II所获得的横截面图。图7J-1和图7J-2分别示出了MEMS器件700的横截面图和俯视图,其中,位于两个定位塞720a、720b之间并且位于蚀刻停止层712上方的牺牲层716通过MEMS结构层718的释放孔726被去除。根据一个方面,可以通过选择性蚀刻去除该牺牲层716,例如,通过汽相HF蚀刻。
优选地,在空腔728的上方形成MEMS结构层718,将该空腔设置在定位塞720之间和蚀刻停止层712和顶部金属层714的上方,当将定位塞720和蚀刻停止层712用于分别在水平(横向或侧向)和垂直方向上控制蚀刻去除牺牲层时,改进了机械强度,并且保护下面的介电材料。换句话说,通过蚀刻停止层712和金属层714,保护了位于蚀刻停止层712和顶部金属层714下面的介电层在牺牲层的蚀刻工艺期间免受损害,并且通过蚀刻栓塞720,保护了MEMS结构层718的定位区域(即,连接至介电层704和衬底702的MEMS结构层718的区域)在牺牲层的蚀刻工艺期间免受损害,从而改进了定位区域处的机械强度。此外,本发明提供了具有氧化物牺牲层的MEMS和CMOS的单片集成电路。
现在,参照图8A-图11A和图8B-图11B,横截面图示出了根据本发明的实施例的分别具有非导电定位塞和导电定位塞的MEMS器件。图8A-图11A和图8B-图11B示出了MEMS器件,其中,该器件与在图7J-1中所示的MEMS器件700类似,并且如以上关于图7A至图7J-2所作的描述,可以包括与MEMS器件700中相同的或基本相似的器件。这里,可能不包括对于相同或基本相似的元件的描述,从而避免了冗长描述,但是这样的描述完全可应用于关于图8A-图11A和图8B-图11B的这些实施例中。相互并列地示出了这些附图,从而突出了本发明的不同实施例。
图8A示出了包括导电通孔822a的MEMS器件800A,该导电通孔形成为穿过MEMS结构层718和牺牲层716,从而与顶部金属层714接触。形成穿过MEMS结构层718和牺牲层716,从而与蚀刻停止层712接触的非导电定位塞720a。可以在与定位塞形成工艺分离的通孔形成工艺(例如,蚀刻和沉积工艺)中形成导电通孔822a。相反,图8B示出了包括导电通孔822b的MEMS器件800B,其中,可以在与导电定位塞720b形成工艺相同的工艺期间形成该导电通孔。在这种情况下,不需要附加的通孔塞工艺。
图9A示出了包括导电通孔822a的MEMS器件900A,该导电通孔形成为穿过MEMS结构层718和牺牲层716,从而与顶部金属层914接触,其中,在该实施例中,该顶部金属层具有比顶部金属层714(图8A-图8B)更宽的尺寸,从而形成穿过MEMS结构层718和牺牲层716,从而与顶部金属层914(而不是蚀刻停止层712)接触的非导电定位塞720a,。可以在与定位塞形成工艺分离的通孔形成工艺(例如,沉积工艺)中形成导电通孔822a。相反,图9B示出了包括导电通孔822b的MEMS器件900B,其中,可以在与导电定位塞720b形成工艺相同的工艺期间形成该导电通孔822b。在这种情况下,不需要附加的通孔塞工艺。
图10A示出了包括导电通孔1022a的MEMS器件1000A,在形成MEMS结构层718之前形成该导电通孔,从而使得导电通孔1022a形成为穿过牺牲层716,从而与顶部金属层714接触。在形成MEMS结构层718之前还形成了定位塞1020a,从而使得定位塞1020形成为穿过牺牲层716,从而与蚀刻停止层712接触。可以在与定位塞形成工艺分离的通孔形成工艺(例如,沉积工艺)中形成导电通孔1022a。相反,图10B示出了包括导电通孔1022b的MEMS器件1000B,其中,可以在与导电定位塞1020b的形成工艺相同的工艺中形成该导电通孔。在这种情况下,不需要附加的通孔塞工艺。
图11A示出了包括导电通孔1022a的MEMS器件1100A,在形成MEMS结构层718之前形成该导电通孔,从而使得导电通孔1022a形成为穿过牺牲层716,从而与顶部金属层714接触,其中,在该实施例中,该顶部金属层具有比顶部金属层714(图10A-图10B)更宽的尺寸。还在形成MEMS结构层718之前形成穿过牺牲层716,从而与蚀刻停止层912(而不是蚀刻停止层712)接触的非导电定位塞1020a。可以在与定位塞形成工艺分离的通孔形成工艺(例如,沉积工艺)中形成导电通孔1022a。相反,图11B示出了包括导电通孔1022b的MEMS器件1100B,其中,在与导电定位塞1020b的形成工艺相同的工艺中形成该导电通孔。在这种情况下,不需要附加的通孔塞工艺。
优选地,可以轻易地将上述制造方法和MEMS器件与标准CMOS后端凸块、封装、和测试工艺结合在一起。此外,本发明允许有简化的MEMS封装工艺,该简化的MEMS封装工艺通过使用结合牺牲层的定位塞和蚀刻停止层提供了水平蚀刻控制和垂直蚀刻控制。
因此,本发明提供了各种实施例。在一实施例中,公开了半导体器件。一种MEMS器件包括:衬底;介电层,位于衬底上方;蚀刻停止层,位于介电层上方;两个定位塞,位于介电层上方,两个定位塞均与设置在介电层上方的蚀刻停止层或者顶部金属层相接触;以及MEMS结构层,被设置在空腔的上方,通过释放牺牲层,在两个定位塞之间并且在蚀刻停止层上方形成空腔。
本发明还提供了制造MEMS器件的方法。在一实施例中,该方法包括:提供衬底;在衬底上方形成介电层;在介电层上方形成蚀刻停止层;在蚀刻停止层上方形成牺牲层;在牺牲层上方形成微机电系统(MEMS)结构层;在介电层上方形成两个定位塞,两个定位塞均与位于介电层上方的蚀刻停止层或顶部金属层相接触;图案化MEMS结构层,从而形成释放孔;以及通过MEMS结构层的释放孔去除位于两个定位塞之间并且位于蚀刻停止层上方的牺牲层。
尽管已经详细地描述了本发明的实施例,但本领域中的技术人员应该理解,可以在不背离本发明主旨和范围的情况下,做各种不同的改变,替换和更改。因此,所以这样的改变,替换和更改旨在包括在如以下权利要求所限定的本发明的范围内。在权利要求中,手段加功能分句旨在覆盖实施所陈述的功能的本文所述的结构,并且不仅是结构的等同替换,而且是等效的结构。

Claims (10)

1.一种微机电系统(MEMS)器件,包括:
衬底;
介电层,位于所述衬底上方;
蚀刻停止层,位于所述介电层上方;
两个定位塞,位于所述介电层上方,所述两个定位塞均与设置在所述介电层上方的所述蚀刻停止层或者顶部金属层相接触;以及
微机电系统(MEMS)结构层,被设置在空腔的上方,通过释放所述牺牲层,在所述两个定位塞之间并且在所述蚀刻停止层上方形成所述空腔。
2.根据权利要求1所述的器件,其中,所述蚀刻停止层包含介电材料或者非导电材料,或者
其中,所述蚀刻停止层耐HF蒸汽、耐液态HF、和/或耐缓冲HF,或者
其中,所述蚀刻停止层由AlN、SiC、和/或无定形碳构成,或者
其中,所述介电层和所述牺牲层由相同材料构成,或者
其中,所述定位塞被设置为穿过所述MEMS结构层和/或所述牺牲层,或者
其中,所述定位塞由导电材料或者非导电材料构成。
3.根据权利要求1所述的器件,其中,所述定位塞由SiGe、多晶硅、钨、氮化钛、和/或氧化铝构成。
4.根据权利要求1所述的器件,其中,所述顶部金属层被设置在所述蚀刻停止层上方;并且所述器件进一步包括:导电通孔,被设置为穿过所述MEMS结构层,从而与所述顶部金属层相接触。
5.根据权利要求1所述的器件,其中,所述顶部金属层被设置在位于所述介电层上方的部分中,并且其中,所述蚀刻停止层被设置在所述顶部金属层的所述部分之间。
6.一种制造微机电系统(MEMS)器件的方法,所述方法包括:
提供衬底;
在所述衬底上方形成介电层;
在所述介电层上方形成蚀刻停止层;
在所述蚀刻停止层上方形成牺牲层;
在所述牺牲层上方形成微机电系统(MEMS)结构层;
在所述介电层上方形成两个定位塞,所述两个定位塞均与位于所述介电层上方的所述蚀刻停止层或顶部金属层相接触;
图案化所述MEMS结构层,从而形成释放孔;以及
通过所述MEMS结构层的所述释放孔去除位于所述两个定位塞之间并且位于所述蚀刻停止层上方的所述牺牲层。
7.根据权利要求6所述的方法,其中,所述蚀刻停止层由介电材料或者非导电材料构成,或者
其中,所述蚀刻停止层耐HF蒸汽、耐液态HF、和/或耐缓冲HF,或者
其中,所述蚀刻停止层由AlN、SiC、和/或无定形碳构成,或者
其中,所述介电层和所述牺牲层由相同材料构成,或者
其中,所得形成的所述定位塞穿过所述MEMS结构层和/或所述牺牲层,或者
其中,所述定位塞由导电材料或非导电材料构成。
8.根据权利要求6所述的方法,其中,所述定位塞由SiGe、多晶硅、钨、氮化钛、和/或氧化铝构成。
9.根据权利要求6所述的方法,进一步包括:
在所述蚀刻停止层上方形成所述顶部金属层;以及
形成穿过所述MEMS结构层和所述牺牲层,从而与所述顶部金属层相接触的导电通孔。
10.根据权利要求6所述的方法,进一步包括:
在所述介电层上方的部分中形成所述顶部金属层;以及
在所述顶部金属层的所述部分之间形成所述蚀刻停止层。
CN201210057626.7A 2011-04-18 2012-03-06 微机电器件蚀刻停止 Active CN102745638B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/089,027 2011-04-18
US13/089,027 US8368152B2 (en) 2011-04-18 2011-04-18 MEMS device etch stop

Publications (2)

Publication Number Publication Date
CN102745638A true CN102745638A (zh) 2012-10-24
CN102745638B CN102745638B (zh) 2015-09-16

Family

ID=47005839

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210057626.7A Active CN102745638B (zh) 2011-04-18 2012-03-06 微机电器件蚀刻停止

Country Status (2)

Country Link
US (2) US8368152B2 (zh)
CN (1) CN102745638B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104944359A (zh) * 2014-03-25 2015-09-30 中芯国际集成电路制造(上海)有限公司 Mems器件及其形成方法
CN104955765A (zh) * 2013-02-01 2015-09-30 韩国科学技术院 Mems器件制造方法
CN105084298A (zh) * 2014-05-07 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
CN105439081A (zh) * 2014-09-30 2016-03-30 中芯国际集成电路制造(上海)有限公司 Mems器件的形成方法
CN105621341A (zh) * 2015-12-29 2016-06-01 苏州工业园区纳米产业技术研究院有限公司 一种mems锚区结构及其制备方法
CN105621342A (zh) * 2015-12-29 2016-06-01 苏州工业园区纳米产业技术研究院有限公司 一种mems释放辅助结构及其制备方法
CN106082103A (zh) * 2015-04-29 2016-11-09 台湾积体电路制造股份有限公司 防止湿清洗工艺之后的粘滞的微机电系统(mems)结构
US10145739B2 (en) 2014-04-03 2018-12-04 Oto Photonics Inc. Waveguide sheet, fabrication method thereof and spectrometer using the same
CN109712959A (zh) * 2017-10-25 2019-05-03 新加坡商格罗方德半导体私人有限公司 Mems与ic装置的单块整合
CN111295733A (zh) * 2017-09-19 2020-06-16 深圳华大智造科技有限公司 晶片级测序流通池制造
CN111527043A (zh) * 2017-10-23 2020-08-11 弗劳恩霍夫应用研究促进协会 微机电部件及其制造方法
CN112470269A (zh) * 2018-05-03 2021-03-09 ams有限公司 带有贯穿衬底通孔的半导体器件

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI434803B (zh) * 2010-06-30 2014-04-21 Ind Tech Res Inst 微機電元件與電路晶片之整合裝置及其製造方法
TWI459520B (zh) * 2011-01-31 2014-11-01 Xintec Inc 轉接板及其形成方法
US8368152B2 (en) * 2011-04-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device etch stop
US8497148B2 (en) * 2011-07-22 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and methods of forming same
US8748999B2 (en) * 2012-04-20 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitive sensors and methods for forming the same
CN103373698B (zh) * 2012-04-26 2015-09-16 张家港丽恒光微电子科技有限公司 制作mems惯性传感器的方法及mems惯性传感器
US9617150B2 (en) 2013-10-09 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Micro-electro mechanical system (MEMS) device having a blocking layer formed between closed chamber and a dielectric layer of a CMOS substrate
US9505612B2 (en) * 2013-12-19 2016-11-29 Agency For Science, Technology And Research Method for thin film encapsulation (TFE) of a microelectromechanical system (MEMS) device and the MEMS device encapsulated thereof
CN104944360B (zh) * 2014-03-25 2017-05-17 中芯国际集成电路制造(上海)有限公司 Mems器件及其形成方法
US9352955B2 (en) * 2014-03-27 2016-05-31 Maxim Integrated Products, Inc. MEMS pressure sensor with improved insensitivity to thermo-mechanical stress
US9449867B2 (en) * 2014-06-17 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. VHF etch barrier for semiconductor integrated microsystem
US9422149B2 (en) 2014-07-25 2016-08-23 Semiconductor Manufacturing International (Shanghai) Corporation Trapped sacrificial structures and methods of manufacturing same using thin-film encapsulation
US9487396B2 (en) * 2014-09-04 2016-11-08 Invensense, Inc. Release chemical protection for integrated complementary metal-oxide-semiconductor (CMOS) and micro-electro-mechanical (MEMS) devices
US9922956B2 (en) 2014-09-26 2018-03-20 Qualcomm Incorporated Microelectromechanical system (MEMS) bond release structure and method of wafer transfer for three-dimensional integrated circuit (3D IC) integration
US10150664B2 (en) * 2014-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Microelectromechanical systems (MEMS) stopper structure for stiction improvement
WO2017065691A1 (en) * 2015-10-14 2017-04-20 Agency For Science, Technology And Research Device arrangement
AU2018237066B2 (en) 2017-03-20 2022-09-15 Mgi Tech Co., Ltd. Biosensors for biological or chemical analysis and methods of manufacturing the same
US11262262B2 (en) * 2019-01-28 2022-03-01 Taiwan Semiconductor Manufacturing Company Ltd. Pressure sensor and manufacturing method thereof
WO2023041250A1 (de) * 2021-09-20 2023-03-23 Robert Bosch Gmbh Mikromechanisches bauelement

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1046917A2 (en) * 1999-04-19 2000-10-25 Murata Manufacturing Co., Ltd. Method of manufacturing an external force detection sensor
US20030153116A1 (en) * 2000-05-30 2003-08-14 Carley L. Richard Encapsulation of MEMS devices using pillar-supported caps
US20040077154A1 (en) * 2002-10-17 2004-04-22 Ranganathan Nagarajan Wafer-level package for micro-electro-mechanical systems
US7083997B2 (en) * 2000-08-03 2006-08-01 Analog Devices, Inc. Bonded wafer optical MEMS process
CN101580222A (zh) * 2008-05-15 2009-11-18 原相科技股份有限公司 微机电元件与制作方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3778128B2 (ja) * 2002-05-14 2006-05-24 株式会社デンソー メンブレンを有する半導体装置の製造方法
US7368808B2 (en) * 2003-06-30 2008-05-06 Intel Corporation MEMS packaging using a non-silicon substrate for encapsulation and interconnection
KR100541087B1 (ko) * 2003-10-01 2006-01-10 삼성전기주식회사 마이크로 디바이스를 위한 웨이퍼 레벨 패키지 및 제조방법
TWM300307U (en) * 2006-03-08 2006-11-01 K Bridge Electronics Co Ltd Improved positioning structure of a light-guide
US7894503B2 (en) * 2006-08-16 2011-02-22 Texas Instruments Incorporated System and method of flexible channel allocation in an ultra wideband (UWB) frequency hopping communication system
US7812459B2 (en) * 2006-12-19 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuits with protection layers
JP4836872B2 (ja) * 2007-05-31 2011-12-14 株式会社東芝 制御装置、制御方法、プログラム及びロボット
US8022491B2 (en) * 2008-12-31 2011-09-20 Stmicroelectronics, Inc. High aspect ratio all SiGe capacitively coupled MEMS devices
KR101215919B1 (ko) * 2010-08-13 2012-12-27 전자부품연구원 정전용량형 압력센서 및 그의 제조방법
US8716051B2 (en) * 2010-10-21 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device with release aperture
US8368152B2 (en) * 2011-04-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device etch stop

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1046917A2 (en) * 1999-04-19 2000-10-25 Murata Manufacturing Co., Ltd. Method of manufacturing an external force detection sensor
US20030153116A1 (en) * 2000-05-30 2003-08-14 Carley L. Richard Encapsulation of MEMS devices using pillar-supported caps
US7083997B2 (en) * 2000-08-03 2006-08-01 Analog Devices, Inc. Bonded wafer optical MEMS process
US20040077154A1 (en) * 2002-10-17 2004-04-22 Ranganathan Nagarajan Wafer-level package for micro-electro-mechanical systems
CN101580222A (zh) * 2008-05-15 2009-11-18 原相科技股份有限公司 微机电元件与制作方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104955765A (zh) * 2013-02-01 2015-09-30 韩国科学技术院 Mems器件制造方法
CN104955765B (zh) * 2013-02-01 2018-02-13 韩国科学技术院 Mems器件制造方法
CN104944359A (zh) * 2014-03-25 2015-09-30 中芯国际集成电路制造(上海)有限公司 Mems器件及其形成方法
US10145739B2 (en) 2014-04-03 2018-12-04 Oto Photonics Inc. Waveguide sheet, fabrication method thereof and spectrometer using the same
CN105084298A (zh) * 2014-05-07 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
CN105084298B (zh) * 2014-05-07 2019-01-18 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制作方法
CN105439081A (zh) * 2014-09-30 2016-03-30 中芯国际集成电路制造(上海)有限公司 Mems器件的形成方法
CN105439081B (zh) * 2014-09-30 2017-06-13 中芯国际集成电路制造(上海)有限公司 Mems器件的形成方法
CN106082103A (zh) * 2015-04-29 2016-11-09 台湾积体电路制造股份有限公司 防止湿清洗工艺之后的粘滞的微机电系统(mems)结构
CN106082103B (zh) * 2015-04-29 2018-05-11 台湾积体电路制造股份有限公司 防止湿清洗工艺之后的粘滞的微机电系统(mems)结构
CN105621341A (zh) * 2015-12-29 2016-06-01 苏州工业园区纳米产业技术研究院有限公司 一种mems锚区结构及其制备方法
CN105621342A (zh) * 2015-12-29 2016-06-01 苏州工业园区纳米产业技术研究院有限公司 一种mems释放辅助结构及其制备方法
CN111295733A (zh) * 2017-09-19 2020-06-16 深圳华大智造科技有限公司 晶片级测序流通池制造
CN111295733B (zh) * 2017-09-19 2024-01-05 深圳华大智造科技股份有限公司 晶片级测序流通池制造
CN111527043A (zh) * 2017-10-23 2020-08-11 弗劳恩霍夫应用研究促进协会 微机电部件及其制造方法
CN109712959A (zh) * 2017-10-25 2019-05-03 新加坡商格罗方德半导体私人有限公司 Mems与ic装置的单块整合
CN109712959B (zh) * 2017-10-25 2022-12-16 新加坡商世界先进积体电路私人有限公司 Mems与ic装置的单块整合
CN112470269A (zh) * 2018-05-03 2021-03-09 ams有限公司 带有贯穿衬底通孔的半导体器件
CN112470269B (zh) * 2018-05-03 2024-05-10 ams有限公司 带有贯穿衬底通孔的半导体器件

Also Published As

Publication number Publication date
US8633554B2 (en) 2014-01-21
CN102745638B (zh) 2015-09-16
US8368152B2 (en) 2013-02-05
US20130140653A1 (en) 2013-06-06
US20120261830A1 (en) 2012-10-18

Similar Documents

Publication Publication Date Title
CN102745638B (zh) 微机电器件蚀刻停止
US10087069B2 (en) Semiconductor devices with moving members and methods for making the same
US10155659B2 (en) Vacuum sealed MEMS and CMOS package
US9617147B2 (en) Dual layer microelectromechanical systems device and method of manufacturing same
US9550666B2 (en) MEMS device with release aperture
US8748205B1 (en) MEMS structure with adaptable inter-substrate bond
US9321632B2 (en) Socket type MEMS bonding
US8735260B2 (en) Method to prevent metal pad damage in wafer level package
EP1817793B1 (en) Methods for forming co-planar wafer-scale chip packages
US11498832B2 (en) Stacked semiconductor structure and method of forming the same
US9309109B2 (en) MEMS-CMOS integrated devices, and methods of integration at wafer level
JP2010510077A (ja) モノリシックic及びmemsマイクロ加工方法
US20190144269A1 (en) Wafer level encapsulation for mems device
US9868630B2 (en) Package structure and manufacturing method thereof
CN113206142A (zh) 集成芯片
CN106601673B (zh) 形成深沟槽的方法和深沟槽隔离结构
US11174156B2 (en) Bonding process for forming semiconductor device structure

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant