CN102549726B - 可调适地修复低-k电介质损坏的方法 - Google Patents

可调适地修复低-k电介质损坏的方法 Download PDF

Info

Publication number
CN102549726B
CN102549726B CN201080045501.1A CN201080045501A CN102549726B CN 102549726 B CN102549726 B CN 102549726B CN 201080045501 A CN201080045501 A CN 201080045501A CN 102549726 B CN102549726 B CN 102549726B
Authority
CN
China
Prior art keywords
renovation agent
photoresist mask
low
dielectric layer
silica
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201080045501.1A
Other languages
English (en)
Other versions
CN102549726A (zh
Inventor
史蒂芬·M·施瑞德
詹姆斯·德扬
奥德特·蒂梅尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102549726A publication Critical patent/CN102549726A/zh
Application granted granted Critical
Publication of CN102549726B publication Critical patent/CN102549726B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Organic Insulating Materials (AREA)

Abstract

为具有有机化合物的硅基低-k介电层的损坏提供经调适的修复的方法,其中损坏使附着于硅的羟基替代附着于硅的甲基。提供前导气体,包括第一修复剂,其表示为Si-(R)x(ORˊ)y,其中y≥1且x+y=4,且其中R为烷基或芳基且Rˊ为烷基或芳基;以及第二修复剂,其表示为Si-(R)x(ORˊ)yR",其中y≥1且x+y=3,且其中R为烷基或芳基且Rˊ为烷基或芳基,且R"为降低湿润净化化学品和低-k电介质之间界面的表面张力的基团。将第一修复剂和第二修复剂中的一些结合到低-k电介质从而形成第一修复剂和第二修复剂的单层。

Description

可调适地修复低-K电介质损坏的方法
技术领域
本发明涉及通过蚀刻穿过低-k硅基有机介电层在半导体晶片上获得结构的方法。
背景技术
在半导体等离子体蚀刻应用中,等离子体蚀刻器通常用于将例如光刻胶掩膜图案等有机掩膜图案转换成硅晶片上的所期望的薄膜和/或膜层堆叠(filmstack)(导体或电介质绝缘体)的电路和线路图案。这是通过蚀刻掉在掩膜图案的打开区域中的光刻胶材料下面的膜(和膜层堆叠)来实现的。该蚀刻反应通过化学活性类物质和带电微粒(离子)引发,该带电微粒(离子)通过激发容纳在真空围场(也被称为反应器室)中的反应物混合物中的放电而产生。此外,离子也朝向晶片材料加速穿过产生于气体混合物和晶片材料之间的电场,沿着离子轨迹的方向以被称为各向异性蚀刻的方式定向去除蚀刻材料。在蚀刻顺序完成时,通过将掩膜材料剥除掉来去除掩膜材料,使最初预期的掩膜图案的横向图案的复制品留在该掩模材料的位置。
发明内容
为实现上述目标并结合本发明的目的,提供了为具有有机化合物的硅基低-k介电层的损坏提供经调适的修复的方法,其中损坏使附着于硅的羟基替代附着于硅的甲基。提供前导气体,该前导气体包括:第一修复剂,其表示为Si-(R)x(OR')y,其中y≥1且x+y=4,且其中R为烷基或芳基且R'为烷基或芳基;以及第二修复剂,其表示为Si-(R)x(OR')yR",其中y≥1且x+y=3,且其中R为烷基或芳基且R'为烷基或芳基,且R"为降低湿润净化化学品和低-k电介质之间界面的表面张力的基团。将第一修复剂和第二修复剂中的一些结合到低-k电介质从而形成第一修复剂和第二修复剂的单层(monolayer)。
在本发明另一种实施方式中,提供了为具有有机化合物的硅基低-k介电层的损坏提供经调适的修复的方法,其中损坏使附着于硅的羟基替代附着于硅的甲基。在被破坏的硅基低-k介电层的表面上形成修复层,从而用Si-C或者CH3键替换硅烷醇(Si-OH)键。暴露所述修复层于等离子体,从而用OH部分(moiety)替换CH3部分中的一些从而降低湿润净化化学品和低-k电介质之间界面的表面张力。
在本发明的另一种实施方式中,提供了修复具有有机化合物的硅基低-k介电层的损坏的方法,其中损坏使附着于硅的羟基替代附着于硅的甲基。提供混合气体,包括:包括路易斯碱性气体的催化剂气体;和含有烷氧基硅烷(alkoxysilane)的气体。将单层的烷氧基硅烷结合到所述硅基低-k介电层上。
本发明的这些以及其他特征将在本发明的具体实施方式中结合以下附图更详细地描述。
附图说明
本发明通过附图中的示例进行说明,而不是进行限制,在附图中相似的参考标号指代相似的元件且其中:
图1为本发明一种具体实施方式的流程图。
图2A-B为使用本发明的方法形成的特征的示意图。
图3为可以用于实现本发明的系统的示意图。
图4A-B为修复方法的示意图。
图5A-B为可以用于实现本发明的计算机系统的示意图。
图6为本发明蚀刻方法的另一种具体实施方式的流程图。
图7A-D为另一修复方法的示意图。
图8为可以用于实现本发明的系统的示意图。
具体实施方式
现在将参考如附图中所阐释的本发明的一些优选的实施方式详细描述本发明。在以下的描述中,提出许多具体细节以提供对本发明的彻底的理解。然而对本领域技术人员而言,显而易见,没有这些具体细节的一些或者全部本发明也可以实现。在其它示例中,没有详细描述公知的工艺步骤和/或结构以免不必要地模糊本发明。
随着集成电路器件的外形尺寸继续减小,必须减小传播延迟,这可以通过降低周围介电材料的电容量来实现。在说明书和权利要求书中,低-k材料被定义为具有k﹤3.0的介电常数k。这种低-k介电材料可以是例如氧化硅之类的硅基的带有有机化合物的材料,从而减小介电常数,该材料如有机硅酸盐玻璃(OSG)和四乙基原硅酸盐(TEOS)。对于硅基低-k介电材料而言,通过在低-k介电材料中形成纳米孔的方式,这种材料可以形成为超低-k(k﹤2.8)的材料,这种超低-k的材料称作纳米孔超低-k介电材料。
在半导体先孔后槽(VFTL)双大马士革(DD)工艺中,具有增加的有机成分来提供较低介电常数的氧化硅基低介电常数(低-k)材料在蚀刻和抗剥除工艺期间曝露于各种反应物。曝露的低-k介电材料通常被蚀刻/剥除等离子体和化学品破坏。一般而言,低-k破坏包括在材料构成(例如,碳消耗)、形态(密度或孔隙率)、和/或表面性质(例如,疏水到亲水)上的改变。被破坏的层不再具有预期的介电性能,且能够导致器件产率损失和/或可靠性失效。因此,在低-k介电蚀刻/剥除期间减少破坏已经成为半导体处理中最具关键性的挑战之一。与原始的(未被破坏的)低-k材料不同,被破坏的层可以通过稀释的HF溶液容易地去除。在蚀刻和剥除之后,通过在稀释的HF溶液中浸渍样品后测量材料损失的方式来量化低-k材料损失是一种常规作法。对于纳米孔超低-k介电材料而言,由于孔隙提供了增强的表面区域,在该表面区域之上可能发生破坏且导致在介电膜内破坏自由基的加强的扩散,所以这样的破坏可能增强。
已做出努力来减小低-k介电蚀刻和剥除工艺期间的破坏。现有技术的方法主要通过优化工艺化学方法、硬件配置、和/或等离子体源(例如,RF对微波)等方式来优化蚀刻和剥除工艺。这些现有技术努力仅仅带来有限的成功。随着介电常数(k值)继续减小,以及材料变得更加多孔,以及临界尺寸变得更小,在最高级的集成电路处理中破坏成为更严峻的问题。
另一现有技术方法是在蚀刻和剥除低-k介电材料之后修复被破坏的层。尽管该方法能够修复低-k介电材料中的一些破坏,但是这种修复可能使得到的层过于疏水,这限制了例如湿润净化等处理期间的润湿,最后导致器件失败。
由JamesDeyoung申请的,名称为“VaporPhaseRepairandPoreSealingofLow-kDielectricMaterial,”的PCT申请WO2009/085098Al提供了修复方法,该方法先提供催化剂,然后提供烷氧基硅烷修复剂,基于所有目的通过引用将本申请并入本发明中。
图1为本发明一种具体实施方式的高阶流程图,该图提供了经调适的修复方法。该经调适的修复方法修复了被破坏的低-k介电材料,同时能进行调适以得到所期望的疏水和亲水特性的组合。在该具体实施方式中,在低-k介电层之上形成有图案的有机掩膜(步骤104)。图2A为衬底210的剖面示意图,在衬底210之上配置有低-k介电层208,在低-k介电层208之上形成有有图案的有机掩膜204。可以将一个或者一个以上的中间层配置在衬底(晶片)210和低-K介电层208之间。可以将一个或者一个以上的例如抗反射涂层等中间层配置在低-k介电层208和有图案的有机掩膜204之间。
将衬底210放置在处理工具中(步骤108)。图3为可以用于本发明优选的实施方式中的处理工具300的俯视示意图。在这种具体实施方式中,处理工具300包括修复室304、例如蚀刻器308等多数个等离子体处理室、和传输模块312。传输模块312放置在修复室304和蚀刻器308之间从而允许晶片运动出入于修复室304和多数个蚀刻器308,同时保持真空。
在这种具体实施方式中,衬底210被放置在处理工具300的传输模块312中,传输模块中产生有真空。传输模块312将衬底210移动入蚀刻器308。在蚀刻器308中,执行蚀刻以在低-k介电层上形成特征(步骤112)。在这种具体实施方式中,然后剥除有机掩膜(步骤116)。图2B为已经将特征212蚀刻到低-K介电层208上以及已经剥除有机掩膜之后衬底210和低-K介电层208的剖视示意图。在这种具体实施方式中,在蚀刻器308中执行剥除。在其他具体实施方式中,可以将剥除工具连接到传输模块312,传输模块312将衬底210从蚀刻器308移动到剥除工具,而不破坏真空。
然后传输工具将衬底210移动到修复室304。在另一种具体实施方式中,处理工具300可以是具有在蚀刻、剥除、和修复期间保持衬底210的单个的静电卡盘的单个的室。
在修复工具中,提供前导气体(步骤120)。该前导气体包括第一修复剂,其表示为Si-(R)x(OR')y,其中y≥1且x+y=4,且其中R为烷基或芳基且R'为烷基或芳基,以及第二修复剂,其表示为Si-(R)x(OR')yR",其中y≥1且x+y=3,且其中R为烷基或芳基且R'为烷基或芳基,且相比于R和R',R"为降低湿润净化化学品和低-k电介质之间界面的表面张力的基团。优选地,R"为氨基、苯基、氰基、氯基、硫醇基、环氧基、乙烯基、或者环硅氮烷(cyclicazasiline)基。图4A为说明包括靠近具有OH基的介电层412的第一修复剂404和第二修复剂408的前导气体的示意图。修复工具中提供有条件以使得第一修复剂和第二修复剂中的一些结合到低-k电介质从而形成第一修复剂和第二修复剂的单层(步骤124)。图4B为前导气体如何形成单层的示意图。第一和第二修复剂404、408在OH基位置结合到介电层412。第一和第二修复剂404、408中的一些也可以形成强化单层的横向键。然后可以将衬底从处理工具300中移除(步骤128)。
据信前导气体中第一修复剂相对于第二修复剂的比率应当与形成单层的第一修复剂相对于第二修复剂的比率有关。由于第一修复剂提供疏水的单体层、第二修复层提供较亲水单体层、以及可以控制第一修复剂和第二修复剂的比率,那么可以将得到的单体修复层调适到期望的疏水和亲水特性的组合。因此,本发明的这种具体实施方式提供了经调适的修复。
示例
本发明的一种具体实施方式的更具体的示例提供了低-k介电层208为纳米孔有机硅酸盐玻璃的衬底210。有机掩膜204为包括193nm光刻胶、有机抗反射涂层、和有机光学平面化层的多层光刻胶掩膜(步骤104)。
将衬底210放置于处理工具300中(步骤108)。
在此示例中,将衬底210放置于处理工具300的传输模块312中。传输模块312将衬底210移动到蚀刻器308。在此示例中,将特征212(图2B)蚀刻进低-k介电层(步骤112)并在蚀刻器308中剥除(步骤116)有机掩膜。可以使用常规的有机硅酸盐玻璃蚀刻和光刻胶剥除工艺。
在此示例中,传输模块312将衬底210移动到修复室304,尽管在其他具体实施方式中剥除、蚀刻、和修复可以在单个的蚀刻室中进行。在此示例中,前导气体的供应提供了第一修复剂二甲基二甲氧基硅烷和第二修复剂n-氨丙基三甲氧基硅烷。此外,催化剂气体氨与前导气体同时提供。在其他具体实施方式中,催化剂气体可以是任何路易斯碱性气体(Lewisbasegas)。更优选地,催化剂气体为路易斯碱基胺。提供条件以促进第一修复剂和第二修复剂结合到低-k介电层。在此示例中这种条件为气体压强为140托和晶片温度为60℃。对于更复杂和更高顺序的胺类,温度可以更高。
传输模块312将衬底210从修复室304移出离开处理工具(步骤128)。
等离子体实施方式
图6为使用具有催化剂的同步修复前导物和修复等离子体的另一具体实施方式的流程图。如在先的具体实施方式中所述,在低-k介电层之上形成有机掩膜,低-k介电层处于衬底之上(步骤604)。有机掩膜、低-k介电层、和衬底可以与图2A中示出的相同。将衬底放置于处理工具中(步骤608),该处理工具可以是象图3中的处理工具300那样的处理工具。将每一蚀刻特征蚀刻进低-k介电层(步骤612)。这样的特征可以与示出于图2B中的特征212相像。剥除有机掩膜(步骤616)。修复介电层(步骤620)。在这种具体实施方式的示例中,修复分两个步骤执行,这两个步骤同时执行。向修复室提供混合气体(步骤624)。混合气体包括含路易斯碱性气体的催化剂气体,以及该混合气体进一步包括含有烷氧基硅烷的气体。烷氧基硅烷(alkoxysilane)被定义为具有附着二类成分以形成RnSiX(r-n)的硅原子,其中R为非水解有机部分,其可以为烷基、芳基、有机官能基、或者这些基团的组合,且X为可水解的烷氧基硅烷基团。提供条件从而使得烷氧基硅烷在硅基低-k电介质上形成修复单层(步骤628)。在其他示例中,其他修复过程可以用于提供修复单层。在本发明的这种具体实施方式中这种修复过程通过用Si-C或者CH3键替换硅烷醇(Si-OH)键的方式在被破坏的硅基低-k介电层上形成修复层。低-k介电层然后用非蚀刻等离子体进行处理,该非蚀刻等离子体使OH部分替换CH3部分的一些。等离子体通过优选地提供离子轰击或者UV或者VUV辐射来实现此目的。可以控制等离子体处理的时间和其他参数从而控制被OH部分替换的CH3部分的百分比。该控制提供调适从而提供期望的疏水和亲水特性的组合。
在这种具体实施方式的示例中,使用催化剂气体和烷氧基硅烷气体的合并(simultaneous)混合物,催化剂气体优选地为路易斯碱基胺。在此示例中,催化剂气体更优选地为胺,例如,氨。在此示例中,烷氧基硅烷为二甲基二甲氧基硅烷。图7A为说明包含氨气的催化剂气体和含有二甲基二甲氧基硅烷的烷氧基硅烷气体704的气体混合物的示意图,该气体混合物提供硅烷醇羟基给低-k电介质712。提供条件从而形成单层。图7B示出了氨分子716如何充当催化剂以及氢键如何与硅烷醇羟基结合,从而增加羟基的酸度,如所示的□+和□-。图7B也示出了另一氨催化剂720如何使氢键与羟基的氢结合,其增加了导致二甲基二甲氧基硅烷分子和羟基之间直接反应的羟基的酸度。图7B也示出了烷氧基硅烷分子724如何结合到硅烷醇,其间氨催化剂被释放且产生甲醇分子。因此,路易斯碱基能够物理吸附(physiosorb)到附着于硅的羟基,导致烷氧基硅烷的硅与羟基的氧结合。图7C示出了烷氧基硅烷分子724结合到电介质表面。因为这种结合限于单个的层,所以这一过程形成单层。提供最佳条件从而形成单层,优选地,通过提供条件导致邻近的甲氧基结合到邻近的前导物,如图7C中所示的那样。另外,没有这种最佳条件,二甲氧基能够垂直地聚合,以便形成厚于单层的层。
优选地,该过程于小于60℃的温度提供。应用于本发明的一种具体实施方式中的实际的方法为63%体积比的二甲基二甲氧基硅烷、30%体积比的NH3与剩余体积比的N2的气体组分处于130托的总气体压强和55℃的晶片温度。
然后将衬底之上经过修复的低-k介电层放置在等离子体室中从而提供亲水特性的等离子体调适。图8为可以用于处理修复层的本发明优选的具体实施方式中的等离子体处理室800的示意图。在这种具体实施方式中,等离子体处理室800包括限制环802、上部电极804、底部电极808、气体源810、和排气泵820。气体源810包括惰性气体源812。可以提供其他气体源814、816或者来调适修复层或者来执行其他任务,例如剥除光刻胶。在等离子体处理室800内,衬底210被放置在底部电极808上。底部电极808包含适当的衬底卡紧装置(例如,静电的、机械的夹具,或者诸如此类的)用于卡持衬底210。反应器顶部828包含配置在底部电极808正对面的上部电极804。上部电极804、底部电极808、和约束环802约束被约束的等离子体容积腔840。由气体源810通过气体入口843向被约束的等离子体容积腔提供气体并由排气泵820通过限制环802和排气口从被约束的等离子体容积腔排出。排气泵820形成用于等离子体处理室的气体出口。第一RF源844电连接于上部电极804。第二RF源848电连接于底部电极808。室壁852限定等离子体封壳,在其中配置有约束环802、上部电极804、和底部电极808。第一RF源844和第二RF源848都可以包括60MHz功率源、27MHz功率源、和2MHz功率源。连接RF功率到电极的不同组合是可能的。由加利福尼亚菲蒙市的LamResearchCorporationTM制造的FlexEL介电蚀刻系统可以用于本发明的优选具体实施方式中。控制器835可控地联接到第一RF源844、第二RF源848、排气泵820、连接于惰性气体源812的第一控制阀837、连接于第二气体源814的第二控制阀839、和连接于第三气体源816的第三控制阀841。进气口843将来自于气体源812、814、816的气体提供进入等离子体处理封壳内。淋喷头可以连接于进气口843。进气口843可以是用于每一个气体源的单个的入口或者是用于每一个气体源的不同的入口或者是用于每一个气体源的多数个入口或者是其他可能的组合。
图5A和5B阐明了计算机系统500,适于用作处理工具的控制器。这种控制器可以用于传输不同处理室之间的衬底并控制处理室内的过程。图5A示出了可以用于控制器835的计算机系统的可能的物理形态。当然,计算机系统可以具有很多物理形态,其范围从集成电路、印刷电路板、和小的手持型装置直至巨型超级计算机。计算机系统500包括监控器502、显示器504、壳体506、磁盘驱动器508、键盘510、和鼠标512。磁盘514是用于与计算机系统500往来传输数据的计算机可读媒介。
图5B是用于计算机系统500的示例框图。附着于系统总线520的是广泛的各种子系统。处理器522(也被称为中心处理单元,或者CPU)被耦合于存储装置,包括存储器524。存储器524包括随机存取存储器(RAM)和只读存储器(ROM)。如在本领域中众所周知的,ROM用于将数据和指令单向地传输给CPU,RAM通常用于以双向的方式传输数据和指令。存储器的这些类型都可以包括以下所描述的任何适当类型的计算机可读媒介。固定磁盘526也双向地耦合于CPU522;其提供了额外的数据存储容量以及也可以包括以下所描述的任何计算机可读媒介。固定磁盘526可以用于存储程序、数据、以及诸如此类,且通常是慢于主存储器的辅助存储媒介(例如硬盘)。在适当的情况下,保存在固定硬盘526内的信息以标准形式合并为存储器524中的虚拟内存是适宜的。可移动磁盘514可以采用下文所描述的计算机可读媒介中的任何形式。
CPU522也可以耦合于各种输入/输出设备,比如显示器504、键盘510、鼠标512、和扬声器530。通常,输入/输出设备可以是以下任何设备:视频显示器、跟踪球、鼠标、键盘、麦克风、快速反应显示器、传感器读卡器、磁带或纸带读取器、平板电脑、唱针、语音或者手写识别器、生物统计(biometrics)读取器、或者其他计算机。CPU522可选择地可以用于耦合另外的计算机或者使用网络接口540的远程通信网络。有这样的网络接口,在执行以上所描述的方法步骤过程中,预期CPU可以接收来自于网络的信息,或者可以输出信息给网络。此外,本发明的方法具体实施方式可以单独地依据CPU522执行或者可以通过比如与分享该处理一部分的远程CPU协同的互联网之类的网络执行。
此外,本发明的具体实施方式进一步涉及具有计算机可读介质的计算机存储产品,该计算机可读介质上具有用于执行各种计算机应用操作的计算机编码。该介质和计算机编码可以是那些为本发明的目的而专门设计和构造的,或者它们也可以是计算机软件领域的技术人员公知的和可获得的种类。有形的计算机可读介质的事例包括,但不限于:例如硬盘、软盘和磁带等磁介质;例如CD-ROM和全息设备等光学介质;例如光软盘之类的磁性光学介质;和专门配置于用于存储和执行程序编码的硬件设备,例如专用集成电路(ASIC),可编程逻辑器件(PLD)和ROM与RAM器件。计算机编码的示例包括比如由编译器生成的机器代码,以及包含由使用解释器的计算机执行的更高级编码的文件。计算机可读介质也可以是由包含在载波中的计算机数据信号传输的并且代表可由处理器执行的指令序列的计算机编码。在本发明的一种具体实施方式中,相同的等离子体处理室可以用于蚀刻、剥除、和调适修复层。在另一种具体实施方式中,相同的等离子体处理室也可以用于修复介电层。在又一种具体实施方式中,不同的等离子体处理室也可以用于蚀刻和调适修复层。
用于修复层等离子体调适过程的方法的示例是以27MHz提供50瓦特的RF功率给500sccm的Ar气流在50mTorr(毫托)保持15秒。优选地该等离子体调适使用基本上由惰性气体组成的调适气体,这有助于清除化学蚀刻。在另一种具体实施方式中,调适气体基本上由惰性气体和O2气体组成。图7D示出了结合于硅烷醇的烷氧基硅烷分子728,CH3部分已由OH部分732替代。这种替代的数量可以通过时间和等离子体暴露的其他因素调适。
在另一种具体实施方式中,修复层在有机掩膜被剥除之前提供。
在另一种具体实施方式中,形成修复层可以使用按CH4的体积流量计超过50%的修复气体,这被更详细地描述于美国专利申请12/604,224,其名称为“METHODFORREPAIRINGLOW-kDIELECTRICDAMAGE”(用于修复低-k电介质损坏的方法),申请人为StephenSirard,与本申请于同一日期提交,律师档案号为LAMIP292/P1987,该申请为所有目的被并入以作参考。
尽管本发明已依据于几个优选的具体实施方式进行了描述,但是存在落入本发明范围之内的改变、组合、和替代等同方式。也应当知道有许多实施本发明方法和装置的选择性方式。因此意图将以下所附权利要求解释为包括落入本发明真正精神和范围内的所有这些改变、组合、和替代等同方式。

Claims (19)

1.为具有有机化合物的硅基低-k介电层的损坏提供经调适的修复的方法,其中损坏使附着于硅的羟基替代附着于硅的甲基,所述方法包括:
提供前导气体,包括:
第一修复剂,其表示为Si-(R)x(OR')y,其中y≥1且x+y=4,且其中R为烷基或芳基且R'为烷基或芳基;以及
第二修复剂,其表示为Si-(R)x(OR')yR",其中y≥1且x+y=3,且其中R为烷基或芳基且R'为烷基或芳基,且R"为减小湿润净化化学品和所述低-k介电层之间界面的表面张力的基团;和
将所述第一修复剂和第二修复剂中的一些结合到所述低-k介电层从而形成所述第一修复剂和第二修复剂的单层。
2.根据权利要求1所述的方法,其中R"为氨基、苯基、氰基、氯基、硫醇基、环氧基、乙烯基、或者环硅氮烷基。
3.根据权利要求2所述的方法,其中在所述硅基低-k介电层之上形成有光刻胶掩膜,且进一步包括在将所述第一修复剂和第二修复剂中的一些结合到所述低-k电介质从而形成所述第一修复剂和所述第二修复剂的单层之后,剥除所述光刻胶掩膜。
4.根据权利要求2所述的方法,其中在所述硅基低-k介电层之上形成有光刻胶掩膜,且进一步包括在提供所述前导气体之前剥除所述光刻胶掩膜。
5.根据权利要求4所述的方法,进一步包括在所述光刻胶掩膜形成之后且剥除所述光刻胶掩膜之前在所述硅基低-k介电层上蚀刻特征。
6.根据权利要求1所述的方法,其中所述结合包括提供包括路易斯碱性气体的催化剂气体。
7.根据权利要求6所述的方法,其中所述结合进一步包括提供等离子体游离态环境。
8.根据权利要求1所述的方法,其中在所述硅基低-k介电层之上形成有光刻胶掩膜,且进一步包括在将所述第一修复剂和第二修复剂中的一些结合到所述低-k电介质从而形成所述第一修复剂和所述第二修复剂的单层之后,剥除所述光刻胶掩膜。
9.根据权利要求1所述的方法,其中光刻胶掩膜形成在所述硅基低-k层之上且进一步包括在提供所述前导气体之前剥除所述光刻胶掩膜。
10.根据权利要求8-9中任一项所述的方法,进一步包括在所述光刻胶掩膜形成后且剥除所述光刻胶掩膜前在所述硅基低-k介电层上蚀刻特征。
11.根据权利要求2和8-9中任一项所述的方法,其中所述结合包括提供包括路易斯碱性气体的催化剂气体。
12.根据权利要求11所述的方法,其中所述结合进一步包括提供等离子体游离态环境。
13.修复具有有机化合物的硅基低-k介电层的损坏的方法,其中损坏使附着于硅的羟基替代附着于硅的甲基,所述方法包括:
通过光刻胶掩膜蚀刻所述硅基低-k介电层;
提供混合气体,包括:
包括路易斯碱性气体的催化剂气体;和
含有烷氧基硅烷的气体;以及
结合单层的烷氧基硅烷到所述硅基低-k介电层上;并
在结合所述单层后剥除光刻胶掩膜。
14.根据权利要求13所述的方法,其中所述结合所述单层包括,提供条件使路易斯碱基物理吸附到附着于硅的羟基并使烷氧基硅烷的硅与羟基的氧结合。
15.根据权利要求14所述的方法,其中所述路易斯碱性气体为氨气。
16.根据权利要求15所述的方法,其中所述提供所述混合气体期间晶片温度保持在60℃以下。
17.根据权利要求16所述的方法,其中从所述蚀刻前直到结合所述单层后保持真空。
18.根据权利要求13-15中任一项所述的方法,其中所述提供所述混合气体期间晶片温度保持在60℃以下。
19.根据权利要求13-16中任一项所述的方法,进一步包括在剥除所述光刻胶掩膜之前通过所述光刻胶掩膜蚀刻所述硅基低-k介电层且其中从所述蚀刻前直到结合所述单层后保持真空。
CN201080045501.1A 2009-10-22 2010-10-21 可调适地修复低-k电介质损坏的方法 Active CN102549726B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/604,222 US7981699B2 (en) 2009-10-22 2009-10-22 Method for tunably repairing low-k dielectric damage
US12/604,222 2009-10-22
PCT/US2010/053564 WO2011050171A2 (en) 2009-10-22 2010-10-21 Method for tunably repairing low-k dielectric damage

Publications (2)

Publication Number Publication Date
CN102549726A CN102549726A (zh) 2012-07-04
CN102549726B true CN102549726B (zh) 2016-01-06

Family

ID=43898775

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080045501.1A Active CN102549726B (zh) 2009-10-22 2010-10-21 可调适地修复低-k电介质损坏的方法

Country Status (5)

Country Link
US (2) US7981699B2 (zh)
KR (1) KR20120099220A (zh)
CN (1) CN102549726B (zh)
TW (1) TWI543257B (zh)
WO (1) WO2011050171A2 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9728416B2 (en) 2011-09-30 2017-08-08 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
US9396955B2 (en) 2011-09-30 2016-07-19 Tokyo Electron Limited Plasma tuning rods in microwave resonator processing systems
US8808496B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Plasma tuning rods in microwave processing systems
US9111727B2 (en) 2011-09-30 2015-08-18 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
US8828744B2 (en) * 2012-09-24 2014-09-09 Lam Research Corporation Method for etching with controlled wiggling
US9538586B2 (en) * 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
US9397004B2 (en) * 2014-01-27 2016-07-19 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits with simultaneous formation of local contact openings
EP3126843A1 (en) 2014-03-31 2017-02-08 Merck Patent GmbH Method for detecting protein modifications using specific antibodies
CN105990224A (zh) * 2015-02-04 2016-10-05 中芯国际集成电路制造(上海)有限公司 改善绝缘层与金属扩散阻挡层的交界面性能的方法
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
US10312075B2 (en) 2015-09-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment system and method
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US10655217B2 (en) * 2018-05-01 2020-05-19 Spts Technologies Limited Method of forming a passivation layer on a substrate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1779575A (zh) * 2004-11-25 2006-05-31 Psk有限公司 等离子室系统及使用该系统灰化光刻胶图案的方法
US7541200B1 (en) * 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6395651B1 (en) 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US7011868B2 (en) 2000-03-20 2006-03-14 Axcelis Technologies, Inc. Fluorine-free plasma curing process for porous low-k materials
KR100797202B1 (ko) 2000-06-23 2008-01-23 허니웰 인터내셔널 인코포레이티드 손상된 실리카 유전 필름에 소수성을 부여하는 방법 및 손상된 실리카 유전 필름 처리 방법
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US6962869B1 (en) 2002-10-15 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. SiOCH low k surface protection layer formation by CxHy gas plasma treatment
US7135402B2 (en) 2005-02-01 2006-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Sealing pores of low-k dielectrics using CxHy
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US7482281B2 (en) * 2005-09-29 2009-01-27 Tokyo Electron Limited Substrate processing method
US20070287301A1 (en) * 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US7807219B2 (en) 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20090140418A1 (en) * 2007-11-29 2009-06-04 Li Siyi Method for integrating porous low-k dielectric layers
WO2009085098A1 (en) 2007-12-19 2009-07-09 Lam Research Corporation Vapor phase repair and pore sealing of low-k dielectric materials

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541200B1 (en) * 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
CN1779575A (zh) * 2004-11-25 2006-05-31 Psk有限公司 等离子室系统及使用该系统灰化光刻胶图案的方法

Also Published As

Publication number Publication date
CN102549726A (zh) 2012-07-04
US20110244600A1 (en) 2011-10-06
WO2011050171A2 (en) 2011-04-28
WO2011050171A4 (en) 2011-10-20
TWI543257B (zh) 2016-07-21
TW201133616A (en) 2011-10-01
WO2011050171A3 (en) 2011-09-01
US20110097821A1 (en) 2011-04-28
KR20120099220A (ko) 2012-09-07
US7981699B2 (en) 2011-07-19

Similar Documents

Publication Publication Date Title
CN102549726B (zh) 可调适地修复低-k电介质损坏的方法
US7645707B2 (en) Etch profile control
CN101061436B (zh) 包括具有氢流速渐变的光刻胶等离子体老化步骤的蚀刻方法
US8329585B2 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
US8124516B2 (en) Trilayer resist organic layer etch
US8262920B2 (en) Minimization of mask undercut on deep silicon etch
CN101726993B (zh) 双层、三层掩模cd控制
US20060240661A1 (en) Method of preventing damage to porous low-K materials during resist stripping
CN101715603B (zh) 活动硬掩模的等离子体刻蚀过程中的原地光刻胶剥离
CN101971301B (zh) 利用稀有气体等离子的线宽粗糙度改进
KR101528947B1 (ko) 유전체 에칭에서의 프로파일 제어
US20110097904A1 (en) Method for repairing low-k dielectric damage
CN104392906A (zh) 垂直形貌修整
CN101151719A (zh) 使用光致抗蚀剂掩模的蚀刻
US8361564B2 (en) Protective layer for implant photoresist
US20040224264A1 (en) Method providing an improved bi-layer photoresist pattern
US8668805B2 (en) Line end shortening reduction during etch
US8236188B2 (en) Method for low-K dielectric etch with reduced damage
CN101060080B (zh) 在介电层中蚀刻特征的方法
WO2009152036A1 (en) Organic arc etch selective for immersion photoresist

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant