CN102545574B - Low-power consumption power network designing method for system on chip (SOC) chip - Google Patents

Low-power consumption power network designing method for system on chip (SOC) chip Download PDF

Info

Publication number
CN102545574B
CN102545574B CN201010622316.6A CN201010622316A CN102545574B CN 102545574 B CN102545574 B CN 102545574B CN 201010622316 A CN201010622316 A CN 201010622316A CN 102545574 B CN102545574 B CN 102545574B
Authority
CN
China
Prior art keywords
power
chip
ldo
pmu
power supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201010622316.6A
Other languages
Chinese (zh)
Other versions
CN102545574A (en
Inventor
周卓
刘鹏
赵彦光
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing CEC Huada Electronic Design Co Ltd
Original Assignee
Beijing CEC Huada Electronic Design Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing CEC Huada Electronic Design Co Ltd filed Critical Beijing CEC Huada Electronic Design Co Ltd
Priority to CN201010622316.6A priority Critical patent/CN102545574B/en
Publication of CN102545574A publication Critical patent/CN102545574A/en
Application granted granted Critical
Publication of CN102545574B publication Critical patent/CN102545574B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

The invention discloses a low-power consumption power network designing method for a system on chip (SOC) chip. A power device is controlled to control the power-on and power-off of different power networks, so that chip resetting current is effectively reduced, and the normal operation power consumption of the chip is optimized. By the low-power consumption designing method for a hard module, system power network design is facilitated, and power control in the normal operation and test processes of the chip is simplified.

Description

A kind of low-power dissipation power supply network design method of SOC chip
Technical field
The present invention relates to power network design method and the implementation structure of low-power dissipation SOC chip.
Background technology
In current consumer SOC chip design, power consumption becomes successfully the criterion of chip just gradually, and the stand-by time of system is also becoming one of deciding factor concerning product success or failure gradually.
Along with integrated circuit is produced to the striding forward of deep submicron process, the ratio of the shared chip total power consumption of leakage power increases gradually, and this also makes the increasing design mode of power cutoff network reduce leakage power.For this situation, a kind of low-power dissipation power supply network design method and structure have been proposed herein, by this method for designing, effectively reduce chip leakage power, and improve design efficiency.
Summary of the invention
The present invention proposes a kind of SOC low-power dissipation power supply network design structure, this structure adopts a PMU module in chip handoff procedure, by controlling LDO, enables to control the mode of opening and turn-offing each electric power network, reduces the leakage power of SOC chip.
In chip, PMU is used an electric power network power supply of often opening, and except PMU, other core power supply is all used LDO power supply in sheet, and IO voltage is from the normal switch power supply of chip exterior, and LDO input power is from the outer DC-DC output of sheet.Chip is divided into multiple power domain according to different LDO supply networks.PMU enables to control by LDOO in control strip and opens and turn-off each electric power network.
Under chip testing pattern, in order to facilitate various tests to carry out smoothly, in sheet, each LDO, in opening, all opens the electric power network of each power domain, completes chip testing.These tests comprise testing scanning chain, memory BIST test, analog circuit BIST test etc.
Under chip operation pattern, during chip reset, in chip, all LDO are in off state, the power supply of all power domain electric power networks except PMU is all turned off, by chip pin, close the DC-DC output outside sheet simultaneously, close LDO input power, to guarantee that chip has extremely low leakage power when resetting.After reset is released, PMU progressively enables the LDO of an electric power network, is the unlatching power supply of other power domain electric power network, and chip is switched to and enters normal operating conditions.
In the course of work, PMU configures according to software, switches chip operation state, and the LDO that simultaneously controls other electric power network enables, and turn-offs the circuit power that does not need work, opens and needs the circuit power of work, thereby reach the object of optimizing SOC chip power-consumption.The core power supply that is now turned off the IO unit of power domain is also closed, but PMU can not close the I/O power supply of IO unit, therefore needs to use special IO unit to avoid occurring in this case large crowbar electric current.
PMU is when controlling each power domain electric power network shutoff, attention provides isolation enable signal for the power domain module input not being turned off, when existing power cutoff territory signal to be input to the digital signal in power cutoff territory not, the isolation enable signal in power cutoff territory is not set to effectively.
In order to make complicated comprising after the hard module integration of multi-power domain submodule, can control its different electrical power territory submodule by PMU turn-offs respectively, each submodule is carried out isolation to its supplied with digital signal, and isolation enables control end and draws from module top layer, and finally by PMU, is controlled.
Accompanying drawing explanation
Fig. 1 is chip power network diagram.
Fig. 2 is a circuit diagram that exists the die piece inside circuit of multi-power domain to realize isolation.
Embodiment
Take a WLAN (wireless local area network) SOC chip low-power dissipation power supply network design structure as example, the inventive method and structure are described below.It is chip I/O and analog module power supply that 3.3V voltage is often opened in chip exterior input, it is separately PMU power supply that 1.2V voltage is often opened in input, DC-DC output 1.5V voltage is chip internal LDO power supply, and when all LDO enable to be set to when invalid, this 1.5V voltage is also turned off to reduce LDO electric leakage.Chip internal LDO output 1.2V power supply is the electric power network power supply of other power domain except PMU.
Chip power territory is divided
According to the difference of LDO power supply, chip is divided into 4 power domain (seeing Fig. 1), and each several part is respectively described below:
PMU power domain (PD1): normal switch power supply territory, comprises Power Management Unit module.In this power domain power work, be held open always, and according to different operating states, can control opening and shutting off of other power domain electric power network at work.
HOST_IF power domain (PD2): can turn-off digital power territory, comprise Host Interface and Clock Gating Control module;
BBP power domain (PD3): can turn-off digital power territory, comprise Processor and Baseband Subsystem module;
RF power domain (PD4): can turn-off analog power territory, comprise RF & AD/DA subsystem module.
For RF & AD/DA subsystem module, its design complexities is higher, and inside exists multiple power domain, uses respectively different LDO power supplies.In design, as a die piece, be integrated in SOC chip.In the different operating state of chip, module corresponding to the inner each power domain of RF do not need to work simultaneously, and therefore PMU can control whether open respective modules electric power network by the LDO of the each power domain of control switch, reduces chip operation power consumption.
The processing of interface signal between different electrical power territory
When certain power domain power remove, its output digit signals can, in floating dummy status, if this signal is input to any power-on territory, can produce larger electric leakage in power-on territory, therefore should do in this power-on territory signal isolation, isolation enable signal is provided by PMU.
For fear of between the submodule of RF & AD/DA subsystem inside modules different electrical power territory when the power remove the influencing each other of floating spacing wave, use following mode to process the signal (as Fig. 2) between submodule:
If there is output signal to submodule B in submodule A, suppose to exist a-power supply to turn-off, the state that B-source is opened is isolated the signal of inputting from A in B, and this isolation enable signal will be subject to the control of B port signal; Vice versa.When integrated, due to the Already in top layer of module of isolation signals, therefore PMU can, when controlling unlatching and turn-offing A or B modular power source, control and whether need to enable isolation signals.
Electric power network design when chip is normally worked
Under chip operation pattern, during chip reset, in chip, all LDO are in off state, and the power supply of all power domain electric power networks except PMU is all turned off.For guaranteeing that chip has low leakage power when resetting, further by output control terminal mouth, close the 1.5V power supply input outside sheet, to eliminate the electric leakage of LDO under this state.The outer 1.5V power supply of sheet is from the output of DC-DC power supply apparatus, and under reset case, chip, by controlling this DC-DC Enable Pin, is closed the input of 1.5V power supply.After reset is released, PMU progressively opens LDO in sheet, is the unlatching power supply of other power domain electric power network, and chip enters normal mode of operation.
PMU, according to the residing operating state of chip, selects closed portion LDO, reduces power consumption.The core power supply that is now turned off the IO unit of power domain is also closed, but PMU can not close the IO power supply (3.3V power supply) of IO unit, therefore need to use special IO unit, avoid this IO unit at core power-off, in the situation of IO electric power starting, occur large crowbar electric current.
PMU is when controlling each power domain electric power network shutoff, attention provides isolation enable signal for the power domain module input not being turned off, when existing power cutoff territory signal to be input to the digital signal in power cutoff territory not, the isolation enable signal in power cutoff territory is not set to effectively.
Electric power network design in chip testing process
In chip testing process, guarantee tested circuit in power opening state to complete smoothly test, therefore when test enable signal is effective, the LDO enable signal of PMU output is set to effectively, it is invalid that the isolation signals between power domain is set to.
Disclosed is above only a specific embodiment of the present invention, but protection scope of the present invention is not limited to this, and the changes that any person skilled in the art can think of all should drop in protection scope of the present invention.

Claims (3)

1. a SOC chip low-power dissipation power supply network design method, chip is divided into multiple power domain, it is characterized in that, each power domain is by the low pressure difference linear voltage regulator LDO power supply in chip, by power management module PMU, the switch of directly controlling LDO carrys out opening and shutting off except all other power domain power supplys of PMU power domain in control chip; The input power of LDO is from the output of the outer DC-DC of sheet, under chip normal operating conditions, reset when effective, in chip, all LDO are in off state, the power supply of all power domain electric power networks except PMU is all turned off, by chip pin, close the DC-DC output outside sheet simultaneously, close LDO input power, further reduce chip reset electric current; PMU is when controlling other power domain electric power starting or shutoff, when existing power cutoff territory signal to be input to the digital signal in power cutoff territory not, the isolation enable signal in power cutoff territory is not set to effectively, the die piece of multiple power domain of opening and turn-offing when different for existence, the power supply of its submodule enables all from module top layer, to draw with isolating enable signal, by PMU, has unified control.
2. a kind of SOC chip low-power dissipation power supply network design method as claimed in claim 1, it is characterized in that, in other power domain except PMU, the precharge power supply of IO unit is used the LDO power supply that can turn-off, use is with the IO unit of upper electric control, avoid this IO unit at precharge power-off, in the situation of IO electric power starting, occur large leakage current.
3. a kind of SOC chip low-power dissipation power supply network design method as claimed in claim 1, it is characterized in that, under chip testing pattern, after chip reset, interior each LDO of chip is in opening, directly open all electric power networks that test needs, avoid the power domain of test circuit under test reset state to be turned off, complete chip testing, in chip testing process, guarantee tested circuit in power opening state to complete smoothly test, therefore when test enable signal is effective, the LDO enable signal of PMU output is set to effectively, it is invalid that isolation signals between power domain is set to.
CN201010622316.6A 2010-12-27 2010-12-27 Low-power consumption power network designing method for system on chip (SOC) chip Active CN102545574B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201010622316.6A CN102545574B (en) 2010-12-27 2010-12-27 Low-power consumption power network designing method for system on chip (SOC) chip

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201010622316.6A CN102545574B (en) 2010-12-27 2010-12-27 Low-power consumption power network designing method for system on chip (SOC) chip

Publications (2)

Publication Number Publication Date
CN102545574A CN102545574A (en) 2012-07-04
CN102545574B true CN102545574B (en) 2014-05-07

Family

ID=46351717

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010622316.6A Active CN102545574B (en) 2010-12-27 2010-12-27 Low-power consumption power network designing method for system on chip (SOC) chip

Country Status (1)

Country Link
CN (1) CN102545574B (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104202092A (en) * 2014-09-18 2014-12-10 长芯盛(武汉)科技有限公司 Receiving, transmitting and controlling three-in-one chip applicable to SFP (small form-factor pluggable) + high-speed photoelectric communication
CN105699877B (en) * 2016-02-19 2018-05-25 福州瑞芯微电子股份有限公司 The stepping automatic testing equipment and method of SOC chip leakage current
CN107766586A (en) * 2016-08-16 2018-03-06 合肥科盛微电子科技有限公司 A kind of design method of the programmable management of multi-power domain
CN106771981A (en) * 2017-01-18 2017-05-31 大唐微电子技术有限公司 A kind of test control circuit, chip and test control method
CN106951342B (en) * 2017-03-17 2019-07-19 数据通信科学技术研究所 The error correction method of Flash in a kind of TF card piece
CN107290650B (en) * 2017-07-17 2020-07-24 海信视像科技股份有限公司 BIST logic circuit, low-power-consumption chip, memory testing method and electronic equipment
CN109861535B (en) * 2019-03-28 2023-08-25 杭州雄迈集成电路技术股份有限公司 Circuit system for preventing overvoltage breakdown of chip embedded synchronous rectification DCDC
CN110334445A (en) * 2019-07-05 2019-10-15 上海华虹集成电路有限责任公司 A kind of control method of low power dissipation design
CN110443929A (en) * 2019-08-23 2019-11-12 深圳市零点智联科技有限公司 A kind of intelligent vehicle key saves the method and system of power consumption
CN110532223A (en) * 2019-08-30 2019-12-03 北京百度网讯科技有限公司 Control circuit and control method
CN113330388B (en) * 2019-12-30 2023-06-13 成都海光集成电路设计有限公司 Chip design method, chip design device, chip and electronic equipment
CN111796199B (en) * 2020-07-30 2022-12-27 上海兆芯集成电路有限公司 Power supply network uniformity and power consumption testing method
CN112134257B (en) * 2020-09-24 2023-05-12 深圳市信锐网科技术有限公司 DC power supply short-circuit protection circuit
CN112214450A (en) * 2020-10-12 2021-01-12 湃方科技(天津)有限责任公司 Edge intelligent SOC processor and electronic equipment
CN112364595A (en) * 2020-11-26 2021-02-12 大唐半导体科技有限公司 Low-power-consumption verification method and test platform for digital-analog hybrid chip
CN116930723B (en) * 2023-09-14 2023-12-08 苏州萨沙迈半导体有限公司 Chip and test circuit thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6507173B1 (en) * 2001-06-22 2003-01-14 02 Micro International Limited Single chip power management unit apparatus and method
CN1904913A (en) * 2006-07-28 2007-01-31 凤凰微电子(中国)有限公司 Smart card for implementing inside power management and power managing method
CN101004798A (en) * 2006-12-30 2007-07-25 凤凰微电子(中国)有限公司 Smart card of supporting high performance computing, large capacity storage, high-speed transmission, and new type application
CN201174769Y (en) * 2008-03-19 2008-12-31 长沙威胜信息技术有限公司 Power supply manager of wireless communication module

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6507173B1 (en) * 2001-06-22 2003-01-14 02 Micro International Limited Single chip power management unit apparatus and method
CN1904913A (en) * 2006-07-28 2007-01-31 凤凰微电子(中国)有限公司 Smart card for implementing inside power management and power managing method
CN101004798A (en) * 2006-12-30 2007-07-25 凤凰微电子(中国)有限公司 Smart card of supporting high performance computing, large capacity storage, high-speed transmission, and new type application
CN201174769Y (en) * 2008-03-19 2008-12-31 长沙威胜信息技术有限公司 Power supply manager of wireless communication module

Also Published As

Publication number Publication date
CN102545574A (en) 2012-07-04

Similar Documents

Publication Publication Date Title
CN102545574B (en) Low-power consumption power network designing method for system on chip (SOC) chip
CN110334445A (en) A kind of control method of low power dissipation design
CN102064698B (en) Information device
US9979282B2 (en) Charge pump for low power consumption apparatus and associated methods
EP2302775B1 (en) Power Supply Arrangement for Integrated Circuit Core
CN106293005A (en) Reduce the system and method for MCU chip stand-by power consumption
CN103713721B (en) Uninterruptible power system and power supply control system thereof
CN101930279A (en) Electronic device for reducing power consumption of computer motherboard and motherboard thereof
KR20180026781A (en) Power Multiplexer for Integrated Circuits
CN106532902A (en) Mobile terminal dual-battery control device and method
TW201546596A (en) Power gating in an electronic device
KR20190133220A (en) Power Multiplexing with Active Load
CN103970248A (en) power management circuit and method and computer system
CN116700412A (en) Low-power consumption system, microcontroller, chip and control method
CN108736563A (en) Electronic device and power supply method thereof
CN101788842A (en) Power management device for computer system and related method thereof and computer system
CN104347499A (en) Integrated circuit having at least one functional circuit block operating in multi-source power domain and related system with power management
CN219574672U (en) Low-power consumption system, microcontroller and chip
CN101873346B (en) Remote on-off control system
CN102437724A (en) AC-DC (alternating current-direct current) chip, system and high-voltage startup control circuit of system
Shi et al. An ultralow-power on-die PMU in a 28-nm CMOS SoC with direct li-ion battery-attach capability
JP2014038382A (en) Semiconductor device and power source control method of the same
CN202550872U (en) AC-DC (alternating current-direct current) chip, system and high-voltage startup control circuit of system
CN101719964B (en) Mobile terminal and Power management method thereof
CN115425716A (en) Electronic device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP02 Change in the address of a patent holder

Address after: 102209 Beijing, Beiqijia, the future of science and technology in the south area of China electronic network security and information technology industry base C building,

Patentee after: Beijing CEC Huada Electronic Design Co., Ltd.

Address before: 100102 Beijing City, Chaoyang District Lize two Road No. 2, Wangjing science and Technology Park A block five layer

Patentee before: Beijing CEC Huada Electronic Design Co., Ltd.