CN102361004B - Barrier layer and seed layer integrated - Google Patents

Barrier layer and seed layer integrated Download PDF

Info

Publication number
CN102361004B
CN102361004B CN201110379185.8A CN201110379185A CN102361004B CN 102361004 B CN102361004 B CN 102361004B CN 201110379185 A CN201110379185 A CN 201110379185A CN 102361004 B CN102361004 B CN 102361004B
Authority
CN
China
Prior art keywords
less
equal
gas
layer
room
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201110379185.8A
Other languages
Chinese (zh)
Other versions
CN102361004A (en
Inventor
程华
陈凌
于基科
常美
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/965,370 external-priority patent/US20030059538A1/en
Priority claimed from US09/965,373 external-priority patent/US6936906B2/en
Priority claimed from US09/965,369 external-priority patent/US20030057526A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102361004A publication Critical patent/CN102361004A/en
Application granted granted Critical
Publication of CN102361004B publication Critical patent/CN102361004B/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

Relate generally to of the present invention by deposited barrier layer, over the barrier layer depositing seed layer and in seed layer depositing conducting layer fill feature.In one embodiment, seed layer comprises deposition copper alloy seed layer over the barrier layer.Such as, copper alloy seed layer can comprise copper and metal, as aluminium, magnesium, titanium, zirconium, tin and combination thereof.In another embodiment, seed layer comprises deposition copper alloy seed layer over the barrier layer and is deposited on the second seed layer in copper alloy seed layer.Copper alloy seed layer can comprise copper and metal, as aluminium, magnesium, titanium, zirconium, tin and combination thereof.Second seed layer can comprise metal, as undoped copper.In still another execution mode, seed layer comprises the first seed layer and the second seed layer.First seed layer can comprise metal, as aluminium, magnesium, titanium, zirconium, tin and combination thereof.Second seed layer can comprise metal, as undoped copper.

Description

Barrier layer and seed layer integrated
The divisional application of the patent application that the present invention is PCT international filing date is on September 9th, 2002, application number is 02821308.4, denomination of invention is " barrier layer and seed layer integrated ".
Technical field
The apparatus and method of the seed layer on relate generally to deposited barrier layer of the present invention and barrier layer.More specifically, the present invention relates to deposited barrier layer and deposition comprises the seed layer of copper and other metal on barrier layer apparatus and method.
Background technology
For generation semiconductor devices large-scale integrated (VLSI) and ultra-large integrated (ULSI), reliably manufacture sub-micron or less feature are one of keys.But because the striped of circuit engineering is restricted, the size reduced interconnected in VLSI and ULSI technology is provided with extra requirement to working ability.The stage construction interconnection of this technological core needs the accurate processing to high-aspect-ratio features, such as path and other interconnection.The reliable formation of these interconnection is successful and all extremely important to the continuous effort of the current densities and quality that improve each substrate to VLSI and ULSI.
When current densities increases, path, contact and further feature, and the width of dielectric material between them is reduced to submicron-scale (such as, be less than 0.20 micron or less), but the thickness of dielectric layer is substantially constant, the length-width ratio of result feature i.e. their height increases divided by width.Many traditional depositing operations are had any problem, particularly when length-width ratio is more than 10: 1 when filling the submicrometer structure of length-width ratio more than 4: 1.Therefore, a large amount of effort is carried out being formed to have in the essentially no cavity of high-aspect-ratio and jointless sub-micron features.
At present, copper and alloy thereof become to be selected for the metal of sub-micron interconnect, because copper has the resistivity (1.7 μ Ω-cms, with 3.1 μ Ω-cms of aluminium compared with) lower than aluminium, and higher current carrying capacity and much higher electromigration resistance.These performances for be supported in stage construction integrated time experience more high current density and improve device speed all extremely important.Further, copper has good thermal conductivity and can obtain at high pure state.
Copper metallization can be realized by various technology.Typical method generally includes characteristically physical vapour deposition (PVD) barrier layer, over the barrier layer physical vapour deposition (PVD) copper seed layer, then in copper seed layer electro-coppering conductive material layer to fill this feature.Finally, each layer deposited and dielectric layer flattened, such as, by chemico-mechanical polishing (CMP), to limit conductive interconnect features.
But the problem using copper is that copper is diffused in silicon, silicon dioxide and other dielectric material, may damage the integrality of device.Therefore, layer conformal barrier becomes more and more important to preventing copper from spreading.Tantalum nitride has been used as barrier material with in the layer preventing copper and be diffused into below.But the tantalum nitride previously used and a problem on other barrier layer are these barrier layers for deposited copper is thereon very poor size.Such as, on these barrier layers during deposited copper seed layer, copper seed layer may be reunited and be become discontinuous, may to stop in copper seed layer consistently deposited copper conductive material layer (such as copper electroplating layer).In other example, may cause dewetting with reprocessing and forming cavity under the high temperature that the substrat structure with the layers of copper deposited on these barrier layers is carried out.In still another example, the thermal stress in the device formed by using described device may cause producing cavity and device failure in layers of copper.Therefore, the method for interconnection structure and the deposition interconnection structure improved is needed.
Summary of the invention
Relate generally to of the present invention depositing conducting layer by deposited barrier layer, over the barrier layer depositing seed layer and in seed layer fills feature.In one embodiment, seed layer comprises deposition copper alloy seed layer over the barrier layer.Such as, copper alloy seed layer can comprise copper and metal, as aluminium, magnesium, titanium, zirconium, tin and combination thereof.In another embodiment, seed layer comprises deposition copper alloy seed layer over the barrier layer and is deposited on the second seed layer in copper alloy seed layer.Copper alloy seed layer can comprise copper and metal, as aluminium, magnesium, titanium, zirconium, tin and combination thereof.Second seed layer can comprise metal, as undoped copper.In still another execution mode, seed layer comprises the first seed layer and the second seed layer.First seed layer can comprise metal, as aluminium, magnesium, titanium, zirconium, tin and combination thereof.Second seed layer can comprise metal, as undoped copper.
Accompanying drawing explanation
In order to obtain and understand above-mentioned feature, advantage and object of the present invention in detail, can describe more specifically with reference to execution mode the present invention to above short summary that example illustrates in the accompanying drawings.
But, it should be noted that and figures illustrate only exemplary embodiment of the present invention, therefore do not think restriction on its scope, because the present invention can be applied in the execution mode of other equivalence.
Fig. 1 is the schematic sectional view that may be used for the execution mode being formed the treatment system on one or more barrier layer by ald;
Fig. 2 A is the schematic sectional view of an execution mode of the substrate it depositing dielectric layer.
Fig. 2 B is the schematic sectional view of the execution mode forming barrier layer on the substrat structure of Fig. 2 A.
Fig. 3 A-C illustrates that the stage alternating chemistries absorption in a part for substrate formed on barrier layer contains an execution mode of the individual layer of tantalum compound and nitrogen-containing compound.
Fig. 4 be may be used for deposited copper alloy seed layer can the schematic sectional view of an execution mode for the treatment of system of chemical vapour deposition (CVD).
Fig. 5 A-C is the schematic sectional view of the execution mode of depositing seed layer on the barrier layer of Fig. 2 B.
Fig. 6 is the schematic top view of an example of multi-chamber processing system.
Embodiment
be suitable for the process chamber of deposited barrier layer
Fig. 1 is the schematic sectional view of an illustrative embodiments for the treatment of system 10, and this treatment system may be used for forming one or more barrier layer according to the solution of the present invention by ald.Certainly, other treatment system can also be used.
Treatment system 10 generally includes process chamber 100, gas panels 130, control unit 110, power supply 106 and vacuum pump 102.Process chamber 100 holds bearing 150 usually, and this bearing is used for the substrate at process chamber 100 inner support such as semiconductor wafer 190.
In process chamber 100, bearing 150 can be heated by the heating element 170 embedded.Such as, bearing can by providing electric current from AC power supplies to heating element 170 and being resistively heated.Successively, wafer 190 is heated by bearing 150, and can remain in required temperature ranges, such as, depends on specific process, in the scope between about 20 DEG C and about 1000 DEG C.
Temperature sensor 172 such as thermocouple can be embedded in wafer support 150 to monitor pedestal temperature.Such as, measured temperature may be used for feedback loop to control to be applied to from power supply 106 electric current of heating element 170, makes chip temperature can be kept or be controlled in required temperature or ought to in suitable temperature required scope for certain.Bearing 150 also can use radiation heating (not shown) or other heating means to heat.
Vacuum pump 102 can be used to from process chamber 100 pump-and-treat system gas, and may be used for helping pressure needed in the pressure needed for process chamber 100 inner sustain or pressure limit.Hole 120 through process chamber 100 wall is used to process gas to import in process chamber 100.The size in hole 120 depends on the size of process chamber 100 usually.
Hole 120 is partly connected to gas panels 130 by valve 125.Gas panels 130 can be arranged to receive from two or more gas source 135,136 passing hole 120 and valve 125 to process chamber 100 and then provide final process gas.Gas source 135,136 can store the precursor be at room temperature in a liquid state, and subsequently, is heated, thus precursor is transformed into vaporous when this precursor is in gas panels 130, import in process chamber 100.Gas source 135,136 also can be suitable for by using carrier gas to provide precursor.Gas panels 130 can and then be arranged to receive from purge gas source 138 passing hole 120 and valve 125 to process chamber 100 and then provide purge gas.Shower nozzle 160 can be connected to hole 120, thus supplies process gas, purge gas or other gas to the wafer 190 on bearing 150.
Shower nozzle 160 and bearing 150 can be used as the electrode separated providing electric field and plasma ignition.RF power supply 162 can be connected to shower nozzle 160, RF power supply 163 can be connected to bearing 150, or RF power supply 162,163 can be connected respectively to shower nozzle 160 and bearing 150.Matching network 164 can be connected to RF power supply 162,163, can be connected to control unit 110, thus controls the electric power being supplied to RF power supply 162,163.
Control unit 110, as personal computer able to programme, workstation computer etc., also can be arranged in the different phase of processing of wafers degree the flowing of the different disposal gas controlled by gas panels 130 and valve 125.Exemplarily, control unit 110 comprises CPU (CPU) 112, supports circuit 114 and the memory 116 containing relevant control software design 113.Except controlling the process gas by gas panels 130, control unit 110 can be arranged to be responsible for the automatic control for other behavior in processing of wafers, as wafer transport, temperature control, process chamber are bled, together with other behavior, wherein some are described in other position herein.
Control unit 110 can be used in the one in any type of general-purpose computer processor in industrial background, for controlling different process chambers and sub-processor.CPU112 can use any suitable memory 116, and as random access memory, read-only memory, floppy disk, hard disk, or the data of other form any store, this locality or long-range.Different support circuit can be connected to CPU112, for back-up system 10.Required software routines 113 can be stored in memory 116 or by the second computer processor being positioned at long-range (not shown) and perform.Be called the two-way communication between a large amount of digital cable processing and control element (PCE) 110 of signal bus 118 and other parts various of wafer processing process 10 by venue, some of them are as Fig. 1.
barrier layer is formed
Exemplary process chamber described in Fig. 1 may be used for the technique be implemented as follows.Certainly, other process chamber can also be used.Fig. 2 A-2B illustrates the illustrative embodiments formed for the barrier layer making interconnection structure according to one or more scheme of the present invention.
Fig. 2 A is the schematic sectional view of an execution mode of the substrate 200 it depositing dielectric layer 202.The processing stage of depending on, other material layer that substrate 200 can be semiconductor silicon wafer or be formed on wafer.Dielectric layer 202 can be oxide, silica, carbon Si oxide, fluorine silicon, porous dielectric, or other suitable dielectric, described dielectric is formed with patterning to provide contact hole or the path 202H of the exposed surface portions 202T extending to substrate 200.In order to clear, substrate 200 refers to any workpiece carrying out film process thereon, substrat structure 250 for other material layer representing substrate 200 and formed on the substrate 200, as dielectric layer 202.Those skilled in the art also should be appreciated that the present invention may be used for dual-damascene technics flow process.
Fig. 2 B is the schematic sectional view of the execution mode being formed barrier layer 204 by ald (ALD) on the substrat structure 250 of Fig. 2 A.Preferably, barrier layer comprises tantalum nitride layer.The example of other barrier material operable comprises titanium (Ti), titanium nitride (TiN), titanium silicon nitride (TiSiN), tantalum (Ta), tantalum silicon nitride (TaSiN), tungsten (W), tungsten nitride (WN), tungsten silicon nitride (WSiN), and its combination.
In order to clearly reason, an execution mode with reference to the barrier layer comprising tantalum nitride barrier layer describes the deposition on barrier layer in more detail.In one aspect, the ald order of tantalum nitride barrier layer comprises provides containing the compound of tantalum with containing nitrogen compound, as the process chamber of Fig. 1 in process chamber.Order provides and alternating chemistries absorption on substrat structure 250 may be caused to contain multiple individual layer of tantalum compound and multiple individual layers of nitrogen-containing compound containing tantalum compound and nitrogen-containing compound.
Fig. 3 A-C illustrates that alternating chemistries absorption contains multiple individual layer of tantalum compound and multiple individual layers of nitrogen-containing compound, more specifically at barrier layer formation stages on the Examples section of substrate 300 in the IC manufacturing stage.In figure 3 a, by importing a pulse containing tantalum compound 305 in process chamber process chamber as shown in Figure 1, on substrate 300, chemisorbed is containing the individual layer of tantalum compound.Think that for the chemisorption adsorbed containing the individual layer of tantalum compound 305 be self limiting, reason be due to the surface of substrate have limited quantity for chemisorbed containing the position of tantalum compound, given impulse duration only an individual layer be chemically adsorbed on the surface of substrate 300.Once the position of limited quantity is occupied by containing tantalum compound 305, any further chemisorbed containing tantalum compound will be stoped.
The tantalum atom 310 with one or more active materials 315 is typically comprised containing tantalum compound 305.In one embodiment, can be tantalum base Organometallic precursor or derivatives thereof containing tantalum compound.Preferably, Organometallic precursor is penta dimethylamine tantalum (pentadimethylamino-tantalum) (PDMAT; Ta (NMe 2) 5).PDMAT can have advantage due to many reasons.PDMAT is relatively stable.PDMAT has suitable vapour pressure, and this makes supply easily.Especially, PDMAT can be manufactured by low halide content.The halide content of PDMAT can manufacture by the halide content being less than 100ppm, and even can manufacture by the halide content being less than 30ppm or being even less than 5ppm.Do not wish by theoretical restriction, to believe that the Organometallic precursor of low halide content is useful, because halide (as chlorine) contained in barrier layer may corrode the layers of copper of deposition on it.
Containing the Organometallic precursor or derivatives thereof that tantalum compound can be other, such as, but not limited to penta ethyl dimethylamine tantalum (pentaethylmethylamino-tantalum) (PEMAT:Ta [N (C 2h 5cH 3) 2] 5), penta diethylamine tantalum (pentadiethylamino-tantalum) (PDEAT:Ta (NEt 2) 5), and any and all derivatives of PEMAT, PDEAT or PDMAT.Other the tantalum compound that contains includes but not limited to TBTDET (Ta (NEt 2) 3nC 4h 9or C 16h 39n 4and tantalum halide, such as TaX Ta) 5, wherein X is fluorine (F), bromine (Br) or chlorine (Cl), and derivative.
Can provide as gas containing tantalum compound or get off to provide in the help of carrier gas.Operable carrier gas includes but not limited to helium (He), argon (Ar), nitrogen (N 2) and hydrogen (H 2).
After the individual layer containing tantalum compound is chemically adsorbed to substrate 300, excessive is removed by the purge gas importing a pulse in process chamber containing tantalum compound from process chamber.Operable purge gas includes but not limited to helium (He), argon (Ar), nitrogen (N 2), hydrogen (H 2) and other gas.
With reference to Fig. 3 B, after cleaning process room, in process chamber, import the nitrogen-containing compound 325 of a pulse.Nitrogen-containing compound 325 can be provided individually, or provide under the help of carrier gas.Nitrogen-containing compound 325 can comprise the nitrogen-atoms 330 with one or more active materials 335.Nitrogen-containing compound preferably includes ammonia (NH 3).Other operable nitrogen-containing compound includes but not limited to: x and y is the N of integer xh y(such as hydrazine (N 2h 4)), dimethyl hydrazine ((CH 3) 2n 2h 2), butyl hydrazine (C 4h 9n 2h 3), phenyl hydrazine (C 6h 5n 2h 3), other hydrazine derivative, Nitrogen plasma source (such as N 2, N 2/ H 2, NH 3or N 2h 4plasma), 2,2 '-azoisobutane ((CH 3) 6c 2n 2), ethyl nitrine (C 2h 5n 3), and other suitable gas.If needed, carrier gas can be used to supply nitrogen-containing compound.
The individual layer of nitrogen-containing compound 325 can be chemisorbed on the individual layer containing tantalum compound 305.During ald (ALD), the composition and structure of precursor is not accurately known on the surface.Do not wish by theoretical restriction, believe that the individual layer nitrogen-containing compound 325 of chemisorbed reacts to form tantalum nitride layer 309 containing tantalum compound 305 with individual layer.Reactive material 315,335 forms the accessory substance 340 can carried from substrate surface by vacuum system.Believe that nitrogen-containing compound 325 is self limiting with the reaction containing tantalum compound 305 because only have an individual layer containing tantalum compound 305 chemisorbed on the surface of a substrate.In another theory, precursor on the surface of a substrate time can to mediate state.In addition, the tantalum nitride layer deposited also can containing the element more than tantalum (Ta) and nitrogen (N) simple elements; But tantalum nitride layer also can comprise the more complicated molecule with carbon (C), hydrogen (H) and/or oxygen (O).
Nitrogen-containing compound 325 monolayer adsorption containing tantalum compound individual layer on after, by import in process chamber another pulse purge gas remove any excessive nitrogen-containing compound.Then, as shown in Figure 3 C, if needed, the tantalum nitride layer sedimentary sequence containing the alternating chemistries absorption of tantalum compound and nitrogen-containing compound individual layer can repeat, until reach required tantalum nitride thickness.
In Fig. 3 A-3C, tantalum nitride layer formed be described to from chemisorbing monolayer on substrate containing tantalum compound, be then the nitrogen-containing compound of individual layer.Alternatively, tantalum nitride layer is formed can from the nitrogen-containing compound of chemisorbing monolayer on substrate, be then individual layer containing tantalum compound.In addition, in alternative embodiments, between the pulse of reacting gas, pump is bled and be may be used for preventing the mixing of reacting gas individually.
For each pulse containing tantalum compound, nitrogen-containing compound and purge gas, the duration is variable and the volume capacity depending on adopted process chamber and the vacuum system be attached thereto.Such as, the gas pressure that (1) is lower needs the longer burst length; (2) lower gas flow rate needs longer time chien shih room press liter and stablize, and needs the longer burst length; (3) large volume process chamber will spend the longer time to fill, and longer time chien shih room is pressed stable, thus needs the longer burst length.Similarly, the time between each pulse is also variable and the volume capacity depending on process chamber and the vacuum system be attached thereto.Usually, the duration containing a pulse of tantalum compound or nitrogen-containing compound should long enough for this compound of a chemisorbed individual layer.Usually, the burst length long enough of purge gas, to remove byproduct of reaction and/or to stay any retained material in the process chamber.
Usually, for containing about 1.0 seconds of tantalum compound or less burst length and being typically enough to for about 1.0 seconds of nitrogen-containing compound or less burst length the individual layer that chemisorbed replaces on substrate.About 1.0 seconds of purge gas or less burst length are typically enough to any retained material removed byproduct of reaction and stay in the process chamber.Certainly, the longer burst length can be used to guarantee the chemisorbed containing tantalum compound and nitrogen-containing compound, and guarantee the removal of byproduct of reaction.
During ald, substrate roughly can maintain below the selected heat decomposition temperature containing tantalum compound.For verify herein containing tantalum compound, under being less than 100 holders and being preferably less than the chamber pressure of 50 holders, the exemplary heater temperature range used is roughly between about 20 DEG C and about 500 DEG C.When containing tantalum gas be PDMAT time, heter temperature preferably between about 100 DEG C and about 300 DEG C, more preferably between about 175 DEG C and about 250 DEG C.In other embodiments, the temperature that can use other is to be understood that.Such as, the temperature higher than heat decomposition temperature can be used.But this temperature should be selected such that the depositing behavior more than 50% is undertaken by chemisorbed process.In another example, can use the temperature higher than heat decomposition temperature, wherein during each precursor deposition, decomposition amount is restricted, makes growth pattern be similar to ald growth pattern.
In the process chamber, as in the process chamber of Fig. 1, by ald, one of cvd nitride tantalum layer exemplary process sequence is included in about 100sccm and approximately preferably provides about 1.0 seconds of penta dimethylamine tantalum (PDMAT) or less time cycle under about 200sccm and the flow velocity approximately between 500sccm between 1000sccm, about 1.0 seconds of ammonia or less time cycle is provided under about 100sccm and the flow velocity approximately between 1000sccm preferably between about 200sccm and about 500sccm, and under about 100sccm and the flow velocity approximately between 1000sccm preferably between about 200sccm and about 500sccm, provide about 1.0 seconds of purge gas or less.Heter temperature preferably remains between about 100 DEG C and about 300 DEG C, and chamber pressure is between about 1.0 and about 5.0 holders.This technique provides the thickness of thickness between about 0.5 dust and about 1.0 dusts often circulate.Order alternately can be repeated, until reach required thickness.
In one embodiment, barrier layer as tantalum nitride barrier layer is deposited as about 50 dusts or less sidewall coverage.In another embodiment, barrier layer is deposited as about 20 dusts or less sidewall coverage.In another execution mode, barrier layer is deposited as about 10 dusts or less sidewall coverage.About 10 dusts of thickness or less barrier layer are considered to the barrier layer being enough to prevent copper from spreading.In one aspect, the advantage of thin barrier layer may be used for filling the sub-micron or less feature with high-aspect-ratio.Certainly, the barrier layer with the sidewall coverage being greater than 50 dusts can be used.
Barrier layer can by further plasma annealing.In one embodiment, plasma annealing can be carried out with argon plasma or argon/hydrogen plasma in barrier layer.For the substrate of 200mm diameter, the RF power supply being supplied to RF electrode can at about 100W and about 2000W, preferably at about 500W with approximately between 1000W, for the substrate of 300mm diameter, preferably between about 1000W and about 2000W.The pressure of process chamber can be less than 100 holders, preferably between 0.1 holder and about 5 holders, more preferably between about 1 holder and 3 holders.Heter temperature can between about 20 DEG C and about 500 DEG C.Plasma annealing can once circulate, repeatedly circulate or carry out after formation barrier layer.
Hereinbefore, the execution mode of barrier layer ald is described as be at the chemisorbed of reactant individual layer on substrate.The present invention also comprises wherein reactant and is deposited as the execution mode greater or less than an individual layer.The present invention also comprise wherein reactant not by the execution mode that the mode of self limiting deposits.The present invention also comprises wherein barrier layer 204 mainly by the execution mode of chemical vapor deposition method deposition, and in chemical vapour deposition (CVD), reactant is by order or supply simultaneously.The present invention also comprises wherein barrier layer 204 and, by execution mode deposited by physical vapour deposition (PVD), hits comprise material (the tantalum target namely in nitrogen, for cvd nitride tantalum) to be deposited at physical vapour deposition (PVD).
be suitable for the process chamber of depositing seed layer
In one embodiment, seed layer deposits by any suitable technology, as the combination of physical vapour deposition (PVD), chemical vapour deposition (CVD), electroless deposition or these technology.That suitable physical gas phase deposition technology for depositing seed layer comprises high-density plasma physical vapour deposition (PVD) (HDPPVD) or calibration or the sputtering of Long travel.The HDPPVD of one type is self-ionized plasma physical vapor deposition.Can the example of process chamber of self-ionized plasma physical vapor deposition seed layer be SIPTM room, can obtain from AppliedMaterials, Inc.ofSantaClara, California.The United States Patent (USP) 6 of " RotatingSputterMagnetronAssembly " is called in name, 183, describing in 614 can the illustrative embodiments of process chamber of self-ionized plasma physical vapor deposition, this patent with the reconcilable situation of the present invention under be combined in by reference herein.
Fig. 4 be may be used for depositing seed layer can the schematic sectional view of an execution mode for the treatment of system 410 of physical vapour deposition (PVD).Certainly, other treatment system and the physical vapour deposition (PVD) of other type can also be used.
Treatment system 410 comprises vacuum chamber 412, is sealed to PVD target 414, and this target is made up of the material wanting sputtering sedimentation on wafer 416, and this wafer is fixed on heater holder 418.The wall being fixed on the cover 420 conservation treatment room 412 in process chamber is not subject to the impact of sputtered material and provides plus earth face.Optional DC power supply 422 makes target 414 relative to cover 420 negative bias.
Gas source 424 provides sputtering working gas by using mass flow controllers 426 to process chamber 412, typically chemically inert gas argon.Process chamber keeps under low pressure by vacuum system 428.Computer based controller 430 controls the reactor comprising DC power supply 422 and using mass flow controllers 426.
When argon is allowed to enter process chamber, argon is excited into plasma by the DC voltage between target 414 and cover 420, and the argon ion filling positive electricity attracted to the target 414 filling negative electricity.Ion with enough energy bombardment target 414, and causes target atom or atomic beam to be sputtered from target 414.Some target particles bombardment wafer 416, thus be deposited thereon, thus form the film of target material.
In order to provide effective sputtering, magnetron 432 is set at the back side of target 414.It has the relative magnet 434,436 producing magnetic field near magnet 434,436 in process chamber.Magnetic field traps electrons, in order to keep electric neutrality, ion concentration also increases, thus contiguous magnetron 432 forms high-density plasma district 438 in process chamber.Magnetron 432 rotates around the rotating shaft 458 being positioned at target 414 center usually, thus realizes when the sputtering of target 414 covering completely.
Seat 418 produces DC automatic biasing, attracts Ionized particle through the plasma sheath of adjacent wafer 416 from plasma.This effect can be biased by additional DC or RF of seat electrode 418 to be strengthened, and to accelerate towards wafer 416 is additional the Ionized particle through plasma sheath, thus controls the directivity of sputtering sedimentation.
seed layer is formed
Exemplary process room shown in Fig. 4 may be used for implementing following technique.Certainly, other process chamber can be used.Fig. 5 A-5C is the schematic sectional view of the illustrative embodiments of depositing seed layer over the barrier layer.
Deposited copper alloy seed layer 502 on the barrier layer 204 that shown in Fig. 5 A one execution mode is included in Fig. 2 B, and in seed layer 502 deposited copper conductive material layer 506, to fill feature.The term " copper conductive material layer " used in this manual is restricted to the layer comprising copper or copper alloy.Copper alloy seed layer 502 includes the copper metal alloy helping deposition of material subsequently thereon.Copper alloy seed layer 502 can comprise copper and the second metal, as aluminium, magnesium, titanium, zirconium, tin, other metal, and its combination.Second metal preferably includes aluminium, magnesium, titanium, and combination, and more preferably comprises aluminium.In some embodiments, copper alloy seed layer comprises the second metal according to the concentration of the lower limit with about 0.001 atomic percent, about 0.01 atomic percent or about 0.1 atomic percent and the upper limit with about 5.0 atomic percents, about 2.0 atomic percents or about 1.0 atomic percents.Bimetallic concentration in from any lower limit to the scope of any upper limit comprises within the scope of the invention.In copper alloy seed layer 502, bimetallic concentration is preferably less than about 5.0 atomic percents, to reduce the resistance of copper alloy seed layer 502.The term " layer " used in this manual is restricted to one or more layers.Such as, for comprising copper and the bimetallic copper alloy seed layer 502 of concentration between about 0.001 percentage and about 5.0 atomic percents in scope, copper alloy seed layer 502 can comprise multiple layer, and wherein the assembly of multilayer divides and comprises copper and second metal of concentration between about 0.001 atomic percent and about 5.0 atomic percents.In order to illustrate, comprise the copper alloy seed layer 502 of multiple layers, wherein the assembly of multilayer divides and comprises copper and second metal of concentration between about 0.001 atomic percent and about 5.0 atomic percents, its example can comprise the second seed layer containing bimetallic first seed layer and cupric, the first seed layer of cupric/the second metal alloy and the second seed layer of cupric/the second metal alloy can be comprised, or the first seed layer of cupric/the second metal alloy and second seed layer etc. of cupric can be comprised.
Copper alloy seed layer 502 is deposited to the thickness at least about 5 dusts of the coverage of the sidewall of feature, or is deposited to the coverage at least continuous print thickness to the sidewall of feature.In one embodiment, copper alloy seed layer 502 is deposited over field areas to the thickness between about 10 dusts and about 2000 dusts, for the copper alloy seed layer 502 deposited by physical vapour deposition (PVD), preferably between about 500 dusts and about 1000 dusts.
Deposited copper alloy seed layer 512 on the barrier layer 204 that another execution mode shown in Fig. 5 B is included in Fig. 2 B, in copper alloy seed layer 512, deposit the second seed layer 514 and deposited copper conductive material layer 516 in the second seed layer 514, to fill feature.Copper alloy seed layer 512 includes the copper metal alloy helping thereon deposition materials subsequently.Copper alloy seed layer 512 can comprise copper and the second metal, as aluminium, magnesium, titanium, zirconium, tin, other metal and combination thereof.Second metal preferably includes aluminium, magnesium, titanium and combination thereof, and more preferably comprises aluminium.In some embodiments, copper alloy seed layer is by the lower limit with about 0.001 atomic percent, about 0.01 atomic percent or about 0.1 atomic percent and the concentration with the upper limit of about 5.0 atomic percents, about 2.0 atomic percents or about 1.0 atomic percents comprises the second metal.Second metal from any lower limit to the scope of any upper limit in concentration belong to scope of the present invention.In one embodiment, the second seed layer 514 comprises undoped copper (i.e. fine copper).In one aspect, because resistivity is lower than the copper alloy seed layer 512 of same thickness, and due to higher resistance to Surface Oxygen voltinism, use the second seed layer 514 comprising undoped copper.
Copper alloy seed layer 512 can be deposited to the thickness (i.e. subband structures thickness or discontinuity layer) being less than an individual layer on the sidewall of feature.In one embodiment, field areas place copper alloy seed layer 512 and the second seed layer 514 in conjunction with thickness between about 10 dusts and about 2000 dusts, the copper alloy seed layer 512 deposited for adopting physical vapour deposition (PVD) and the second seed layer 514, preferably between about 500 dusts and about 1000 dusts.
The barrier layer 204 that another execution mode shown in Fig. 5 C is included in Fig. 2 B deposits the first seed layer 523, and in the second seed layer 524 deposited copper conductive material layer 526, to fill feature.First seed layer 523 comprises the metal selected the group formed from aluminium, magnesium, titanium, zirconium, tin and combination thereof.Preferably, the first seed layer comprises aluminium.In one embodiment, the second seed layer 514 comprises undoped copper (i.e. fine copper).
First seed layer 523 can be deposited to the thickness (i.e. subband structures thickness or discontinuity layer) being less than an individual layer on the sidewall of feature.First seed layer is deposited to the thickness being less than about 50 dust sidewall coverage, being preferably less than about 40 dust sidewall coverage in one embodiment, to reduce the resistance of combination seed layer.At the combination thickness of field areas place first seed layer 523 and the second seed layer 524 between about 10 dusts and about 2000 dusts, the first seed layer 523 and the second seed layer 524 deposited for adopting physical vapour deposition (PVD), preferably between about 500 dusts and about 1000 dusts.
Copper alloy seed layer 502,512, the first seed layer 523 or the second seed layer 514,524 can be deposited by following technology, comprise the combination of physical vapour deposition (PVD), chemical vapour deposition (CVD), ald, electroless deposition or these technology.Usually, if use physical gas phase deposition technology to carry out depositing seed layer, then the process chamber of process chamber 412 as described in Figure 4 comprises the target as target 414, and described target has the composition being similar to the metal or metal alloy of wishing deposition.Such as, in order to deposited copper alloy seed layer 502,512, target can comprise copper and the second metal, as aluminium, magnesium, titanium, zirconium, tin, other metal and combination thereof.Second metal preferably includes aluminium.In some embodiments, target comprises by having the lower limit of about 0.001 atomic percent, about 0.01 atomic percent or about 0.1 atomic percent and having second metal of concentration of the upper limit of about 5.0 atomic percents, about 2.0 atomic percents or about 1.0 atomic percents.Scope of the present invention is belonged to from any lower limit to bimetallic concentration in the scope of any upper limit.In another example, in order to deposit the first seed layer 523, target comprises the metal selected the group formed from aluminium, magnesium, titanium, zirconium, tin and combination thereof.If seed layer is deposited by chemical vapour deposition (CVD) or ald, then the process chamber of process chamber is as shown in Figure 1 suitable for the suitable metal precursor supplying the metal or metal alloy that will deposit.
The illustrative processes carrying out depositing seed layer by physical vapour deposition (PVD) in the process chamber of process chamber as shown in Figure 4 comprises the target using the material that will deposit.Under process chamber can remain on the pressure between about 0.1 millitorr and about 10 millitorrs.Target can be biased by DC under the power between about 5 kilowatts and about 100 kilowatts.Seat can be biased by RF under about 0 and the power of about 1000 watts.Seat can not be heated (i.e. room temperature).
Copper conductive material layer 506,516,526 can pass through plating, physical vapour deposition (PVD), chemical vapour deposition (CVD), electroless deposition or these technology combination and deposit.Preferably, owing to can obtain growth from bottom to top in electroplating technology, copper conductive material layer 506,516,526 deposits by electroplating.On September 5th, 2000 issue, name is called the United States Patent (USP) 6,113 of " ElectroDepositionChemistry ", describe an exemplary electro-plating method in 771, and with when contradiction of the present invention be not combined in by reference herein.
Observed compared with the undoped copper seed layer on barrier layer time, the copper alloy seed layer as copper-aluminium seed layer has the adhesiveness of improvement over the barrier layer.Because copper alloy seed layer has good adhesiveness over the barrier layer, copper alloy seed layer is used as good size for the material deposited thereon.Do not wish, by theoretical restriction, to believe that the concentration of other metal in copper and copper seed layer provides the seed layer with good wetting property and good electrical character.Believe because the adhesiveness of copper alloy seed layer to material thereon provides the interface of improvement further, the copper alloy seed layer with the gross thickness being less than an individual layer can be used, if the second seed layer as undoped seed layer be deposited over above with the continuous seed layer providing at least one to combine.
Similarly, observed compared with the undoped seed layer on barrier layer time, the metal seed layer as aluminium seed layer provides the adhesiveness of improvement over the barrier layer.Because metal seed layer has good adhesiveness over the barrier layer, metal seed layer is used as good size for the material of deposition on it.Do not wish by theoretical restriction, believe because the adhesion of metal level to material thereon provides the interface of improvement, as the undoped copper seed layer that metal level deposits, the metal seed layer as aluminium seed layer with the gross thickness being less than an individual layer can be used.
Seed layer disclosed herein has the adhesiveness of improvement over the barrier layer, and has good wettability to the material deposited thereon.Therefore, by reducing the possibility of the formation in cavity in reunion, dewetting or copper conductive material layer under the thermal stress between copper conductive material layer depositional stage, during high-temperature process subsequently and when device uses, inculating crystal layer improves the reliability of device.
In one aspect, seed layer can use together with any barrier layer, and can use together with the barrier layer by any deposition techniques.Seed layer can be deposited by any deposition technique.Further, conductive material layer as copper conductive material layer can be deposited by any deposition technique in seed layer.
The present invention can fill to have and be less than about 0.2 micron of A/F and the advantage with the window being greater than about length-width ratio of 4: 1, about 6: 1 or about 10: 1.
Technique disclosed herein can be carried out in independently process chamber, or carries out in the multi-chamber processing system with multiple process chamber.Fig. 6 is the schematic top view of an example of the multi-chamber processing system 600 being suitable for carrying out technique disclosed herein.This equipment is an ENDURATM system, and can buy from AppliedMaterials, Inc., ofSantaClara, California.At the United States Patent (USP) 5 that on February 16th, 1993 is issued, name is called " StageVacuumWaferProcessingSystemandMethod " people such as () Tepman, 186, disclose similar multi-chamber processing system in 718, be not wherein combined in by reference herein with when disclosure contradiction.The embodiment of system 600 is used for the present invention is described, shall not be applied to and limits the scope of the invention.
System 600 generally includes and loads lock chamber 602,604, for substrate transmission being entered and sending out system 600.Typically, under this system 600 is in vacuum, loading lock chamber 602,604 " can take out down the substrate of drawing-in system 600 ".First manipulator 610 can loading lock chamber 602,604, process chamber 612,614, transmit substrate between transfer chamber 622,624 and other room 616,618.Second manipulator 630 can at process chamber 632, transmit substrate between 634,636,638 and transfer chamber 622,624.If there is no need for by the special process undertaken by system 600, can from system 600 Transformatin room 612,614,632,634,636,638.
In one embodiment, system 600 is set such that process chamber 634 is suitable for deposited copper alloy seed layer 502.Such as, the process chamber 634 for deposited copper alloy seed layer 502 can be a physical vapor deposition chamber, a CVD (Chemical Vapor Deposition) chamber or an atomic layer deposition chamber.System 600 can be arranged so that process chamber 632 is suitable for deposited barrier layer 204 further, and wherein copper alloy seed layer 502 is suitable for being deposited over the barrier layer.Such as, the process chamber 632 for deposited barrier layer 204 can be atomic layer deposition chamber, CVD (Chemical Vapor Deposition) chamber or physical vapor deposition chamber.In a particular implementation, process chamber 632 can be atomic layer deposition chamber, process chamber as shown in Figure 1, and process chamber 634 can be physical vapor deposition chamber, process chamber as shown in Figure 4.
In another embodiment, system 600 is set such that process chamber 634 is suitable for deposited copper alloy seed layer 512, and makes process chamber 636 be suitable in copper alloy seed layer 512, deposit the second seed layer 514.Such as, can be physical vapor deposition chamber, CVD (Chemical Vapor Deposition) chamber or atomic layer deposition chamber for the process chamber 634 of deposited copper alloy seed layer 512 and/or the process chamber 636 for depositing the second seed layer.System 600 can be set such that process chamber 632 is suitable for deposited barrier layer 204 further, and wherein copper alloy seed layer 512 is deposited over the barrier layer.Such as, the process chamber 632 for deposited barrier layer 204 can be atomic layer deposition chamber, CVD (Chemical Vapor Deposition) chamber or physical vapor deposition chamber.In a particular implementation, process chamber 632 can be atomic layer deposition chamber, process chamber as shown in Figure 1, and process chamber 634,636 can be physical vapor deposition chamber, process chamber as shown in Figure 4.
At another execution mode, system 600 is set such that process chamber 634 is suitable for plated metal seed layer 523, and makes process chamber 636 be suitable for depositing the second seed layer in metal seed layer 523.Such as, can be physical vapor deposition chamber, CVD (Chemical Vapor Deposition) chamber or atomic layer deposition chamber for the process chamber 634 of plated metal seed layer 523 and/or the process chamber 636 for depositing the second seed layer 524.This system can be set such that process chamber 632 is suitable for deposited barrier layer 204 further, wherein plated metal seed layer 523 over the barrier layer.Such as, the process chamber 632 for deposited barrier layer 204 can be atomic layer deposition chamber, CVD (Chemical Vapor Deposition) chamber or physical vapor deposition chamber.In a particular implementation, process chamber 632 can be atomic layer deposition chamber, process chamber as shown in Figure 1, and process chamber 634,636 can be physical vapor deposition chamber, process chamber as shown in Figure 4.
In one aspect, barrier layer 204 and seed layer can be carried out in multi-chamber processing system under vacuo (as copper alloy seed layer 502, copper alloy seed layer 512 and the second seed layer 514, or metal seed layer 523 and the second seed layer 524) deposition, to prevent air or other impurity from entering in each layer, and keep the seed structure on barrier layer 204.
Other execution mode of process chamber 600 belongs to scope of the present invention.Such as, in system, the position of particular procedure room can change.In another example, single-process-chamber can be suitable for the different layer of deposition two.
Example
Example 1
By ald at deposited on substrates TaN layer, reach the thickness of about 20 dusts.By physical vapour deposition (PVD) depositing seed layer on TaN layer, reach the thickness of about 100 dusts.Seed layer comprises any one in following composition: 1) adopt the undoped copper that the target containing undoped copper deposits, 2) copper alloy containing about 2.0 atomic percents of aluminum concentration of the copper-aluminium target deposition being about 2.0 atomic percents is adopted containing aluminum concentration, 3) employing is the copper alloy containing about 2.0 atomic percents of tin concentration of the copper-Xi target deposition of about 2.0 atomic percents containing tin concentration, or 4) adopt the copper alloy containing about 2.0 atomic percents of zirconium concentration containing copper-zirconium target deposition that zirconium concentration is about 2.0 atomic percents.At nitrogen (N 2) and hydrogen (H 2) environment in, the substrate of gained is annealed a period of time of about 15 minutes at about 380 DEG C.
Scanning electron micrograph shows the reunion of undoped layers of copper after annealing.Copper-zircaloy shows the reunion being less than undoped copper.Copper-ashbury metal shows the reunion being less than copper-zircaloy.Copper-aluminium alloy shows unconspicuous reunion.
Example 2
Adopt the copper-aluminium target containing about 2.0 atomic percents of aluminum concentration, by physical vapour deposition (PVD) by the copper of the aluminium containing about 2.0 atomic percents-aluminium alloy film deposition on different substrates.The substrate of gained comprises 1) copper-aluminium lamination of about 50 dusts of thickness that deposits on ALDTaN layer, 2) on the Ta layer of about 100 dusts, deposit to the copper-aluminium lamination of about 50 dust thickness, 3) on ALDTaN layer, deposit to the copper-aluminium lamination of about 100 dust thickness, 4) on silicon nitride (SiN) layer, the copper-aluminium lamination of the thickness of about 100 dusts is deposited to, and 5) on silicon oxide layer, deposit to the copper-aluminium lamination of about 100 dust thickness.At nitrogen (N 2) and hydrogen (H 2) environment in, the substrate of gained is annealed a period of time of about 15 minutes at about 380 DEG C.Copper-aluminium alloy that scanning electron micrograph shows on various substrates is not significantly reunited.
Example 3
Adopt the copper-aluminium alloy target containing about 2.0 atomic percents of aluminum concentration, by physical vapour deposition (PVD), the copper-aluminium alloy film containing about 2.0 atomic percents of aluminum concentration is deposited on ALDTaN layer the thickness of 50 dusts or 100 dusts.At nitrogen (N 2) and hydrogen (H 2) environment in, the substrate of gained is about 380 DEG C, about 15 minutes a period of time of annealing at the temperature of about 450 DEG C or about 500 DEG C.Substrate copper-aluminium alloy that scanning electron micrograph shows for annealing at about 380 DEG C or about 450 DEG C of temperature is not significantly reunited.Substrate copper-the aluminium alloy of annealing at the temperature of about 500 DEG C is shown and starts some dewetting occurs.
Example 4
Adopt the copper-aluminium alloy target containing about 2.0 atomic percents of aluminum concentration, by physical vapour deposition (PVD), the copper-aluminium alloy film containing about 2.0 atomic percents of aluminum concentration is deposited on ALDTaN layer the thickness of 50 dusts or 100 dusts.At nitrogen (N 2) and hydrogen (H 2) environment in, the substrate of gained is annealed time of about 30 minutes at the temperature of about 450 DEG C.Substrate copper-aluminium alloy that scanning electron micrograph shows for 30 minutes of annealing at about 450 DEG C of temperature is not significantly reunited.
Although foregoing teachings relates to the preferred embodiment of the present invention, can expect other and further embodiment of the present invention, and not deviate from its base region, scope of the present invention is limited by claims.

Claims (73)

1., for the treatment of a system for substrate, comprising:
At least one stops room for the ald comprising the barrier layer of tantalum nitride at deposited on substrates, wherein, at least one ald described stops that room is connected to gas panels, described gas panels is configured to receive from the first source comprising PDMAT receive nitrogenous gas containing tantalum gas with from the second source comprising ammonia, wherein the cl concn of PDMAT is less than or equal to 100ppm, and described ald stops that room is also configured to provide successively:
Flow velocity between 100sccm and 1000sccm be less than or equal to 1 second comprise PDMAT containing tantalum gas;
Be less than or equal to the purge gas of 1 second;
The nitrogenous gas that comprise ammonia that be less than or equal to 1 second of flow velocity between 100sccm and 1000sccm; And
Be less than or equal to the purge gas of 1 second;
Wherein said ald stop each cyclic deposition thickness of room between with between tantalum nitride layer, and
At least one comprises copper alloy target and is configured in the physical vapour deposition (PVD) metal seed crystal room of deposited copper alloy inculating crystal layer on described barrier layer, the metal that wherein said copper alloy target comprises copper and selects from the group that aluminium, magnesium, titanium, zirconium, tin and combination thereof are formed, and described copper alloy target comprises described metal with the concentration between 0.01 atomic percent and 2.0 atomic percents.
2. system according to claim 1, wherein, the cl concn of described PDMAT is less than or equal to 30ppm.
3. system according to claim 2, wherein, the cl concn of described PDMAT is less than or equal to 5ppm.
4. system according to claim 1, wherein, described physical vapour deposition (PVD) metal seed crystal room is high-density plasma physical vapour deposition (PVD) metal seed crystal room.
5. system according to claim 1, also comprises one or more for stopping the transfer chamber transmitting substrate between room and described physical vapour deposition (PVD) metal seed crystal room at described ald.
6. system according to claim 1, wherein, comprises on the barrier layer of tantalum nitride described at least one physical vapour deposition (PVD) metal seed crystal room described is configured to described copper alloy inculating crystal layer to be deposited directly to.
7. system according to claim 1, wherein, described copper alloy target comprises described metal with the concentration between 0.1 atomic percent and 1.0 atomic percents.
8. system according to claim 1, wherein, described gas panels is configured to receive purge gas from purge gas source.
9. system according to claim 1, wherein, described copper alloy target comprises copper and aluminium.
10. system according to claim 1, wherein, described copper alloy target comprises copper and titanium.
11. 1 kinds, for the treatment of the system of substrate, comprising:
At least one stops room for the ald comprising the barrier layer of tantalum nitride at deposited on substrates, wherein, at least one ald described stops that room is connected to gas panels, described gas panels is configured to receive from the first source comprising PDMAT receive nitrogenous gas containing tantalum gas with from the second source comprising ammonia, wherein the cl concn of PDMAT is less than or equal to 100ppm, and described ald stops that room is also configured to provide successively:
Flow velocity between 100sccm and 1000sccm be less than or equal to 1 second comprise PDMAT containing tantalum gas;
Be less than or equal to the purge gas of 1 second;
The nitrogenous gas that comprise ammonia that be less than or equal to 1 second of flow velocity between 100sccm and 1000sccm; With
Be less than or equal to the purge gas of 1 second;
Wherein said ald stop each cyclic deposition thickness of room between with between tantalum nitride layer,
At least one comprises copper alloy target and is configured in the physical vapour deposition (PVD) copper alloy seed crystal room of deposited copper alloy inculating crystal layer on described barrier layer, the metal that wherein said copper alloy target comprises copper and selects from the group that aluminium, magnesium, titanium, zirconium, tin and combination thereof are formed, and
At least one is configured in the physical vapour deposition (PVD) non-copper doped seed crystal room depositing unadulterated copper seed layer on described copper alloy inculating crystal layer.
12. systems according to claim 11, wherein, the cl concn of described PDMAT is less than or equal to 30ppm.
13. systems according to claim 12, wherein, the cl concn of described PDMAT is less than or equal to 5ppm.
14. systems according to claim 11, wherein, described physical vapour deposition (PVD) copper alloy seed crystal room is high-density plasma physical vapour deposition (PVD) copper alloy seed crystal room, and described physical vapour deposition (PVD) non-copper doped seed crystal room is high-density plasma physical vapour deposition (PVD) non-copper doped seed crystal room.
15. systems according to claim 11, also comprise one or more for stopping the transfer chamber transmitting substrate between room, described physical vapour deposition (PVD) copper alloy seed crystal room and described physical vapour deposition (PVD) non-copper doped seed crystal room at described ald.
16. systems according to claim 11, wherein, comprise on the barrier layer of tantalum nitride described at least one physical vapour deposition (PVD) copper alloy seed crystal room described is configured to described copper alloy inculating crystal layer to be deposited directly to.
17. systems according to claim 11, wherein, described copper alloy target comprises described metal with the concentration between 0.001 atomic percent and 5.0 atomic percents.
18. systems according to claim 11, wherein, described copper alloy target comprises described metal with the concentration between 0.01 atomic percent and 2.0 atomic percents.
19. systems according to claim 11, wherein, described gas panels is configured to receive purge gas from purge gas source.
20. systems according to claim 11, wherein, described copper alloy target comprises copper and aluminium.
21. systems according to claim 11, wherein, described copper alloy target comprises copper and titanium.
22. 1 kinds, for the treatment of the system of substrate, comprising:
At least one stops room for the ald comprising the barrier layer of tantalum nitride at deposited on substrates, wherein, at least one ald described stops that room is connected to gas panels, described gas panels is configured to receive from the first source comprising PDMAT receive nitrogenous gas containing tantalum gas with from the second source comprising ammonia, wherein the cl concn of PDMAT is less than or equal to 100ppm, and described ald stops that room is also configured to provide successively:
Flow velocity between 100sccm and 1000sccm be less than or equal to 1 second comprise PDMAT containing tantalum gas;
Be less than or equal to the purge gas of 1 second;
The nitrogenous gas that comprise ammonia that be less than or equal to 1 second of flow velocity between 100sccm and 1000sccm; With
Be less than or equal to the purge gas of 1 second;
Wherein said ald stop each cyclic deposition thickness of room between with between tantalum nitride layer,
At least one comprises metallic target and is configured in the physical vapour deposition (PVD) metal seed crystal room of plated metal inculating crystal layer on described barrier layer, and wherein said metallic target comprises the metal selected the group formed from aluminium, magnesium, titanium, zirconium, tin and combination thereof; And
At least one is configured in the physical vapour deposition (PVD) depositing unadulterated copper seed layer on described metal seed layer non-copper doped seed crystal room.
23. systems according to claim 22, wherein, the cl concn of described PDMAT is less than or equal to 30ppm.
24. systems according to claim 23, wherein, the cl concn of described PDMAT is less than or equal to 5ppm.
25. systems according to claim 22, wherein, described physical vapour deposition (PVD) metal seed crystal room is high-density plasma physical vapour deposition (PVD) metal seed crystal room, and described physical vapour deposition (PVD) non-copper doped seed crystal room is high-density plasma physical vapour deposition (PVD) non-copper doped seed crystal room.
26. systems according to claim 22, also comprise one or more for stopping the transfer chamber transmitting substrate between room, described physical vapour deposition (PVD) metal seed crystal room and described physical vapour deposition (PVD) non-copper doped seed crystal room at described ald.
27. systems according to claim 22, wherein, comprise on the barrier layer of tantalum nitride described at least one physical vapour deposition (PVD) metal seed crystal room described is configured to described metal seed layer to be deposited directly to.
28. 1 kinds, for the treatment of the system of substrate, comprising:
At least one ald being connected to gas panels stops room, described gas panels is configured to receive from the first source comprising PDMAT receive nitrogenous gas containing tantalum gas with from the second source comprising nitrogen precursor, wherein the cl concn of PDMAT is less than or equal to 100ppm, and described ald stops that room is also configured to provide successively:
Flow velocity between 100sccm and 1000sccm be less than or equal to 1 second comprise PDMAT containing tantalum gas;
Be less than or equal to the purge gas of 1 second;
The nitrogenous gas that comprise nitrogen precursor that be less than or equal to 1 second of flow velocity between 100sccm and 1000sccm; With
Be less than or equal to the purge gas of 1 second;
At least one has the physical vapour deposition (PVD) metal seed crystal room of copper alloy target, the metal that described copper alloy target comprises copper and selects from the group that aluminium, titanium and combination thereof are formed, and described copper alloy target comprises described metal with the concentration between 0.001 atomic percent and 5.0 atomic percents; And
At least one is configured in described ald and stops the transfer chamber transmitting substrate between room and described physical vapour deposition (PVD) metal seed crystal room.
29. systems according to claim 28, wherein, the cl concn of described PDMAT is less than or equal to 30ppm.
30. systems according to claim 29, wherein, the cl concn of described PDMAT is less than or equal to 5ppm.
31. systems according to claim 28, wherein, described copper alloy target comprises described metal with the concentration between 0.01 atomic percent and 2.0 atomic percents.
32. systems according to claim 31, wherein, described copper alloy target comprises described metal with the concentration between 0.1 atomic percent and 1.0 atomic percents.
33. systems according to claim 31, wherein, described copper alloy target comprises copper and aluminium.
34. systems according to claim 31, wherein, described copper alloy target comprises copper and titanium.
35. systems according to claim 28, wherein, described nitrogen precursor is ammonia.
36. 1 kinds, for the treatment of the system of substrate, comprising:
At least one is for comprising the atomic layer deposition chamber on the barrier layer of tantalum nitride at deposited on substrates, wherein, at least one atomic layer deposition chamber described is connected to gas panels, described gas panels is configured to receive containing tantalum gas with from the second source reception nitrogenous gas comprising nitrogen precursor from comprising containing the first source of tantalum compound, the described cl concn containing tantalum compound is less than or equal to 100ppm, and described atomic layer deposition chamber is also configured to provide successively:
Flow velocity between 100sccm and 1000sccm be less than or equal to 1 second comprise containing tantalum compound containing tantalum gas;
Be less than or equal to the purge gas of 1 second;
The nitrogenous gas that comprise nitrogen precursor that be less than or equal to 1 second of flow velocity between 100sccm and 1000sccm; With
Be less than or equal to the purge gas of 1 second; And
At least one is configured in deposition on described barrier layer and contains the physical vapour deposition (PVD) metal seed crystal room of copper seed layer.
37. systems according to claim 36, wherein, at least one physical vapour deposition (PVD) metal seed crystal room described is high-density plasma physical vapour deposition (PVD) metal seed crystal room.
38. according to system according to claim 37, also comprises one or more transfer chamber for transmitting substrate between at least one atomic layer deposition chamber described and at least one physical vapour deposition (PVD) metal seed crystal room described.
39. systems according to claim 36, wherein, described is the Organometallic precursor of tantalum containing tantalum compound.
40. according to system according to claim 39, and wherein, the Organometallic precursor of described tantalum is PDMAT.
41. systems according to claim 40, wherein, the cl concn of described PDMAT is less than or equal to 30ppm.
42. systems according to claim 41, wherein, the cl concn of described PDMAT is less than or equal to 5ppm.
43. systems according to claim 40, wherein, described nitrogen precursor is ammonia.
44. 1 kinds, for the treatment of the system of substrate, comprising:
At least one is for comprising the atomic layer deposition chamber on the barrier layer of tantalum nitride at deposited on substrates, wherein, at least one atomic layer deposition chamber described is connected to gas panels, described gas panels is configured to receive from the first source of the Organometallic precursor comprising tantalum receive nitrogenous gas containing tantalum gas with from the second source comprising nitrogen precursor, the cl concn of the Organometallic precursor of wherein said tantalum is less than or equal to 100ppm, and described atomic layer deposition chamber is also configured to provide successively:
Flow velocity between 100sccm and 1000sccm be less than or equal to 1 second comprise the Organometallic precursor of tantalum containing tantalum gas;
Be less than or equal to the purge gas of 1 second;
The nitrogenous gas that comprise nitrogen precursor that be less than or equal to 1 second of flow velocity between 100sccm and 1000sccm; With
Be less than or equal to the purge gas of 1 second;
At least one is configured in deposition on described barrier layer and contains the physical vapour deposition (PVD) metal seed crystal room of copper seed layer.
45. systems according to claim 44, wherein, the Organometallic precursor of described tantalum is PDMAT.
46. 1 kinds, for the treatment of the system of substrate, comprising:
At least one is for comprising the atomic layer deposition chamber on the barrier layer of tantalum nitride at deposited on substrates, wherein, at least one atomic layer deposition chamber described is connected to gas panels, described gas panels is configured to receive containing tantalum gas with from the second source reception nitrogenous gas comprising nitrogen precursor from comprising containing the first source of tantalum compound, the described cl concn containing tantalum compound is less than or equal to 100ppm, and described atomic layer deposition chamber is also configured to provide successively:
Flow velocity between 100sccm and 1000sccm be less than or equal to 1 second comprise containing tantalum compound containing tantalum gas;
Be less than or equal to the purge gas of 1 second;
The nitrogenous gas that comprise nitrogen precursor that be less than or equal to 1 second of flow velocity between 100sccm and 1000sccm; With
Be less than or equal to the purge gas of 1 second; And
At least one is configured in the settling chamber described barrier layer depositing copper containing layer, and described settling chamber is selected from the group be made up of physical vapor deposition chamber, electroless deposition room.
47. systems according to claim 46, wherein, at least one settling chamber described is physical vapor deposition chamber.
48. systems according to claim 47, wherein, described physical vapor deposition chamber is high-density plasma physical vapour deposition (PVD) metal seed crystal room.
49. systems according to claim 48, also comprise one or more transfer chamber for transmitting substrate between at least one atomic layer deposition chamber described and described physical vapor deposition chamber.
50. systems according to claim 46 wherein, are the Organometallic precursor of tantalum containing tantalum compound.
51. systems according to claim 50, wherein, the Organometallic precursor of described tantalum is PDMAT.
52. systems according to claim 51, wherein, the cl concn of described PDMAT is less than or equal to 30ppm.
53. systems according to claim 52, wherein, the cl concn of described PDMAT is less than or equal to 5ppm.
54. systems according to claim 51, wherein, described nitrogen precursor is ammonia.
55. 1 kinds, for the treatment of the system of substrate, comprising:
At least one is for comprising the atomic layer deposition chamber on the barrier layer of tantalum nitride at deposited on substrates, wherein, at least one atomic layer deposition chamber described is connected to gas panels, described gas panels is configured to receive from the first source of the Organometallic precursor comprising tantalum receive nitrogenous gas containing tantalum gas with from the second source comprising nitrogen precursor, the cl concn of the Organometallic precursor of wherein said tantalum is equal to or less than 100ppm, and described ald stops that room is also configured to provide successively:
Flow velocity between 100sccm and 1000sccm be less than or equal to 1 second comprise the Organometallic precursor of tantalum containing tantalum gas;
Be less than or equal to the purge gas of 1 second;
The nitrogenous gas that comprise nitrogen precursor that be less than or equal to 1 second of flow velocity between 100sccm and 1000sccm; With
Be less than or equal to the purge gas of 1 second; And
That at least one selects from physical vapor deposition chamber and electroless deposition room, for depositing the settling chamber of copper containing layer on described barrier layer.
56. systems according to claim 55, wherein, the Organometallic precursor of described tantalum is PDMAT.
57. 1 kinds, for the treatment of the system of substrate, comprising:
At least one is for comprising the atomic layer deposition chamber on the barrier layer of tantalum nitride at deposited on substrates, wherein, at least one atomic layer deposition chamber described is connected to gas panels, described gas panels is configured to receive containing tantalum gas with from the second source reception nitrogenous gas comprising nitrogen precursor from comprising containing the first source of tantalum compound, the described cl concn containing tantalum compound is less than or equal to 100ppm, and described atomic layer deposition chamber is also configured to provide successively:
Flow velocity between 100sccm and 1000sccm be less than or equal to 1 second comprise containing tantalum compound containing tantalum gas;
Be less than or equal to the purge gas of 1 second;
The nitrogenous gas that comprise nitrogen precursor that be less than or equal to 1 second of flow velocity between 100sccm and 1000sccm; With
Be less than or equal to the purge gas of 1 second; And
At least one is configured in the physical vapour deposition (PVD) metal seed crystal room of plated metal inculating crystal layer on described barrier layer, wherein, described metal seed layer comprises copper and the metal selected from the group that aluminium, magnesium, titanium, zirconium, tin and their alloy and combination are formed.
58. systems according to claim 57, the described cl concn containing tantalum compound is less than or equal to 30ppm.
59. systems according to claim 58, the described cl concn containing tantalum compound is less than or equal to 5ppm.
60. 1 kinds, for the preparation of the method for the substrat structure of copper metallization, comprise the following steps:
During ald process, by providing following process successively and deposited on substrates thickness is in the process chamber less than or equal to tantalum nitride barrier layer:
Flow velocity between 100sccm and 1000sccm be less than or equal to 1 second comprise PDMAT containing tantalum gas, wherein the cl concn of PDMAT is less than or equal to 100ppm;
Be less than or equal to the purge gas of 1 second;
The nitrogenous gas that comprise ammonia that be less than or equal to 1 second of flow velocity between 100sccm and 1000sccm; With
Be less than or equal to the purge gas of 1 second; And
During described ald process, the temperature of heater is maintained between 100 DEG C and 300 DEG C; And then
Inculating crystal layer is deposited, the metal that this inculating crystal layer comprises copper and selects from the group that aluminium, magnesium, zirconium and combination thereof are formed on described tantalum nitride barrier layer.
61. methods according to claim 60, wherein, the sidewall coverage of described tantalum nitride barrier layer is less than or equal to
62. 1 kinds, for the preparation of the method for the substrat structure of electro-coppering, comprise the following steps:
During ald process, by providing following process successively and deposited on substrates thickness is in the process chamber less than or equal to barrier layer:
Flow velocity between 100sccm and 1000sccm be less than or equal to 1 second comprise PDMAT containing tantalum gas, wherein the cl concn of PDMAT is less than or equal to 100ppm;
Be less than or equal to the purge gas of 1 second;
The nitrogenous gas that comprise ammonia that be less than or equal to 1 second of flow velocity between 100sccm and 1000sccm; With
Be less than or equal to the purge gas of 1 second; And
During described ald process, the temperature of heater is maintained between 100 DEG C and 300 DEG C; And
On described barrier layer, deposit inculating crystal layer, this inculating crystal layer comprises copper and aluminium.
63. methods according to claim 62, wherein, the thickness on described barrier layer is less than or equal to
64. methods according to claim 62, wherein, described inculating crystal layer comprises copper alloy inculating crystal layer, and described copper alloy inculating crystal layer comprises aluminium with the concentration between 0.001 atomic percent and 5.0 atomic percents.
65. methods according to claim 64, wherein, the concentration of the aluminium that described copper alloy inculating crystal layer comprises is between 0.01 atomic percent and 2.0 atomic percents.
66. methods according to claim 64, wherein, the concentration of the aluminium that described copper alloy inculating crystal layer comprises is between 0.1 atomic percent and 1.0 atomic percents.
67. methods according to claim 62, wherein, described inculating crystal layer comprises the first inculating crystal layer be deposited on described barrier layer and the second inculating crystal layer be deposited on described first inculating crystal layer.
68. methods according to claim 67, wherein, described first inculating crystal layer comprises copper alloy inculating crystal layer, and the concentration of the aluminium in this copper alloy inculating crystal layer is between 0.001 atomic percent and 5.0 atomic percents, and described second inculating crystal layer comprises the copper of undoped.
69. methods according to claim 68, wherein, the concentration of the aluminium that described copper alloy inculating crystal layer comprises is between 0.01 atomic percent and 2.0 atomic percents.
70. methods according to claim 68, wherein, the concentration of the aluminium that described copper alloy inculating crystal layer comprises is between 0.1 atomic percent and 1.0 atomic percents.
71. methods according to claim 67, wherein, described first inculating crystal layer comprises aluminium, have thickness at subband structures and between sidewall coverage; And described second inculating crystal layer comprises the copper of undoped.
72. methods according to claim 62, wherein, described barrier layer comprises tantalum nitride.
73. methods according to claim 62, wherein, described inculating crystal layer adopts the technique selected the group formed from physical vapour deposition (PVD), chemical vapour deposition (CVD), ald and electroless deposition to deposit.
CN201110379185.8A 2001-09-26 2002-09-09 Barrier layer and seed layer integrated Expired - Fee Related CN102361004B (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US09/965,370 US20030059538A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,373 US6936906B2 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,370 2001-09-26
US09/965,369 US20030057526A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,369 2001-09-26
US09/965,373 2001-09-26
CNA028213084A CN1575518A (en) 2001-09-26 2002-09-09 Integration of barrier layer and seed layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNA028213084A Division CN1575518A (en) 2001-09-26 2002-09-09 Integration of barrier layer and seed layer

Publications (2)

Publication Number Publication Date
CN102361004A CN102361004A (en) 2012-02-22
CN102361004B true CN102361004B (en) 2016-02-10

Family

ID=27420751

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA028213084A Pending CN1575518A (en) 2001-09-26 2002-09-09 Integration of barrier layer and seed layer
CN201110379185.8A Expired - Fee Related CN102361004B (en) 2001-09-26 2002-09-09 Barrier layer and seed layer integrated

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA028213084A Pending CN1575518A (en) 2001-09-26 2002-09-09 Integration of barrier layer and seed layer

Country Status (5)

Country Link
EP (1) EP1433202A2 (en)
JP (1) JP2005528776A (en)
KR (1) KR20040045007A (en)
CN (2) CN1575518A (en)
WO (1) WO2003028090A2 (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR101104058B1 (en) * 2003-05-27 2012-01-06 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for generating a precursor for a semiconductor processing system
KR100968312B1 (en) * 2004-06-02 2010-07-08 인터내셔널 비지네스 머신즈 코포레이션 PE-ALD of TaN Diffusion Barrier Region on Low-k Materials
JP4896850B2 (en) * 2006-11-28 2012-03-14 株式会社神戸製鋼所 Cu wiring of semiconductor device and manufacturing method thereof
JP5663305B2 (en) * 2007-09-07 2015-02-04 フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ. Method and apparatus for atomic layer deposition using atmospheric pressure glow discharge plasma
JP5135002B2 (en) * 2008-02-28 2013-01-30 ルネサスエレクトロニクス株式会社 Semiconductor device
JP2010087094A (en) * 2008-09-30 2010-04-15 Nec Electronics Corp Semiconductor device and method for manufacturing semiconductor device
CN101937864B (en) * 2009-07-03 2012-03-07 中芯国际集成电路制造(上海)有限公司 Filling method of contact hole
JP5718342B2 (en) 2009-10-16 2015-05-13 エンパイア テクノロジー ディベロップメント エルエルシー Apparatus and method for applying a film to a semiconductor wafer and method for processing a semiconductor wafer
KR101139696B1 (en) * 2010-04-20 2012-05-02 엘아이지에이디피 주식회사 Apparatus for chemical vapor deposition
JP2012060015A (en) * 2010-09-10 2012-03-22 Hitachi Cable Ltd Cu ALLOY SPUTTERING TARGET MATERIAL FOR ELECTRONIC DEVICE WIRING, AND ELEMENT STRUCTURE
KR101357171B1 (en) * 2010-11-12 2014-01-29 엘아이지에이디피 주식회사 Chemical vapor deposition device
JP2012151417A (en) * 2011-01-21 2012-08-09 Japan Display Central Co Ltd Thin-film transistor circuit substrate and method of manufacturing the same
CN102790009B (en) * 2011-05-16 2015-04-29 中芯国际集成电路制造(上海)有限公司 Method for reducing fringe effect in copper plating process and manufacturing method of copper interconnection structure
US8729702B1 (en) * 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
JP6013901B2 (en) * 2012-12-20 2016-10-25 東京エレクトロン株式会社 Method for forming Cu wiring
US8981564B2 (en) * 2013-05-20 2015-03-17 Invensas Corporation Metal PVD-free conducting structures
CN105845620A (en) * 2015-01-16 2016-08-10 中芯国际集成电路制造(上海)有限公司 Method of making copper interconnection structure, semiconductor device and electronic apparatus
JP6527030B2 (en) 2015-06-19 2019-06-05 東京エレクトロン株式会社 Plating method, plating part and plating system
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
JP6771613B2 (en) * 2019-05-09 2020-10-21 東京エレクトロン株式会社 Plating method, plating parts, and plating system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
CN1233856A (en) * 1998-04-27 1999-11-03 国际商业机器公司 Copper interconnection structure incorporating metal seed layer
US6242808B1 (en) * 1998-04-09 2001-06-05 Fujitsu Limited Semiconductor device with copper wiring and semiconductor device manufacturing method
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010001543A (en) * 1999-06-05 2001-01-05 김기범 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4817210B2 (en) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 Film forming apparatus and film forming method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6242808B1 (en) * 1998-04-09 2001-06-05 Fujitsu Limited Semiconductor device with copper wiring and semiconductor device manufacturing method
CN1233856A (en) * 1998-04-27 1999-11-03 国际商业机器公司 Copper interconnection structure incorporating metal seed layer
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system

Also Published As

Publication number Publication date
CN1575518A (en) 2005-02-02
WO2003028090A3 (en) 2003-09-12
EP1433202A2 (en) 2004-06-30
WO2003028090A2 (en) 2003-04-03
CN102361004A (en) 2012-02-22
KR20040045007A (en) 2004-05-31
JP2005528776A (en) 2005-09-22

Similar Documents

Publication Publication Date Title
CN102361004B (en) Barrier layer and seed layer integrated
US6936906B2 (en) Integration of barrier layer and seed layer
US20030059538A1 (en) Integration of barrier layer and seed layer
US20030057526A1 (en) Integration of barrier layer and seed layer
US6656831B1 (en) Plasma-enhanced chemical vapor deposition of a metal nitride layer
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US6368880B2 (en) Barrier applications for aluminum planarization
CN100471984C (en) Method of depositing a material layer
US6464779B1 (en) Copper atomic layer chemical vapor desposition
US7507660B2 (en) Deposition processes for tungsten-containing barrier layers
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
EP1021827B1 (en) Dual damascene metallization
US8409985B2 (en) Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6797620B2 (en) Method and apparatus for improved electroplating fill of an aperture
US6841044B1 (en) Chemically-enhanced physical vapor deposition
JP2005528808A (en) Copper film deposition
TWI223867B (en) Method for forming a metal interconnect on a substrate
US20020176939A1 (en) Method of improving the adhesion of copper
WO2000065126A1 (en) Cvd tantalum nitride plug formation from tantalum halide precursors
JP2000007337A (en) Tantalum thin film and thin film consisting mainly of tantalum and their production

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: APPLIED MATERIALS, Inc.

Address before: American California

Applicant before: Applied Materials, Inc.

COR Change of bibliographic data

Free format text: CORRECT: APPLICANT; FROM: APPLIED MATERIALS, INC. TO: APPLIED MATERIALS INC.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160210

Termination date: 20210909

CF01 Termination of patent right due to non-payment of annual fee