CN102361004A - Integration of barrier layer and seed layer - Google Patents

Integration of barrier layer and seed layer Download PDF

Info

Publication number
CN102361004A
CN102361004A CN2011103791858A CN201110379185A CN102361004A CN 102361004 A CN102361004 A CN 102361004A CN 2011103791858 A CN2011103791858 A CN 2011103791858A CN 201110379185 A CN201110379185 A CN 201110379185A CN 102361004 A CN102361004 A CN 102361004A
Authority
CN
China
Prior art keywords
equal
smaller
chamber
layer
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011103791858A
Other languages
Chinese (zh)
Other versions
CN102361004B (en
Inventor
程华
陈凌
于基科
常美
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/965,370 external-priority patent/US20030059538A1/en
Priority claimed from US09/965,373 external-priority patent/US6936906B2/en
Priority claimed from US09/965,369 external-priority patent/US20030057526A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102361004A publication Critical patent/CN102361004A/en
Application granted granted Critical
Publication of CN102361004B publication Critical patent/CN102361004B/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

The present invention generally relates to filling of a feature by depositing a barrier layer, depositing a seed layer over the barrier layer, and depositing a conductive layer over the seed layer. In one embodiment, the seed layer comprises a copper alloy seed layer deposited over the barrier layer. For example, the copper alloy seed layer may comprise copper and a metal, such as aluminum, magnesium, titanium, zirconium, tin, and combinations thereof. In another embodiment, the seed layer comprises a copper alloy seed layer deposited over the barrier layer and a second seed layer deposited over the copper alloy seed layer. The copper alloy seed layer may comprise copper and a metal, such as aluminum, magnesium, titanium, zirconium, tin, and combinations thereof. The second seed layer may comprise a metal, such as undoped copper. In still another embodiment, the seed layer comprises a first seed layer and a second seed layer. The first seed layer may comprise a metal, such as aluminum, magnesium, titanium, zirconium, tin, and combinations thereof. The second seed layer may comprise a metal, such as undoped copper.

Description

Barrier layer and seed layer integrated
The present invention is that the PCT international filing date is that September 9, application number in 2002 are 02821308.4, denomination of invention is divided an application for the patent application of " barrier layer and seed layer integrated ".
Technical field
The apparatus and method of the seed layer on relate generally to deposited barrier layer of the present invention and the barrier layer.More specifically, the present invention relates to deposited barrier layer and on the barrier layer deposition comprise the apparatus and method of the seed layer of copper and other metal.
Background technology
For semiconductor device of future generation integrated on a large scale (VLSI) and ultra-large integrated (ULSI), making sub-micron or littler characteristic reliably is one of key.Yet because the striped of circuit engineering is restricted, the size of dwindling that interconnects in VLSI and the ULSI technology is provided with extra requirement to working ability.The stage construction interconnection of this technological core need be to the accurate processing of high-aspect-ratio characteristic, for example path and other interconnection.The reliable formation of these interconnection is to VLSI and ULSI success and all extremely important to the continuous effort of the current densities that improves each substrate and quality.
When current densities increases; Path, contact and further feature, and the width of the dielectric material between them is reduced to submicron-scale (for example, less than 0.20 micron or littler); Yet the thickness of dielectric layer is constant basically, and the length-width ratio of characteristic is that their height increases divided by width as a result.Many traditional depositing operations are had any problem when length-width ratio surpasses 4: 1 submicrometer structure filling, particularly in length-width ratio above 10: 1 o'clock.Therefore, formation have high-aspect-ratio have basically no the cavity and jointless sub-micron features aspect carrying out a large amount of effort.
At present, copper and alloy thereof become the metal of selecting to be used for the sub-micron interconnection, because copper has the resistivity lower than aluminium (1.7 μ Ω-cm compare with 3.1 μ Ω-cm of aluminium), and higher current carrying capacity and much higher electromigration resistance.These performances for the more high current density that is supported in the integrated time experience of stage construction with to improve device speed all extremely important.And copper has good thermal conductivity and can obtain at high pure state.
Copper metallization can be realized through various technology.Typical method is usually included in physical vapour deposition (PVD) barrier layer on the characteristic, physical vapour deposition (PVD) copper seed layer on the barrier layer, then on copper seed layer the electro-coppering conductive material layer to fill this characteristic.At last, each layer that is deposited and dielectric layer are by complanation, for example through chemico-mechanical polishing (CMP), to limit conductive interconnect features.
Yet using a problem of copper is that copper is diffused in silicon, silicon dioxide and other dielectric material, possibly damage the integrality of device.Therefore, layer conformal barrier becomes more and more important to preventing the copper diffusion.Tantalum nitride has been used as barrier material and has been diffused in the following layer to prevent copper.Yet a problem on the previous tantalum nitride that uses and other barrier layer is these barrier layers for deposited copper above that is very poor size.For example, during deposited copper seed layer, copper seed layer possibly reunite and become discontinuous on these barrier layers, possibly stop consistency ground deposited copper conductive material layer (for example copper electroplating layer) on copper seed layer.In other example, possibly cause dewetting and forming the cavity under the high temperature that the substrat structure that has at the copper layer that deposits on these barrier layers is carried out with reprocessing.In another example still, possibly cause in the copper layer, producing cavity and device failure through using the thermal stress in the device that said device forms.Therefore, need interconnection structure that improves and the method that deposits interconnection structure.
Summary of the invention
Relate generally to of the present invention through deposited barrier layer, on the barrier layer deposition seed layer and on the seed layer depositing conducting layer fill characteristic.In one embodiment, the seed layer comprises the copper alloy seed layer that is deposited on the barrier layer.For example, copper alloy seed layer can comprise copper and metal, like aluminium, magnesium, titanium, zirconium, tin and combination thereof.In another embodiment, the seed layer comprises the copper alloy seed layer that is deposited on the barrier layer and is deposited on the second seed layer on the copper alloy seed layer.Copper alloy seed layer can comprise copper and metal, like aluminium, magnesium, titanium, zirconium, tin and combination thereof.The second seed layer can comprise metal, like non-copper doped.In another execution mode still, the seed layer comprises the first seed layer and the second seed layer.The first seed layer can comprise metal, like aluminium, magnesium, titanium, zirconium, tin and combination thereof.The second seed layer can comprise metal, like non-copper doped.
Description of drawings
In order to obtain the characteristic above-mentioned, advantage and the object of the invention, can describe more specifically with reference to the present invention of the execution mode of example description in the accompanying drawings to short summary in the preceding text with understood in detail.
Yet, should be noted that accompanying drawing only explained exemplary embodiment of the present invention, therefore do not think restriction, because the present invention can be applied in other equivalent execution mode to its scope.
Fig. 1 is the schematic sectional view of an execution mode that can be used for forming through ald the treatment system on one or more barrier layers;
Fig. 2 A is the schematic sectional view of an execution mode that deposits the substrate of dielectric layer on it.
Fig. 2 B is the schematic sectional view that on the substrat structure of Fig. 2 A, forms an execution mode on barrier layer.
Fig. 3 A-C explanation replaces the execution mode that chemisorbed contains the individual layer of tantalum compound and nitrogen-containing compound on the part of stage at substrate of barrier layer formation.
Fig. 4 be can be used for deposited copper alloy seed layer can chemical vapour deposition (CVD) the schematic sectional view of an execution mode of treatment system.
Fig. 5 A-C is the schematic sectional view of the execution mode of deposition seed layer on the barrier layer of Fig. 2 B.
Fig. 6 is the schematic top view of an example of multicell treatment system.
Embodiment
The process chamber that is suitable for deposited barrier layer
Fig. 1 is the schematic sectional view of an illustrative embodiments of treatment system 10, and this treatment system can be used for forming one or more barrier layers according to scheme of the present invention through ald.Certainly, also can use other treatment system.
Treatment system 10 generally includes process chamber 100, gas panels 130, control unit 110, power supply 106 and vacuum pump 102.Process chamber 100 holds bearing 150 usually, and this bearing is used in process chamber 100, supporting the for example substrate of semiconductor wafer 190.
In process chamber 100, bearing 150 can heat through the heating element 170 that embeds.For example, bearing can be through providing electric current and by resistance heating from AC power supplies to heating element 170.Successively, wafer 190 is heated by bearing 150, and can remain in the required temperature ranges, for example, depends on specific processing, in the scope between about 20 ℃ and about 1000 ℃.
Temperature sensor 172 can be embedded in the wafer support 150 with monitoring bearing temperature like thermocouple.For example, measured temperature can be used for feedback loop and be applied to the electric current of heating element 170 with control from power supply 106, makes chip temperature can be held or be controlled in required temperature or ought to use in the suitable temperature required scope for certain.Bearing 150 also can use radiation heating (not shown) or other heating means to heat.
Vacuum pump 102 can be used to extract out from process chamber 100 handles gases, and can be used to help at required pressure of process chamber 100 inner sustain or the required pressure in the pressure limit.The hole 120 of passing process chamber 100 walls is used to processing gas is imported in the process chamber 100.The size in hole 120 depends on the size of process chamber 100 usually.
Hole 120 partly is connected to gas panels 130 through valve 125.Gas panels 130 can be arranged to receive and then provide final processing gas through hole 120 and valve 125 to process chamber 100 from two or more gas sources 135,136.Gas source 135,136 can be stored the precursor that at room temperature is in a liquid state, and subsequently, this precursor is heated in gas panels 130 time, thereby precursor is transformed into vapor state, imports in the process chamber 100.Gas source 135,136 also can be suitable for through using carrier gas that precursor is provided.Gas panels 130 can and then be arranged to receive and then provide purge gas through hole 120 and valve 125 to process chamber 100 from purge gas source 138.Shower nozzle 160 can be connected to hole 120, thereby the wafer on bearing 150 190 is supplied with processing gas, purge gas or other gas.
Shower nozzle 160 can be with acting on the separate electrodes that electric field is provided and triggers plasma with bearing 150.RF power supply 162 can be connected to shower nozzle 160, and RF power supply 163 can be connected to bearing 150, and perhaps RF power supply 162,163 can be connected respectively to shower nozzle 160 and bearing 150.Matching network 164 can be connected to RF power supply 162,163, can be connected to control unit 110, thereby control offers the electric power of RF power supply 162,163.
Control unit 110 like personal computer able to programme, workstation computer etc., also can be arranged in the different phase of processing of wafers degree control through the different disposal gas flow of gas panels 130 and valve 125.Exemplarily, control unit 110 comprises CPU (CPU) 112, supports circuit 114 and the memory that contains relevant Control Software 113 116.Except the processing gas of control through gas panels 130; Control unit 110 can be arranged to be responsible for being used for the automatic control of other behavior of processing of wafers; Bleed like wafer transport, temperature control, process chamber, with other behavior, wherein some will be described in other position of this paper.
Control unit 110 can be a kind of in any type of general-purpose computer processor that is used in the industrial background, is used to control different process chambers and sub-processor.CPU 112 can use any suitable storage device 116, like random access memory, read-only memory, floppy disk, hard disk, or the storage of any other form, this locality or long-range.Can different support circuit be connected to CPU 112, be used for back-up system 10.Required software routines 113 can be stored in the memory 116 or by second computer processor that is positioned at long-range (not shown) and carry out.Be called the two-way communication between various other parts of a large amount of digital cable processing and control element (PCE)s 110 and wafer processing process 10 of signal bus 118 through the venue, some of them such as Fig. 1.
The barrier layer forms
The described exemplary process chamber of Fig. 1 can be used to implement following technology.Certainly, also can use other process chamber.Fig. 2 A-2B explanation is used to make the illustrative embodiments that the barrier layer of interconnection structure forms according to one or more scheme of the present invention.
Fig. 2 A is the schematic sectional view of an execution mode that deposits the substrate 200 of dielectric layer 202 on it.The processing stage of depending on, substrate 200 can be semiconductor silicon wafer or other material layer of on wafer, forming.Dielectric layer 202 can be oxide, silica, carbon Si oxide, fluorine silicon, porous dielectric; Or other suitable dielectric, said dielectric be formed with patterning so that contact hole or the path 202H of the exposing surface part 202T that extends to substrate 200 to be provided.For clear, substrate 200 refers to carry out any workpiece of film above that, and other material layer that substrat structure 250 is used to represent substrate 200 and on substrate 200, forms is like dielectric layer 202.Those skilled in the art should be appreciated that also the present invention can be used for the dual-damascene technics flow process.
Fig. 2 B is the schematic sectional view that on the substrat structure 250 of Fig. 2 A, forms an execution mode on barrier layer 204 through ald (ALD).Preferably, the barrier layer comprises tantalum nitride layer.The example of operable other barrier material comprises titanium (Ti), titanium nitride (TiN), titanium silicon nitride (TiSiN), tantalum (Ta), tantalum silicon nitride (TaSiN), tungsten (W), tungsten nitride (WN), tungsten silicon nitride (WSiN), with and the combination.
For reason clearly, will come to describe in more detail the deposition on barrier layer with reference to an execution mode on the barrier layer that comprises tantalum nitride barrier layer.In one aspect, the ald of tantalum nitride barrier layer comprises in proper order provides the compound that contains tantalum and nitrogenous compound in process chamber, like the process chamber of Fig. 1.Order provides and contains tantalum compound and nitrogen-containing compound and possibly cause on substrat structure 250, replacing chemisorbed and contain a plurality of individual layers of tantalum compound and a plurality of individual layers of nitrogen-containing compound.
Fig. 3 A-C explanation replaces chemisorbed on the example part at substrate 300 at integrated circuit in the fabrication stage and contains a plurality of individual layers of tantalum compound and a plurality of individual layers of nitrogen-containing compound, more specifically is to form the stage on the barrier layer.In Fig. 3 A, through in process chamber process chamber as shown in Figure 1, importing a pulse that contains tantalum compound 305, chemisorbed contains the individual layer of tantalum compound on substrate 300.Think that the chemisorbed technology that is used to adsorb the individual layer that contains tantalum compound 305 is self limiting; Reason is because the surface of substrate has the position that chemisorbed contains tantalum compound that is used for of limited quantity, given impulse duration only individual layer by chemisorbed to the surface of substrate 300.In case being contained tantalum compound 305, the position of limited quantity occupies, with stoping any further chemisorbed that contains tantalum compound.
Contain tantalum compound 305 and typically comprise the tantalum atom 310 that has or more active material 315.In one embodiment, containing tantalum compound can be tantalum base Organometallic precursor or derivatives thereof.Preferably, Organometallic precursor is penta dimethylamine tantalum (pentadimethylamino-tantalum) (PDMAT; Ta (NMe 2) 5).PDMAT is owing to many reasons can have advantage.PDMAT is relatively stable.PDMAT has suitable vapour pressure, and this makes supplies with easily.Especially, can make PDMAT by subhalide content.The halide content of PDMAT can be made by the halide content less than 100ppm, and even can be by less than 30ppm or even make less than the halide content of 5ppm.Do not hope bound by theory, the Organometallic precursor of believing subhalide content is useful, because contained halide (like chlorine) possibly corrode the copper layer that deposits on it in the barrier layer.
Containing tantalum compound can be other Organometallic precursor or derivatives thereof, such as but be not limited to penta ethyl dimethylamine tantalum (pentaethylmethylamino-tantalum) (PEMAT:Ta [N (C 2H 5CH 3) 2] 5), penta diethylamine tantalum (pentadiethylamino-tantalum) (PDEAT:Ta (NEt 2) 5), and any and all derivatives of PEMAT, PDEAT or PDMAT.Other the tantalum compound that contains includes but not limited to TBTDET (Ta (NEt 2) 3NC 4H 9Or C 16H 39N 4Ta) and tantalum halide, for example TaX 5, wherein X is fluorine (F), bromine (Br) or chlorine (Cl), and derivative.
Containing tantalum compound can be used as gas and provides or under the help of carrier gas, provide.Operable carrier gas includes but not limited to helium (He), argon (Ar), nitrogen (N 2) and hydrogen (H 2).
After the individual layer that contains tantalum compound was arrived substrate 300 by chemisorbed, the excessive tantalum compound that contains was removed from process chamber through the purge gas that in process chamber, imports a pulse.Operable purge gas includes but not limited to helium (He), argon (Ar), nitrogen (N 2), hydrogen (H 2) and other gas.
With reference to Fig. 3 B, after cleaning process room, in process chamber, import the nitrogen-containing compound 325 of a pulse.Nitrogen-containing compound 325 can be provided individually, perhaps under the help of carrier gas, provide.Nitrogen-containing compound 325 can comprise the nitrogen-atoms 330 that has or more active material 335.Nitrogen-containing compound preferably includes ammonia (NH 3).Other operable nitrogen-containing compound includes but not limited to: x and y are the N of integer xH y(hydrazine (N for example 2H 4)), dimethyl hydrazine ((CH 3) 2N 2H 2), butyl hydrazine (C 4H 9N 2H 3), phenyl hydrazine (C 6H 5N 2H 3), other hydrazine derivative, Nitrogen plasma source (N for example 2, N 2/ H 2, NH 3Or N 2H 4Plasma), 2,2 '-azo iso-butane ((CH 3) 6C 2N 2), ethyl nitrine (C 2H 5N 3) and other suitable gas.If desired, can use carrier gas to supply with nitrogen-containing compound.
The individual layer of nitrogen-containing compound 325 can chemisorbed on the individual layer that contains tantalum compound 305.Surperficial composition and the structure that goes up precursor accurately do not known during ald (ALD).Do not hope bound by theory, believe that the individual layer nitrogen-containing compound 325 of chemisorbed and individual layer contain tantalum compound 305 reactions to form tantalum nitride layer 309.Reactive material 315,335 forms can be through the accessory substance 340 of vacuum system from the substrate surface conveying.Believe that nitrogen-containing compound 325 and the reaction that contains tantalum compound 305 are self limitings because have only an individual layer contain tantalum compound 305 chemisorbed on substrate surface.In another theory, the precursor state that can mediate on substrate surface the time.In addition, the tantalum nitride layer that is deposited also can contain the element more than tantalum (Ta) and nitrogen (N) simple elements; But, tantalum nitride layer also can comprise have carbon (C), the more complicated molecule of hydrogen (H) and/or oxygen (O).
Containing on the individual layer of tantalum compound in the monolayer adsorption of nitrogen-containing compound 325 after, remove any excessive nitrogen-containing compound through the purge gas that in process chamber, imports another pulse.Then, shown in Fig. 3 C, if desired, the alternately tantalum nitride layer sedimentary sequence of chemisorbed that contains tantalum compound and nitrogen-containing compound individual layer can repeat, up to reaching required tantalum nitride thickness.
In Fig. 3 A-3C, tantalum nitride layer forms and is described to begin from the tantalum compound that contains of chemisorbing monolayer on substrate, then is the nitrogen-containing compound of individual layer.Alternatively, tantalum nitride layer forms and can begin from the nitrogen-containing compound of chemisorbing monolayer on substrate, then is the tantalum compound that contains of individual layer.In addition, in optional execution mode, pump is bled and can be used to prevent the mixing of reacting gas individually between the pulse of reacting gas.
For each pulse that contains tantalum compound, nitrogen-containing compound and purge gas, the duration is variable and depends on the volume capacity of the process chamber that is adopted and the vacuum system that is attached thereto.For example, (1) lower gas pressure needs the long burst length; (2) lower gas flow rate needs long time chien shih constant pressure rising and stable, needs the long burst length; (3) big volume process chamber will spend the long time and fills, and the chien shih constant pressure is stable when long, thereby needs the long burst length.Similarly, the time between each pulse also is variable and depends on the volume capacity of process chamber and the vacuum system that is attached thereto.Usually, containing duration of a pulse of tantalum compound or nitrogen-containing compound should long enough for this compound of an individual layer of chemisorbed.Usually, the burst length long enough of purge gas is to remove byproduct of reaction and/or to stay any retained material in the process chamber.
Usually, for containing about 1.0 seconds of tantalum compound or burst length still less and typically being enough to the individual layer that chemisorbed replaces on substrate for about 1.0 seconds of nitrogen-containing compound or burst length still less.Typically be enough to remove byproduct of reaction and stay any retained material in the process chamber for about 1.0 seconds of purge gas or burst length still less.Certainly, can use the long burst length guaranteeing to contain the chemisorbed of tantalum compound and nitrogen-containing compound, and guarantee the removal of byproduct of reaction.
During ald, substrate can roughly maintain below the selected heat decomposition temperature that contains tantalum compound.For the tantalum compound of verifying among this paper that contains, under less than the preferred chamber pressure less than 50 holders of 100 holders, employed exemplary heter temperature scope is roughly between about 20 ℃ and about 500 ℃.When containing tantalum gas and be PDMAT, heter temperature is preferably between about 100 ℃ and about 300 ℃, more preferably between about 175 ℃ and about 250 ℃.In other execution mode, be to be understood that the temperature that to use other.For example, can use the temperature that is higher than heat decomposition temperature.Yet this temperature should be selected such that more than 50% deposition behavior carries out through the chemisorbed process.In another example, can use the temperature that is higher than heat decomposition temperature, wherein decomposition amount is restricted during each precursor deposition, makes growth pattern be similar to the ald growth pattern.
In process chamber; As the cvd nitride tantalum layer exemplary process sequence is included in about 100sccm and approximately preferably approximately under the flow velocity between 200sccm and the about 500sccm about 1.0 seconds of penta dimethylamine tantalum (PDMAT) or less time cycle is being provided between the 1000sccm through ald in the process chamber of Fig. 1; About 100sccm and approximately between the 1000sccm preferably at about 200sccm with approximately under the flow velocity between the 500sccm about 1.0 seconds of ammonia or less time cycle are being provided, and about 100sccm and approximately between the 1000sccm preferably at about 200sccm with about 1.0 seconds of purge gas or still less approximately are provided under the flow velocity between the 500sccm.Heter temperature preferably remains between about 100 ℃ and about 300 ℃, chamber pressure about 1.0 and about 5.0 the holder between.This technology provides the thickness of thickness between about 0.5 dust and the every circulation of about 1.0 dusts.Can repeat order alternately, up to reaching required thickness.
In one embodiment, barrier layer such as tantalum nitride barrier layer are deposited as about 50 dusts or sidewall coverage still less.In another embodiment, the barrier layer is deposited as about 20 dusts or sidewall coverage still less.In another execution mode, the barrier layer is deposited as about 10 dusts or sidewall coverage still less.About 10 dusts of thickness or barrier layer still less are considered to be enough to prevent the barrier layer of copper diffusion.In one aspect, the advantage of thin barrier layer is to be used to fill sub-micron with high-aspect-ratio or littler characteristic.Certainly, can use the barrier layer that has greater than the sidewall coverage of 50 dusts.
The barrier layer can be by further plasma annealing.In one embodiment, plasma annealing can be carried out with argon plasma or argon/hydrogen plasma in the barrier layer.For the substrate of 200mm diameter, offer the RF electrode the RF power supply can about 100W and approximately 2000W, preferably at about 500W with approximately between the 1000W, for the substrate of 300mm diameter, preferably at about 1000W with approximately between the 2000W.The pressure of process chamber can be less than 100 holders, preferably between 0.1 holder and about 5 holders, more preferably between about 1 holder and 3 holders.Heter temperature can be between about 20 ℃ and about 500 ℃.Plasma annealing can once circulate, repeatedly circulate or after the formation barrier layer, carry out.
Hereinbefore, the execution mode of barrier layer ald is described as be at the chemisorbed of reactant individual layer on the substrate.The present invention comprises that also wherein reactant is deposited as the execution mode greater or less than an individual layer.The present invention also comprises the execution mode that reactant does not wherein deposit by the mode of self limiting.The present invention comprises that also wherein barrier layer 204 is mainly by the execution mode of chemical vapor deposition method deposition, and reactant is by order or supply simultaneously in chemical vapour deposition (CVD).The present invention also comprises wherein barrier layer 204 by the execution mode of deposited by pvd, and hitting at physical vapour deposition (PVD) comprises material to be deposited (be the tantalum target in the nitrogen, be used for the cvd nitride tantalum).
Be suitable for depositing the process chamber of seed layer
In one embodiment, the seed layer can deposit through any suitable technique, like physical vapour deposition (PVD), chemical vapour deposition (CVD), electroless deposition or these technological combinations.The suitable physical gas phase deposition technology that is used to deposit the seed layer comprises high-density plasma physical vapour deposition (PVD) (HDP PVD) or sputter calibration or long stroke.One type HDP PVD is self-ionized plasma physical vapor deposition.An example of process chamber that can self-ionized plasma physical vapor deposition seed layer is the SIPTM chamber, can be from Applied Materials, and Inc.of Santa Clara, California obtains.The United States Patent (USP) 6 that is called " Rotating Sputter Magnetron Assembly " in name; 183; The illustrative embodiments of process chamber that can self-ionized plasma physical vapor deposition has been described in 614, this patent with the present invention reconcilable situation under combine in this article by reference.
Fig. 4 be can be used to deposit the seed layer can physical vapour deposition (PVD) the schematic sectional view of an execution mode of treatment system 410.Certainly, also can use the physical vapour deposition (PVD) of other treatment system He other type.
Treatment system 410 comprises vacuum chamber 412, is sealed to PVD target 414, and this target is made up of the material of wanting sputtering sedimentation on wafer 416, and this wafer is fixed on the heater holder 418.The wall that is fixed on the cover 420 protection process chambers 412 in the process chamber does not receive the influence and the plus earth face of providing of the material of institute's sputter.Optional DC power supply 422 makes target 414 with respect to cover 420 negative biass.
Gas source 424 provides the sputter working gas through matter stream controller 426 to process chamber 412, typically is chemically inert gas argon.Vacuum system 428 keeps process chamber under low pressure.430 controls of computer based controller comprise the reactor of DC power supply 422 and matter stream controller 426.
When argon was allowed to get into process chamber, target 414 and the dc voltage that covers between 420 were excited into plasma with argon, and the argon ion that fills positive electricity attracted to the target 414 that fills negative electricity.Ion is with enough energy bombardment target 414, and cause target atom or atomic beam from target 414 by sputter.Some target particle bombardment wafers 416, thus deposition is above that, thus form the film of target material.
For effective sputter is provided, magnetron 432 is set at the back side of target 414.It has near the relative magnet 434,436 that magnet 434,436, in process chamber, produces magnetic field.The magnetic field trapped electron, in order to keep electric neutrality, ion concentration also increases, thus contiguous magnetron 432 forms high-density plasma district 438 in process chamber.Magnetron 432 is usually around the rotating shaft that is positioned at target 414 centers 458 rotations, thereby realization covers fully when the sputter of target 414.
Seat 418 produces the DC automatic biasing, attracts Ionized particle to pass the plasma sheath of adjacent wafer 416 from plasma.This effect can be setovered by the additional DC of seat electrode 418 or RF and strengthened, with to the Ionized particle that passes plasma sheath towards wafer 416 additional acceleration, thereby the directivity of control sputtering sedimentation.
The seed layer forms
Exemplary process chamber shown in Figure 4 can be used to implement following technology.Certainly, can use other process chamber.Fig. 5 A-5C is the schematic sectional view of the illustrative embodiments of deposition seed layer on the barrier layer.
An execution mode shown in Fig. 5 A is included in deposited copper alloy seed layer 502 on the barrier layer 204 of Fig. 2 B, and on seed layer 502 deposited copper conductive material layer 506, to fill characteristic.The term " copper conductive material layer " that uses in this manual is restricted to the layer that comprises copper or copper alloy.Copper alloy seed layer 502 includes the copper metal alloy of the material deposition that helps on it subsequently.Copper alloy seed layer 502 can comprise the copper and second metal, like aluminium, magnesium, titanium, zirconium, tin, other metal, with and the combination.Second metal preferably includes aluminium, magnesium, titanium, and combination, and more preferably comprises aluminium.In some embodiments, copper alloy seed layer comprises second metal according to lower limit with about 0.001 atomic percent, about 0.01 atomic percent or about 0.1 atomic percent and concentration with upper limit of about 5.0 atomic percents, about 2.0 atomic percents or about 1.0 atomic percents.Second concentration of metal in the scope from any lower limit to any upper limit comprises within the scope of the invention.Second concentration of metal is preferably less than about 5.0 atomic percents, to reduce the resistance of copper alloy seed layer 502 in the copper alloy seed layer 502.The term " layer " that uses in this manual is restricted to one or more layer.For example; For the copper alloy seed layer 502 that comprises copper and concentration second metal in the scope between about 0.001 percentage and about 5.0 atomic percents; Copper alloy seed layer 502 can comprise a plurality of layers, and wherein the assembly branch of multilayer comprises copper and second metal of concentration between about 0.001 atomic percent and about 5.0 atomic percents.In order to explain; Comprise a plurality of layers copper alloy seed layer 502; Wherein the assembly branch of multilayer comprises copper and second metal of concentration between about 0.001 atomic percent and about 5.0 atomic percents; Its example can comprise the first seed layer that contains second metal and the second seed layer of cupric, can comprise first seed layer of cupric/second metal alloy and the second seed layer of cupric/second metal alloy, perhaps can comprise first seed layer of cupric/second metal alloy and the second seed layer of cupric etc.
Copper alloy seed layer 502 is deposited to the thickness to about at least 5 dusts of coverage of the sidewall of characteristic, perhaps is deposited to the continuous at least thickness of coverage to the sidewall of characteristic.In one embodiment, copper alloy seed layer 502 is deposited over field to the thickness between about 10 dusts and about 2000 dusts, for the copper alloy seed layer 502 that deposits through physical vapour deposition (PVD), preferably between about 500 dusts and about 1000 dusts.
Another execution mode shown in Fig. 5 B be included in deposited copper alloy seed layer 512 on the barrier layer 204 of Fig. 2 B, the deposition second seed layer 514 on the copper alloy seed layer 512 and on the second seed layer 514 deposited copper conductive material layer 516, to fill characteristic.Copper alloy seed layer 512 includes and helps on it the copper metal alloy of deposition materials subsequently.Copper alloy seed layer 512 can comprise the copper and second metal, like aluminium, magnesium, titanium, zirconium, tin, other metal and combination thereof.Second metal preferably includes aluminium, magnesium, titanium and combination thereof, and more preferably comprises aluminium.In some embodiments, copper alloy seed layer comprises second metal by lower limit with about 0.001 atomic percent, about 0.01 atomic percent or about 0.1 atomic percent and concentration with upper limit of about 5.0 atomic percents, about 2.0 atomic percents or about 1.0 atomic percents.Concentration in the scope of second metal from any lower limit to any upper limit belongs to scope of the present invention.In one embodiment, the second seed layer 514 comprises non-copper doped (being fine copper).In one aspect, because resistivity is lower than the copper alloy seed layer 512 of same thickness, and because higher anti-surface oxygen voltinism is used the second seed layer 514 that comprises non-copper doped.
Copper alloy seed layer 512 can be deposited to the thickness (being inferior thickness in monolayer or discontinuity layer) less than an individual layer on the sidewall of characteristic.In one embodiment; The combination thickness of the location copper alloy seed layer 512 on the scene and the second seed layer 514 is between about 10 dusts and about 2000 dusts; The copper alloy seed layer 512 and the second seed layer 514 that deposit for adopting physical vapour deposition (PVD) are preferably between about 500 dusts and about 1000 dusts.
Another execution mode shown in Fig. 5 C is included in the deposition first seed layer 523 on the barrier layer 204 of Fig. 2 B, and on the second seed layer 524 deposited copper conductive material layer 526, to fill characteristic.The first seed layer 523 comprises the metal of from aluminium, magnesium, titanium, zirconium, tin and the group that constitutes thereof, selecting.Preferably, the first seed layer comprises aluminium.In one embodiment, the second seed layer 514 comprises non-copper doped (being fine copper).
The first seed layer 523 can be deposited to the thickness (being inferior thickness in monolayer or discontinuity layer) less than an individual layer on the sidewall of characteristic.The first seed layer is deposited to less than about 50 dust sidewall coverages, preferably less than the thickness of about 40 dust sidewall coverages in one embodiment, makes up the resistance of seed layer with reduction.The combination thickness of the location first seed layer 523 on the scene and the second seed layer 524 is between about 10 dusts and about 2000 dusts, and the first seed layer 523 and the second seed layer 524 that deposit for adopting physical vapour deposition (PVD) are preferably between about 500 dusts and about 1000 dusts.
Copper alloy seed layer 502,512, the first seed layer 523 or the second seed layer 514,524 can deposit through following technology, comprise physical vapour deposition (PVD), chemical vapour deposition (CVD), ald, electroless deposition or these technological combinations.Usually, if use physical gas phase deposition technology to deposit the seed layer, then the process chamber like the described process chamber 412 of Fig. 4 comprises the target like target 414, and said target has the composition that is similar to the metal or metal alloy of hoping deposition.For example, for deposited copper alloy seed layer 502,512, target can comprise the copper and second metal, like aluminium, magnesium, titanium, zirconium, tin, other metal and combination thereof.Second metal preferably includes aluminium.In some embodiments, target comprises by the lower limit with about 0.001 atomic percent, about 0.01 atomic percent or about 0.1 atomic percent and second metal of concentration with upper limit of about 5.0 atomic percents, about 2.0 atomic percents or about 1.0 atomic percents.Second concentration of metal belongs to scope of the present invention in the scope from any lower limit to any upper limit.In another example, in order to deposit the first seed layer 523, target comprises the metal of from aluminium, magnesium, titanium, zirconium, tin and the group that constitutes thereof, selecting.If the seed layer deposits through chemical vapour deposition (CVD) or ald, the process chamber of process chamber then as shown in Figure 1 is suitable for supplying with the suitable metal precursor of the metal or metal alloy that will deposit.
An illustrative processes that in the process chamber of process chamber as shown in Figure 4, deposits the seed layer through physical vapour deposition (PVD) comprises the target of the material that use will deposit.Process chamber can remain under the pressure between about 0.1 millitorr and about 10 millitorrs.Target can DC biasing under the power between about 5 kilowatts and about 100 kilowatts.Seat can about 0 with about 1000 watts power under the RF biasing.Seat can not be heated (being room temperature).
Copper conductive material layer 506,516,526 can deposit through plating, physical vapour deposition (PVD), chemical vapour deposition (CVD), electroless deposition or these technological combinations.Preferably, owing in electroplating technology, can obtain growth from bottom to top, copper conductive material layer 506,516,526 deposits through electroplating.Be called on September 5th, 2000 issue, name in the United States Patent (USP) 6,113,771 of " Electro Deposition Chemistry " and described an exemplary electro-plating method, and with under the situation of contradiction of the present invention do not combining in this article by reference.
Observed with the barrier layer on non-copper doped seed layer when comparing, on the barrier layer, have the adhesiveness of improvement like the copper alloy seed layer of copper-aluminium seed layer.Because copper alloy seed layer has good adhesiveness on the barrier layer, copper alloy seed layer is used as good size for the material that deposits above that.Do not hope bound by theory, believe that other concentration of metal in copper and the copper seed layer provides the seed layer with good wetting property and good electrical character.Further believe because copper alloy seed layer provides the interface of improving to the adhesiveness of material on it; Can use the copper alloy seed layer that has less than the gross thickness of an individual layer, as long as be deposited over top so that the continuous seed layer of at least one combination to be provided like the second seed layer of non-doping seed layer.
Similarly, observed with the barrier layer on non-doping seed layer when comparing, like the metal seed layer of aluminium seed layer the adhesiveness of improving is provided on the barrier layer.Because the metal seed layer has good adhesiveness on the barrier layer, the metal seed layer is used as good size to the material that deposits on it.Do not hope bound by theory, believe that the non-copper doped seed layer as depositing on the metal level can use the metal seed layer like aluminium seed layer that has less than the gross thickness of an individual layer because metal level provides the interface of improving to the adhesion of material on it.
Seed layer disclosed herein has the adhesiveness of improvement on the barrier layer, and the material that deposits is on it had good wettability.Therefore, through the possibility in the formation that reduces in reunion, dewetting or the copper conductive material layer cavity between copper conductive material layer depositional stage, during high-temperature process subsequently and under the thermal stress when device uses, inculating crystal layer has improved the reliability of device.
In one aspect, the seed layer can use with any barrier layer together, and can use together with the barrier layer through any deposition techniques.The seed layer can deposit through any deposition technique.And, can on the seed layer, deposit conductive material layer through any deposition technique like the copper conductive material layer.
The present invention can fill to have less than about 0.2 micron A/F and has the advantage greater than the window of about 4: 1, about 6: 1 or about 10: 1 length-width ratio.
Technology disclosed herein can independently carried out in the process chamber, perhaps in having the multicell treatment system of a plurality of process chambers, carries out.Fig. 6 is the schematic top view of an example that is suitable for carrying out the multicell treatment system 600 of technology disclosed herein.This equipment is an ENDURATM system, and can be from Applied Materials, Inc., and of Santa Clara, California buys.The United States Patent (USP) 5 that is called " Stage Vacuum Wafer Processing System and Method " people such as () Tepman on February 16th, 1993 issue, name; 186; Disclose similar multicell treatment system in 718, wherein with under the situation of disclosure contradiction do not combined in this article by reference.The embodiment of system 600 is used for explaining the present invention, shall not be applied to restriction scope of the present invention.
System 600 generally includes and loads lock chamber 602,604, is used for transmitting into and send out system 600 to substrate.Typically, because this system 600 is under the vacuum, loading lock chamber 602,604 can " take out down the substrate of drawing-in system 600 ".First manipulator 610 can load lock chamber 602,604, process chamber 612,614, transfer chamber 622,624 and other chamber 616, transmit substrate between 618.Second manipulator 630 can be at process chamber 632,634,636,638 and transfer chamber 622, transmit substrate between 624.If, can from system 600, remove process chamber 612,614,632,634,636,638 for there is no need by the special process that system 600 carries out.
In one embodiment, system 600 is set to make process chamber 634 and is suitable for deposited copper alloy seed layer 502.For example, the process chamber 634 that is used for deposited copper alloy seed layer 502 can be a physical vapor deposition chamber, a CVD chamber or an atomic layer deposition chamber.System 600 can be arranged so that further that process chamber 632 is suitable for deposited barrier layer 204, and wherein copper alloy seed layer 502 is suitable for being deposited on the barrier layer.For example, the process chamber 632 that is used for deposited barrier layer 204 can be atomic layer deposition chamber, CVD chamber or physical vapor deposition chamber.In a specific implementations, process chamber 632 can be an atomic layer deposition chamber, process chamber as shown in Figure 1, and process chamber 634 can be a physical vapor deposition chamber, process chamber as shown in Figure 4.
In another embodiment, system 600 is set to make process chamber 634 and is suitable for deposited copper alloy seed layer 512, and makes process chamber 636 be suitable for the deposition second seed layer 514 on copper alloy seed layer 512.For example, being used for the process chamber 636 that the process chamber 634 of deposited copper alloy seed layer 512 and/or be used to deposits the second seed layer can be physical vapor deposition chamber, CVD chamber or atomic layer deposition chamber.System 600 can further be set to make process chamber 632 and be suitable for deposited barrier layer 204, and wherein copper alloy seed layer 512 is deposited on the barrier layer.For example, the process chamber 632 that is used for deposited barrier layer 204 can be atomic layer deposition chamber, CVD chamber or physical vapor deposition chamber.In a specific implementations, process chamber 632 can be an atomic layer deposition chamber, process chamber as shown in Figure 1, and process chamber 634,636 can be a physical vapor deposition chamber, process chamber as shown in Figure 4.
At another execution mode, system 600 is set to make process chamber 634 and is suitable for plated metal seed layer 523, and makes process chamber 636 be suitable for the deposition second seed layer on metal seed layer 523.For example, being used for the process chamber 636 that the process chamber 634 of plated metal seed layer 523 and/or be used to deposits the second seed layer 524 can be physical vapor deposition chamber, CVD chamber or atomic layer deposition chamber.This system can further be set to make process chamber 632 and be suitable for deposited barrier layer 204, wherein plated metal seed layer 523 on the barrier layer.For example, the process chamber 632 that is used for deposited barrier layer 204 can be atomic layer deposition chamber, CVD chamber or physical vapor deposition chamber.In a specific implementations, process chamber 632 can be an atomic layer deposition chamber, process chamber as shown in Figure 1, and process chamber 634,636 can be a physical vapor deposition chamber, process chamber as shown in Figure 4.
In one aspect; Carry out barrier layer 204 and seed layer in can the multicell treatment system under vacuum (like copper alloy seed layer 502, copper alloy seed layer 512 and the second seed layer 514; Or the metal seed layer 523 and the second seed layer 524) deposition; Preventing that air or other impurity from getting in each layer, and the seed structure on the maintenance barrier layer 204.
Other execution mode of process chamber 600 belongs to scope of the present invention.For example, the position of particular procedure chamber can change in system.In another example, single-process-chamber can be suitable for depositing two different layers.
Instance
Instance 1
On substrate, deposit the TaN layer through ald, reach the thickness of about 20 dusts.On the TaN layer,, reach the thickness of about 100 dusts through physical vapour deposition (PVD) deposition seed layer.The seed layer comprises any in the following composition: the non-copper doped that 1) adopts the target deposition that contains non-copper doped; 2) adopt the copper alloy that contains about 2.0 atomic percents of aluminum concentration that contains the copper that aluminum concentration is about 2.0 atomic percents-aluminium target deposition; 3) adopt the copper alloy that contains about 2.0 atomic percents of tin concentration contain the copper that tin concentration is about 2.0 atomic percents-Xi target deposition, or 4) adopt the copper alloy that contains about 2.0 atomic percents of zirconium concentration that contains the copper that zirconium concentration is about 2.0 atomic percents-zirconium target deposition.At nitrogen (N 2) and hydrogen (H 2) environment in, the substrate of gained is in about 380 ℃ of following about 15 minutes a period of times of annealing.
Scanning electron micrograph shows the reunion of non-doped copper layer after annealing.Copper-zircaloy shows the reunion that is less than non-copper doped.Copper-ashbury metal shows the reunion that is less than copper-zircaloy.Copper-aluminium alloy shows unconspicuous reunion.
Instance 2
Employing contains the copper-aluminium target of about 2.0 atomic percents of aluminum concentration, and the copper-aluminium alloy film that will contain the aluminium of about 2.0 atomic percents through physical vapour deposition (PVD) is deposited on the different substrates.The substrate of gained comprises 1) copper-aluminium lamination of about 50 dusts of thickness that on ALD TaN layer, deposit; 2) on the Ta of about 100 dusts layer, deposit to the copper-aluminium lamination of about 50 dust thickness; 3) on ALD TaN layer, deposit to the copper-aluminium lamination of about 100 dust thickness; 4) on silicon nitride (SiN) layer, deposit to the copper-aluminium lamination and 5 of the thickness of about 100 dusts) on silicon oxide layer, deposit to the copper-aluminium lamination of about 100 dust thickness.At nitrogen (N 2) and hydrogen (H 2) environment in, the substrate of gained is in about 380 ℃ of following about 15 minutes a period of times of annealing.Copper-aluminium alloy that scanning electron micrograph shows on various substrates is not significantly reunited.
Instance 3
Employing contains the copper-aluminium alloy target of about 2.0 atomic percents of aluminum concentration, and the copper-aluminium alloy film that will contain about 2.0 atomic percents of aluminum concentration through physical vapour deposition (PVD) deposits to the thickness of 50 dusts or 100 dusts on ALD TaN layer.At nitrogen (N 2) and hydrogen (H 2) environment in, the substrate of gained is about 15 minutes a period of time of annealing under about 380 ℃, about 450 ℃ or about 500 ℃ temperature.Scanning electron micrograph shows for the substrate copper-aluminium alloy of under about 380 ℃ or about 450 ℃ of temperature, annealing does not significantly reunite.Show for the substrate copper-aluminium alloy of under about 500 ℃ temperature, annealing and to begin to take place some dewetting.
Instance 4
Employing contains the copper-aluminium alloy target of about 2.0 atomic percents of aluminum concentration, and the copper-aluminium alloy film that will contain about 2.0 atomic percents of aluminum concentration through physical vapour deposition (PVD) deposits to the thickness of 50 dusts or 100 dusts on ALD TaN layer.At nitrogen (N 2) and hydrogen (H 2) environment in, the substrate of gained is annealed about 30 minutes time under about 450 ℃ temperature.Scanning electron micrograph shows for the substrate copper-aluminium alloy of 30 fens clock times of annealing under about 450 ℃ of temperature does not significantly reunite.
Although aforementioned content relates to preferred implementation of the present invention, can expect of the present invention other with further execution mode, and do not deviate from its base region, scope of the present invention is limited claims.

Claims (104)

1. system that is used to handle substrate comprises:
At least one is used for, and deposition comprises that the ald on the barrier layer of tantalum nitride stops the chamber on substrate; Wherein, Said at least one ald chamber of stopping is connected to gas panels; Said gas panels is configured to receive from first source that comprises PDMAT and contains tantalum gas and to receive nitrogenous gas from second source that comprises ammonia, and wherein the cl concn of PDMAT is smaller or equal to 100ppm, and the said ald chamber of stopping also is configured to provide successively:
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second comprise that PDMAT's contains tantalum gas;
Purge gas smaller or equal to 1 second; With
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises ammonia; And
The physical vapour deposition (PVD) metal seed crystal chamber that at least one comprises the copper alloy target and is configured in deposited copper alloy inculating crystal layer on the said barrier layer; Wherein said copper alloy target comprises copper and the metal of from aluminium, magnesium, titanium, zirconium, tin and the group that constitutes thereof, selecting, and said copper alloy target comprises said metal with the concentration between 0.01 atomic percent and 2.0 atomic percents.
2. system according to claim 1, wherein, the cl concn of said PDMAT is smaller or equal to 30ppm.
3. system according to claim 2, wherein, the cl concn of said PDMAT is smaller or equal to 5ppm.
4. system according to claim 1, wherein, said physical vapour deposition (PVD) metal seed crystal chamber is high-density plasma physical vapour deposition (PVD) metal seed crystal chamber.
5. system according to claim 1 comprises that also one or more being used for stops the transfer chamber that transmits substrate between chamber and the said physical vapour deposition (PVD) metal seed crystal chamber at said ald.
6. system according to claim 1, wherein, said at least one physical vapour deposition (PVD) metal seed crystal chamber is configured to directly be deposited on said copper alloy inculating crystal layer on the said barrier layer that comprises tantalum nitride.
7. system according to claim 1, wherein, said copper alloy target comprises said metal with the concentration between 0.1 atomic percent and 1.0 atomic percents.
8. system according to claim 1, wherein, said gas panels is configured to receive purge gas from purge gas source.
9. system according to claim 1, wherein, said copper alloy target comprises copper and aluminium.
10. system according to claim 1, wherein, said copper alloy target comprises copper and titanium.
11. a system that is used to handle substrate comprises:
At least one is used for, and deposition comprises that the ald on the barrier layer of tantalum nitride stops the chamber on substrate; Wherein, Said at least one ald chamber of stopping is connected to gas panels; Said gas panels is configured to receive from first source that comprises PDMAT and contains tantalum gas and to receive nitrogenous gas from second source that comprises ammonia, and wherein the cl concn of PDMAT is smaller or equal to 100ppm, and the said ald chamber of stopping also is configured to provide successively:
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second comprise that PDMAT's contains tantalum gas;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises ammonia; With
Purge gas smaller or equal to 1 second;
The physical vapour deposition (PVD) copper alloy seed crystal chamber that at least one comprises the copper alloy target and is configured in deposited copper alloy inculating crystal layer on the said barrier layer; Wherein said copper alloy target comprises copper and the metal of from aluminium, magnesium, titanium, zirconium, tin and the group that constitutes thereof, selecting, and
At least one physical vapour deposition (PVD) that is configured on the said copper alloy inculating crystal layer the unadulterated copper seed layer of deposition is copper doped seed crystal chamber not.
12. system according to claim 11, wherein, the cl concn of said PDMAT is smaller or equal to 30ppm.
13. system according to claim 12, wherein, the cl concn of said PDMAT is smaller or equal to 5ppm.
14. system according to claim 11; Wherein, Said physical vapour deposition (PVD) copper alloy seed crystal chamber is high-density plasma physical vapour deposition (PVD) copper alloy seed crystal chamber, and said physical vapour deposition (PVD) not copper doped seed crystal chamber is not copper doped seed crystal chamber of high-density plasma physical vapour deposition (PVD).
15. system according to claim 11 comprises that also one or more being used for stops that at said ald chamber, said physical vapour deposition (PVD) copper alloy seed crystal chamber and said physical vapour deposition (PVD) do not transmit the transfer chamber of substrate between the copper doped seed crystal chamber.
16. system according to claim 11, wherein, said at least one physical vapour deposition (PVD) copper alloy seed crystal chamber is configured to directly be deposited on said copper alloy inculating crystal layer on the said barrier layer that comprises tantalum nitride.
17. system according to claim 11, wherein, said copper alloy target comprises said metal with the concentration between 0.001 atomic percent and 5.0 atomic percents.
18. system according to claim 11, wherein, said copper alloy target comprises said metal with the concentration between 0.01 atomic percent and 2.0 atomic percents.
19. system according to claim 11, wherein, said gas panels is configured to receive purge gas from purge gas source.
20. system according to claim 11, wherein, said copper alloy target comprises copper and aluminium.
21. system according to claim 11, wherein, said copper alloy target comprises copper and titanium.
22. a system that is used to handle substrate comprises:
At least one is used for, and deposition comprises that the ald on the barrier layer of tantalum nitride stops the chamber on substrate; Wherein, Said at least one ald chamber of stopping is connected to gas panels; Said gas panels is configured to receive from first source that comprises PDMAT and contains tantalum gas and to receive nitrogenous gas from second source that comprises ammonia, and wherein the cl concn of PDMAT is smaller or equal to 100ppm, and the said ald chamber of stopping also is configured to provide successively:
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second comprise that PDMAT's contains tantalum gas;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises ammonia; With
Purge gas smaller or equal to 1 second;
The physical vapour deposition (PVD) metal seed crystal chamber that at least one comprises metallic target and is configured in plated metal inculating crystal layer on the said barrier layer, wherein said metallic target comprise the metal of from aluminium, magnesium, titanium, zirconium, tin and the group that constitutes thereof, selecting; And
At least one physical vapour deposition (PVD) that is configured on the said metal seed layer the unadulterated copper seed layer of deposition is copper doped seed crystal chamber not.
23. system according to claim 22, wherein, the cl concn of said PDMAT is smaller or equal to 30ppm.
24. system according to claim 23, wherein, the cl concn of said PDMAT is smaller or equal to 5ppm.
25. system according to claim 22; Wherein, Said physical vapour deposition (PVD) metal seed crystal chamber is high-density plasma physical vapour deposition (PVD) metal seed crystal chamber, and said physical vapour deposition (PVD) not copper doped seed crystal chamber is not copper doped seed crystal chamber of high-density plasma physical vapour deposition (PVD).
26. system according to claim 22 comprises that also one or more being used for stops that at said ald chamber, said physical vapour deposition (PVD) metal seed crystal chamber and said physical vapour deposition (PVD) do not transmit the transfer chamber of substrate between the copper doped seed crystal chamber.
27. system according to claim 22, wherein, said at least one physical vapour deposition (PVD) metal seed crystal chamber is configured to directly be deposited on said metal seed layer on the said barrier layer that comprises tantalum nitride.
28. a system that is used to handle substrate comprises:
At least one ald that is connected to gas panels stops the chamber; Said gas panels is configured to contain tantalum gas and receive nitrogenous gas from second source that comprises nitrogen precursor from first source reception that comprises PDMAT; Wherein the cl concn of PDMAT is smaller or equal to 100ppm, and the said ald chamber of stopping also is configured to provide successively:
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second comprise that PDMAT's contains tantalum gas;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises nitrogen precursor; With
Purge gas smaller or equal to 1 second;
At least one has the physical vapour deposition (PVD) metal seed crystal chamber of copper alloy target; Said copper alloy target comprises copper and the metal of from aluminium, titanium and the group that constitutes thereof, selecting, and said copper alloy target comprises said metal with the concentration between 0.001 atomic percent and 5.0 atomic percents; And
At least one is configured in said ald and stops the transfer chamber that transmits substrate between chamber and the said physical vapour deposition (PVD) metal seed crystal chamber.
29. system according to claim 28, wherein, the cl concn of said PDMAT is smaller or equal to 30ppm.
30. system according to claim 29, wherein, the cl concn of said PDMAT is smaller or equal to 5ppm.
31. system according to claim 28, wherein, said copper alloy target comprises said metal with the concentration between 0.01 atomic percent and 2.0 atomic percents.
32. system according to claim 31, wherein, said copper alloy target comprises said metal with the concentration between 0.1 atomic percent and 1.0 atomic percents.
33. system according to claim 31, wherein, said copper alloy target comprises copper and aluminium.
34. system according to claim 31, wherein, said copper alloy target comprises copper and titanium.
35. system according to claim 28, wherein, said nitrogen precursor is an ammonia.
36. a system that is used to handle substrate comprises:
At least one is used on substrate, depositing the atomic layer deposition chamber on the barrier layer that comprises tantalum nitride; Wherein, Said at least one atomic layer deposition chamber is connected to gas panels; Said gas panels is configured to contain tantalum gas and receive nitrogenous gas from second source that comprises nitrogen precursor from comprising first source reception that contains tantalum compound, and the said cl concn that contains tantalum compound is smaller or equal to 100ppm, and said atomic layer deposition chamber also is configured to provide successively:
Flow velocity contains tantalum gas smaller or equal to what contain tantalum compound 1 second comprise between 100sccm and 1000sccm;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises nitrogen precursor; With
Purge gas smaller or equal to 1 second; And
At least one is configured in and deposits the physical vapour deposition (PVD) metal seed crystal chamber that contains copper seed layer on the said barrier layer.
37. system according to claim 36, wherein, said at least one physical vapour deposition (PVD) metal seed crystal chamber is high-density plasma physical vapour deposition (PVD) metal seed crystal chamber.
38., also comprise one or more transfer chambers that are used between said at least one atomic layer deposition chamber and said at least one physical vapour deposition (PVD) metal seed crystal chamber, transmitting substrate according to the described system of claim 37.
39. system according to claim 36 wherein, saidly contains the Organometallic precursor that tantalum compound is a tantalum.
40. according to the described system of claim 39, wherein, the Organometallic precursor of said tantalum is PDMAT.
41. according to the described system of claim 40, wherein, the cl concn of said PDMAT is smaller or equal to 30ppm.
42. according to the described system of claim 41, wherein, the cl concn of said PDMAT is smaller or equal to 5ppm.
43. according to the described system of claim 40, wherein, said nitrogen precursor is an ammonia.
44. a system that is used to handle substrate comprises:
At least one is used on substrate, depositing the atomic layer deposition chamber on the barrier layer that comprises tantalum nitride; Wherein, Said at least one atomic layer deposition chamber is connected to gas panels; Said gas panels is configured to contain tantalum gas and receive nitrogenous gas from second source that comprises nitrogen precursor from first source reception of the Organometallic precursor that comprises tantalum, and said atomic layer deposition chamber also is configured to provide successively:
Flow velocity contains tantalum gas smaller or equal to 1 second the Organometallic precursor that comprises tantalum between 100sccm and 1000sccm;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises nitrogen precursor; With
Purge gas smaller or equal to 1 second;
At least one is configured in and deposits the physical vapour deposition (PVD) metal seed crystal chamber that contains copper seed layer on the said barrier layer.
45. according to the described system of claim 44, wherein, the Organometallic precursor of said tantalum is PDMAT.
46. according to the described system of claim 45, wherein, the cl concn of the Organometallic precursor of said tantalum is smaller or equal to 100ppm.
47. a system that is used to handle substrate comprises:
At least one is used on substrate, depositing the atomic layer deposition chamber on the barrier layer that comprises tantalum nitride; Wherein, Said at least one atomic layer deposition chamber is connected to gas panels; Said gas panels is configured to contain tantalum gas and receive nitrogenous gas from second source that comprises nitrogen precursor from comprising first source reception that contains tantalum compound, and the said cl concn that contains tantalum compound is smaller or equal to 100ppm, and said atomic layer deposition chamber also is configured to provide successively:
Flow velocity contains tantalum gas smaller or equal to what contain tantalum compound 1 second comprise between 100sccm and 1000sccm;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises nitrogen precursor; With
Purge gas smaller or equal to 1 second; And
At least one is configured in the settling chamber of deposition copper containing layer on the said barrier layer, and said settling chamber is selected from the group of being made up of physical vapor deposition chamber, electroless deposition chamber.
48. according to the described system of claim 47, wherein, said at least one settling chamber is a physical vapor deposition chamber.
49. according to the described system of claim 48, wherein, said physical vapor deposition chamber is high-density plasma physical vapour deposition (PVD) metal seed crystal chamber.
50., also comprise one or more transfer chambers that are used between said at least one atomic layer deposition chamber and said physical vapor deposition chamber, transmitting substrate according to the described system of claim 49.
51. according to the described system of claim 47, wherein, containing tantalum compound is the Organometallic precursor of tantalum.
52. according to the described system of claim 51, wherein, the Organometallic precursor of said tantalum is PDMAT.
53. according to the described system of claim 52, wherein, the cl concn of said PDMAT is smaller or equal to 30ppm.
54. according to the described system of claim 53, wherein, the cl concn of said PDMAT is smaller or equal to 5ppm.
55. according to the described system of claim 52, wherein, said nitrogen precursor is an ammonia.
56. a system that is used to handle substrate comprises:
At least one is used on substrate, depositing the atomic layer deposition chamber on the barrier layer that comprises tantalum nitride; Wherein, Said at least one atomic layer deposition chamber is connected to gas panels; Said gas panels is configured to contain tantalum gas and receive nitrogenous gas from second source that comprises nitrogen precursor from first source reception of the Organometallic precursor that comprises tantalum, and the said ald chamber of stopping also is configured to provide successively:
Flow velocity contains tantalum gas smaller or equal to 1 second the Organometallic precursor that comprises tantalum between 100sccm and 1000sccm;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises nitrogen precursor; With
Purge gas smaller or equal to 1 second; And
That at least one is selected from physical vapor deposition chamber and electroless deposition chamber, as to be used for depositing copper containing layer settling chamber on said barrier layer.
57. according to the described system of claim 56, wherein, the Organometallic precursor of said tantalum is PDMAT.
58. according to the described system of claim 57, wherein, the cl concn of the Organometallic precursor of said tantalum equals less than 100ppm.
59. a system that is used to handle substrate comprises:
At least one is used on substrate, depositing the atomic layer deposition chamber on the barrier layer that comprises tantalum nitride; Wherein, Said at least one atomic layer deposition chamber is connected to gas panels; Said gas panels is configured to contain tantalum gas and receive nitrogenous gas from second source that comprises nitrogen precursor from comprising first source reception that contains tantalum compound, and the said cl concn that contains tantalum compound is smaller or equal to 100ppm, and said atomic layer deposition chamber also is configured to provide successively:
Flow velocity contains tantalum gas smaller or equal to what contain tantalum compound 1 second comprise between 100sccm and 1000sccm;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises nitrogen precursor; With
Purge gas smaller or equal to 1 second; And
At least one is configured in the physical vapour deposition (PVD) metal seed crystal chamber of plated metal inculating crystal layer on the said barrier layer; Wherein, said metal seed layer comprises the metal of from copper, aluminium, magnesium, titanium, zirconium, tin and their alloy and the group that constitutes, selecting.
60. according to the described system of claim 59, the said cl concn that contains tantalum compound is smaller or equal to 30ppm.
61. according to the described system of claim 60, the said cl concn that contains tantalum compound is smaller or equal to 5ppm.
62. a method that is used to fill characteristic comprises the following steps:
During ald is handled, the tantalum nitride barrier layer that deposit thickness is less than or equal to
Figure FSA00000621440000111
on the substrate through following processing is provided successively in process chamber:
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second comprise that PDMAT's contains tantalum gas;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises ammonia; With
Purge gas smaller or equal to 1 second; And
During said ald is handled with the temperature maintenance of heater between 100 ℃ and 300 ℃;
On said tantalum nitride barrier layer, deposit inculating crystal layer, said inculating crystal layer comprises copper and the metal of from aluminium, magnesium, zirconium and combination thereof, selecting; And then
Deposited copper conductive material layer on said inculating crystal layer.
63. according to the described method of claim 62; Wherein, the thickness of said tantalum nitride barrier layer is smaller or equal to
Figure FSA00000621440000121
64. according to the described method of claim 62, wherein, said inculating crystal layer comprises the copper alloy inculating crystal layer of copper and said metal.
65. according to the described method of claim 62, wherein, said inculating crystal layer comprises first inculating crystal layer that is deposited on the said tantalum nitride barrier layer and is deposited on second inculating crystal layer on said first inculating crystal layer.
66. according to the described method of claim 65, wherein, said first inculating crystal layer comprises the copper alloy inculating crystal layer of copper and said metal.
67. according to the described method of claim 66, wherein, said second inculating crystal layer comprises unadulterated copper.
68. according to the described method of claim 65, wherein, said first inculating crystal layer comprises said metal.
69. according to the described method of claim 68, wherein, said second inculating crystal layer comprises unadulterated copper.
70. according to the described method of claim 62, wherein, said inculating crystal layer is through the process deposits of from the group that physical vapour deposition (PVD), chemical vapour deposition (CVD), ald and electroless deposition constitute, selecting.
71. according to the described method of claim 62, wherein, said copper conductive material layer is through the process deposits of from plating, electroless deposition, chemical vapour deposition (CVD) and group that physical vapour deposition (PVD) constituted, selecting.
72. a method for preparing the substrat structure that is used for copper metallization comprises the following steps:
During ald is handled, the tantalum nitride barrier layer that deposit thickness is less than or equal to
Figure FSA00000621440000131
on the substrate through following processing is provided successively in process chamber:
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second comprise that PDMAT's contains tantalum gas;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises ammonia; With
Purge gas smaller or equal to 1 second; And
During said ald is handled with the temperature maintenance of heater between 100 ℃ and 300 ℃; And then
On said tantalum nitride barrier layer, deposit inculating crystal layer, this inculating crystal layer comprises copper and the metal of from aluminium, magnesium, zirconium and the group that constitutes thereof, selecting.
73. according to the described method of claim 72; Wherein, the sidewall coverage of said tantalum nitride barrier layer is smaller or equal to
74. a method that is used to fill characteristic comprises the following steps:
During ald is handled, the barrier layer that deposit thickness is less than or equal to
Figure FSA00000621440000141
on the substrate through following processing is provided successively in process chamber:
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second comprise that PDMAT's contains tantalum gas;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises ammonia; With
Purge gas smaller or equal to 1 second; And
During said ald is handled with the temperature maintenance of heater between 100 ℃ and 300 ℃;
Deposited copper alloy inculating crystal layer on said barrier layer, this copper alloy inculating crystal layer comprise copper and the metal of concentration between 0.01 atomic percent and 5.0 atomic percents, and this metal is selected from aluminium, magnesium, zirconium and the group that constitutes thereof; And
Deposited copper conductive material layer on said copper alloy inculating crystal layer.
75. according to the described method of claim 74; Wherein, the thickness on said barrier layer is smaller or equal to
Figure FSA00000621440000142
76. according to the described method of claim 74, wherein, said barrier layer comprises the material of from the group that tantalum, tantalum nitride, tantalum and combination thereof are constituted, selecting.
77. according to the described method of claim 74, wherein, said copper alloy inculating crystal layer is through the process deposits of from physical vapour deposition (PVD), chemical vapour deposition (CVD), group that ald and electroless deposition constituted, selecting.
78. according to the described method of claim 74, wherein, said copper conductive material layer is through the process deposits of from the group that plating, electroless deposition, chemical vapour deposition (CVD) and physical vapour deposition (PVD) constitute, selecting.
79. method that is used to fill characteristic; Comprise the following steps: during ald is handled the barrier layer that deposit thickness is less than or equal to
Figure FSA00000621440000151
on the substrate through following processing is provided successively in process chamber:
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second comprise that PDMAT's contains tantalum gas;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises ammonia; With
Purge gas smaller or equal to 1 second; And
During said ald is handled with the temperature maintenance of heater between 100 ℃ and 300 ℃;
Deposited copper alloy inculating crystal layer on said barrier layer, this copper alloy inculating crystal layer comprise copper and the metal of concentration between about 0.01 atomic percent and 5.0 atomic percents, and this metal is selected from aluminium, magnesium, zirconium and the group that constitutes thereof;
Deposition second inculating crystal layer on said copper alloy inculating crystal layer; And then
Deposited copper conductive material layer on said second inculating crystal layer.
80. according to the described method of claim 79; Wherein, the thickness on said barrier layer is smaller or equal to
Figure FSA00000621440000152
81. according to the described method of claim 79, wherein, said barrier layer comprises the material of from the group that tantalum, tantalum nitride, tantalum and combination thereof are constituted, selecting.
82. according to the described method of claim 79, wherein, said second inculating crystal layer comprises unadulterated copper.
83. according to the described method of claim 79, wherein, said copper alloy inculating crystal layer is through the process deposits of from the group that physical vapour deposition (PVD), chemical vapour deposition (CVD), ald and electroless deposition constitute, selecting.
84. according to the described method of claim 79, wherein, said second inculating crystal layer is through the process deposits of from the group that physical vapour deposition (PVD), chemical vapour deposition (CVD), ald and electroless deposition constitute, selecting.
85. according to the described method of claim 79, wherein, said copper conductive material layer is through the process deposits of from the group that plating, electroless deposition, chemical vapour deposition (CVD) and physical vapour deposition (PVD) constitute, selecting.
86. a method that is used to fill characteristic comprises the following steps:
During ald is handled, the barrier layer that deposit thickness is less than or equal to
Figure FSA00000621440000161
on the substrate through following processing is provided successively in process chamber:
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second comprise that PDMAT's contains tantalum gas;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises ammonia; With
Purge gas smaller or equal to 1 second; And
During said ald is handled with the temperature maintenance of heater between 100 ℃ and 300 ℃;
Deposition first inculating crystal layer on said barrier layer; And thickness reaches the sidewall coverage between inferior individual layer and
Figure FSA00000621440000162
, and this first inculating crystal layer comprises aluminium;
Deposition second inculating crystal layer on said first inculating crystal layer; And
Deposits conductive material layer on said second inculating crystal layer.
87. 6 method according to Claim 8; Wherein, the thickness on said barrier layer is smaller or equal to
Figure FSA00000621440000163
88. 6 method according to Claim 8, wherein, said barrier layer comprises the material of from the group that tantalum, tantalum nitride, tantalum and combination thereof are constituted, selecting.
89. 6 described methods according to Claim 8, wherein, said second inculating crystal layer comprises unadulterated copper.
90. 6 described methods according to Claim 8, wherein, said first inculating crystal layer is through the process deposits of selecting the group that constitutes from physical vapour deposition (PVD), chemical vapour deposition (CVD), ald and electroless deposition.
91. 6 described methods according to Claim 8, wherein, said second inculating crystal layer is through the process deposits of selecting the group that constitutes from physical vapour deposition (PVD), chemical vapour deposition (CVD), ald and electroless deposition.
92. 6 described methods according to Claim 8, wherein, said copper conductive material layer is through the process deposits of selecting the group that constitutes from plating, electroless deposition, chemical vapour deposition (CVD) and physical vapour deposition (PVD).
93. a method for preparing the substrat structure that is used for electro-coppering comprises the following steps:
During ald is handled, the barrier layer that deposit thickness is less than or equal to
Figure FSA00000621440000171
on the substrate through following processing is provided successively in process chamber:
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second comprise that PDMAT's contains tantalum gas;
Purge gas smaller or equal to 1 second;
Flow velocity between 100sccm and 1000sccm smaller or equal to 1 second the nitrogenous gas that comprises ammonia; With
Purge gas smaller or equal to 1 second; And
During said ald is handled with the temperature maintenance of heater between 100 ℃ and 300 ℃; And
On said barrier layer, deposit inculating crystal layer, this inculating crystal layer comprises copper and aluminium.
94. method according to claim 93; Wherein, the thickness on said barrier layer is smaller or equal to
Figure FSA00000621440000181
95. according to the described method of claim 93, wherein, said inculating crystal layer comprises the copper alloy inculating crystal layer, said copper alloy inculating crystal layer comprises aluminium with the concentration between 0.001 atomic percent and 5.0 atomic percents.
96. according to the described method of claim 95, wherein, the concentration of the aluminium that said copper alloy inculating crystal layer comprises is between 0.01 atomic percent and 2.0 atomic percents.
97. according to the described method of claim 95, wherein, the concentration of the aluminium that said copper alloy inculating crystal layer comprises is between 0.1 atomic percent and 1.0 atomic percents.
98. according to the described method of claim 93, wherein, said inculating crystal layer comprises first inculating crystal layer that is deposited on the said barrier layer and is deposited on second inculating crystal layer on said first inculating crystal layer.
99. according to the described method of claim 98; Wherein, Said first inculating crystal layer comprises the copper alloy inculating crystal layer, and the concentration of the aluminium in this copper alloy inculating crystal layer is between 0.001 atomic percent and 5.0 atomic percents, and said second inculating crystal layer comprises the copper of non-doping.
100. according to the described method of claim 99, wherein, the concentration of the aluminium that said copper alloy inculating crystal layer comprises is between 0.01 atomic percent and 2.0 atomic percents.
101. according to the described method of claim 99, wherein, the concentration of the aluminium that said copper alloy inculating crystal layer comprises is between 0.1 atomic percent and 1.0 atomic percents.
102. according to the described method of claim 98; Wherein, Said first inculating crystal layer comprises aluminium, has the sidewall coverage of thickness between inferior individual layer and
Figure FSA00000621440000182
; And said second inculating crystal layer comprises the copper of non-doping.
103. according to the described method of claim 93, wherein, said barrier layer comprises the material of from tantalum, tantalum nitride, tantalum and the group that constitutes thereof, selecting.
104. according to the described method of claim 93, wherein, said inculating crystal layer adopts the technology of from the group that physical vapour deposition (PVD), chemical vapour deposition (CVD), ald and electroless deposition constitute, selecting to deposit.
CN201110379185.8A 2001-09-26 2002-09-09 Barrier layer and seed layer integrated Expired - Fee Related CN102361004B (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US09/965,370 US20030059538A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,373 2001-09-26
US09/965,373 US6936906B2 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,369 US20030057526A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,370 2001-09-26
US09/965,369 2001-09-26
CNA028213084A CN1575518A (en) 2001-09-26 2002-09-09 Integration of barrier layer and seed layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNA028213084A Division CN1575518A (en) 2001-09-26 2002-09-09 Integration of barrier layer and seed layer

Publications (2)

Publication Number Publication Date
CN102361004A true CN102361004A (en) 2012-02-22
CN102361004B CN102361004B (en) 2016-02-10

Family

ID=27420751

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201110379185.8A Expired - Fee Related CN102361004B (en) 2001-09-26 2002-09-09 Barrier layer and seed layer integrated
CNA028213084A Pending CN1575518A (en) 2001-09-26 2002-09-09 Integration of barrier layer and seed layer

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNA028213084A Pending CN1575518A (en) 2001-09-26 2002-09-09 Integration of barrier layer and seed layer

Country Status (5)

Country Link
EP (1) EP1433202A2 (en)
JP (1) JP2005528776A (en)
KR (1) KR20040045007A (en)
CN (2) CN102361004B (en)
WO (1) WO2003028090A2 (en)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
JP5342110B2 (en) * 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド Source canister containing precursor and method for filling features using the same
KR100968312B1 (en) * 2004-06-02 2010-07-08 인터내셔널 비지네스 머신즈 코포레이션 PE-ALD of TaN Diffusion Barrier Region on Low-k Materials
JP4896850B2 (en) * 2006-11-28 2012-03-14 株式会社神戸製鋼所 Cu wiring of semiconductor device and manufacturing method thereof
US20100255625A1 (en) * 2007-09-07 2010-10-07 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
JP5135002B2 (en) * 2008-02-28 2013-01-30 ルネサスエレクトロニクス株式会社 Semiconductor device
JP2010087094A (en) * 2008-09-30 2010-04-15 Nec Electronics Corp Semiconductor device and method for manufacturing semiconductor device
CN101937864B (en) * 2009-07-03 2012-03-07 中芯国际集成电路制造(上海)有限公司 Filling method of contact hole
US9245765B2 (en) 2009-10-16 2016-01-26 Empire Technology Development Llc Apparatus and method of applying a film to a semiconductor wafer and method of processing a semiconductor wafer
KR101139696B1 (en) * 2010-04-20 2012-05-02 엘아이지에이디피 주식회사 Apparatus for chemical vapor deposition
JP2012060015A (en) * 2010-09-10 2012-03-22 Hitachi Cable Ltd Cu ALLOY SPUTTERING TARGET MATERIAL FOR ELECTRONIC DEVICE WIRING, AND ELEMENT STRUCTURE
KR101357171B1 (en) * 2010-11-12 2014-01-29 엘아이지에이디피 주식회사 Chemical vapor deposition device
JP2012151417A (en) * 2011-01-21 2012-08-09 Japan Display Central Co Ltd Thin-film transistor circuit substrate and method of manufacturing the same
CN102790009B (en) * 2011-05-16 2015-04-29 中芯国际集成电路制造(上海)有限公司 Method for reducing fringe effect in copper plating process and manufacturing method of copper interconnection structure
US8729702B1 (en) * 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
JP6013901B2 (en) * 2012-12-20 2016-10-25 東京エレクトロン株式会社 Method for forming Cu wiring
US8981564B2 (en) * 2013-05-20 2015-03-17 Invensas Corporation Metal PVD-free conducting structures
CN105845620A (en) * 2015-01-16 2016-08-10 中芯国际集成电路制造(上海)有限公司 Method of making copper interconnection structure, semiconductor device and electronic apparatus
JP6527030B2 (en) * 2015-06-19 2019-06-05 東京エレクトロン株式会社 Plating method, plating part and plating system
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
JP6771613B2 (en) * 2019-05-09 2020-10-21 東京エレクトロン株式会社 Plating method, plating parts, and plating system

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JP3955386B2 (en) * 1998-04-09 2007-08-08 富士通株式会社 Semiconductor device and manufacturing method thereof
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
KR20010001543A (en) * 1999-06-05 2001-01-05 김기범 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4817210B2 (en) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 Film forming apparatus and film forming method

Also Published As

Publication number Publication date
EP1433202A2 (en) 2004-06-30
CN102361004B (en) 2016-02-10
CN1575518A (en) 2005-02-02
KR20040045007A (en) 2004-05-31
JP2005528776A (en) 2005-09-22
WO2003028090A3 (en) 2003-09-12
WO2003028090A2 (en) 2003-04-03

Similar Documents

Publication Publication Date Title
US6936906B2 (en) Integration of barrier layer and seed layer
CN102361004B (en) Barrier layer and seed layer integrated
US20030059538A1 (en) Integration of barrier layer and seed layer
US20030057526A1 (en) Integration of barrier layer and seed layer
US6656831B1 (en) Plasma-enhanced chemical vapor deposition of a metal nitride layer
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US6368880B2 (en) Barrier applications for aluminum planarization
US6464779B1 (en) Copper atomic layer chemical vapor desposition
US7262133B2 (en) Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US9012334B2 (en) Formation of a tantalum-nitride layer
CN100471984C (en) Method of depositing a material layer
TWI633624B (en) Doped tantalum nitride for copper barrier applications
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
US20070099415A1 (en) Integration process of tungsten atomic layer deposition for metallization application
US20030194850A1 (en) Method and apparatus for improved electroplating fill of an aperture
JP2005528808A (en) Copper film deposition
TWI223867B (en) Method for forming a metal interconnect on a substrate
WO2002017388A2 (en) Method of improving the adhesion of copper
WO2000065126A1 (en) Cvd tantalum nitride plug formation from tantalum halide precursors

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: APPLIED MATERIALS, Inc.

Address before: American California

Applicant before: Applied Materials, Inc.

COR Change of bibliographic data

Free format text: CORRECT: APPLICANT; FROM: APPLIED MATERIALS, INC. TO: APPLIED MATERIALS INC.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160210

Termination date: 20210909

CF01 Termination of patent right due to non-payment of annual fee