CN102324072B - 全三维数字化知识库系统和知识库的应用方法 - Google Patents

全三维数字化知识库系统和知识库的应用方法 Download PDF

Info

Publication number
CN102324072B
CN102324072B CN201110271890.6A CN201110271890A CN102324072B CN 102324072 B CN102324072 B CN 102324072B CN 201110271890 A CN201110271890 A CN 201110271890A CN 102324072 B CN102324072 B CN 102324072B
Authority
CN
China
Prior art keywords
design
knowledge
module
parameter
knowledge base
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201110271890.6A
Other languages
English (en)
Other versions
CN102324072A (zh
Inventor
袁娜
刘娟娟
高春霞
艾勇
刘志雄
曹芳
姚鹏
郭晨娟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
XI'AN EPORTSOFT CO Ltd
Original Assignee
XI'AN EPORTSOFT CO Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by XI'AN EPORTSOFT CO Ltd filed Critical XI'AN EPORTSOFT CO Ltd
Priority to CN201110271890.6A priority Critical patent/CN102324072B/zh
Publication of CN102324072A publication Critical patent/CN102324072A/zh
Application granted granted Critical
Publication of CN102324072B publication Critical patent/CN102324072B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Stored Programmes (AREA)

Abstract

本发明公开了全三维数字化知识库系统和知识库的应用方法。知识库系统包括流程控制、专用知识设计、交互控制、公式编辑、搜索控制、计算控制、知识库小功能模块,是独立的、可以挂接在不同的CAD平台上的知识驱动系统。知识库的应用方法,可应用于机械制造行业的产品、工艺、工装设计、产品检验等各个领域。设计人员通过开放式、免编程、可视化的知识编辑功能,将意图、行业设计规范、标准手册、设计经验、计算公式等知识表达出来,储入知识库、数据库、模型库中进行重用;通过参数传递、数据采集与交互、平台交互实现向导式设计。系统具有通用性强、方便快捷、企业核心技术经验知识不外泄等优点,为企业的技术创新、知识经验积累提供手段。

Description

全三维数字化知识库系统和知识库的应用方法
技术领域
本发明涉及制造行业产品/工艺/工装数字化设计以及数字化制造全过程知识库构建与知识驱动方法,具体涉及全三维数字化知识库系统和知识库的应用方法。
背景技术
制造企业大量的拥有自主知识产权的产品/工艺/工装设计与制造的标准、规范、经验知识散落在企业各个计算机、个人,以及各种文档、图纸、模型中,不方便为后人共享、借鉴。企业迫切需要建立完整的知识工程体系,实现专家知识经验的不断积累、复用、共享,以减少产品/工艺/工装设计与制造低水平重复。
世界已经迈进了信息化时代。2005年,波音、洛克希德、空客实现产品样机数字化-电子样机,并建立MBD全三维数字化信息平台,成功缩短产品研制周期30%—50%,降低研制成本50%,从而进一步拉大与我国的距离。
中国如何进入信息化时代?工信部十一五相关文件指出:没有软(件)优势的硬(件)优势,在信息时代也只是一堆废铜烂铁,“两化融合”(工业化、信息化)不仅要发展硬(件)优势,更要发展软(件)优势。
在MBD全三维数字化基础上,建立完整的知识工程体系,实现自主创新知识与经验的积累、重用,提高企业技术创新能力,大力发展和应用我国具有自主知识产权、自主创新的知识工程软件,是推进两化融合,进入信息化数字化时代的重要标志之一,对我国制造业的现代化有着非常重要的战略意义。
由于我国制造业基础薄弱,企业新产品创新开发能力普遍较弱,大部分新产品研制仍然沿用二维电子图板设计出图、制造实物样机、装配、定型、批量生产的产品研制模式,新产品开发周期长。由于缺乏相应的技术手段,在设计过程中不能很好地考虑可制造性,很多技术协调问题都堆积到加工、装配阶段,往往使设计出的产品存在不可加工、不可装配、不能完全满足设计人员需求等缺陷。
国外先进的制造企业在新产品研究开发中,非常重视资源、经验和知识的重用和挖掘,对于相应的基础资源数据库,尤其是三维模型资源库的建设与应用已经非常成熟。几乎所有制造企业为了缩短新产品研制周期,满足制造资源管理的需要或者为了实施ERP系统的需要,都建立了相应的企业产品三维模型库。通过对产品三维模型数据库规范化的研究形成一套真正适合制造企业的,支持并行工程的数字化产品设计、数字化制造基础平台。这些基础建设是缩短产品研发周期必不可少的手段,也是改变传统产品开发模式的法宝。
目前国外主流CAD系统的知识库构建与知识驱动应用不灵活。首先各CAD软件实施KBE都是在各自的CAD软件上进行的,由于CAD软件之间数据交换存在瓶颈,所以知识在各CAD平台间的流通存在阻碍;国外CAD系统的知识库通过参数驱动来间接地影响最终模型,知识驱动的能力受到参数驱动的能力限制;尤其是具有向导式设计过程的知识驱动需要通过编程才能将产品/工艺/工装设计流程、设计规范、计算公式、经验值等固化在系统中,这种通过编程方式实现的向导式设计存在程序一般是不开放的,有的系统虽然程序是开放的,但企业想扩充和修改这些程序化的设计流程和知识规范时,必须是具有软件开发知识或能够读懂这些程序的人才能扩充和修改的缺陷,因此使用和推广受到局限。
中国有少数单位逐步吸收国外的设计模式,先后申请专利,现检索到两件专利申请,其中由“重庆杰信模具有限公司”申请的“基于KBE及WEB技术的锻模设计与制造系统”,专利号为“200610054230.1”,该申请已于2009年01月07日授权,此专利仅限于锻模设计与制造,所编的软件存在使用面较窄的缺陷。另专利申请为“天津理工大学”,专利号为“200510015996.4”,该申请已被视为撤回,专利申请涉及“螺杆泵的集成化设计方法”,也存在使用面较窄的缺陷。
与传统的知识工程技术相比,本系统的知识库构建与知识驱动方法更适应全三维数字化现代设计的发展需要,其独特技术优势有:
向导式知识编辑系统以开放式的、免编程、可视化流程图方式实现向导式知识编辑和向导式设计,该功能为国内国际首创。
目前国内、国际现行使用的知识编辑方式都是程序化的,需要软件专业人员通过编写程序才能将产品、工艺、工装的设计流程、设计规范、计算公式、经验值等固化在系统中,以此来实现向导式设计。这种通过编程方式实现的向导式设计,程序一般是不开放的,有的系统虽然程序是开放的,但企业工程技术人员一般不具备软件编程能力,他们想扩充和修改这些程序化的设计流程和知识规范时,必须能够读懂这些程序才能扩充和修改,为企业扩大应用带来很大的不便。
本知识编辑器是面向企业技术人员的。设计人员不需要懂得编程语言,仅需要掌握免编程、可视化流程图的知识编辑方法,即可自行进行向导式知识编辑,并实现向导式设计。在某航空设计研究院进行KBE知识工程实施时,经过我们一周的培训,一些对三维CAD平台操作都不太熟练的工装设计员,即能掌握易博向导式知识编辑方法,可以进行工装设计向导式知识编辑,并实现向导式设计。
依据MBD的标准建模,把几何信息和非几何信息融入到模型中。几何信息主要指产品几何形状信息,即数据集中的产品三维模型;非几何信息主要包括尺寸和公差的标注、表面粗糙度、材质、规格与标准等内容。(注:在机械制造业中,MBD标准为以三维数字化模型为载体,构建了包含产品几何和非几何信息的MBD产品数据集,以特征为基本的数据组织单元。)
这种方便、快捷的知识编辑工具系统,可以由企业自己的设计人员将多年设计实践中积累的经验、知识,技术通过易博的KBE知识工程系统组建起来,实现模型重用、设计经验和知识重用,同时具有企业核心技术、设计经验和知识不外泄的优点。
全三维数字化知识库系统的其他独特优势:
(1)、知识文件与模型可独立存在;知识推理设计,
(2)、可将零件参数作为条件进行推理设计;
(3)、零件数据可通过“数据采集”功能获得;
(4)、数据采集采集系统储存的多种实体模型数据,通过点选采集自动零件或设计半成品模型中获得推理设计入口参数,以减少人工在二维图纸上采集数据的工作量;
(5)、向导式设计,可将复杂产品/工装进行化整为零推理设计,可将多个组件知识进行子流程串联设计;可通过知识直接对组件或典型结构里的标准件进行替换操作;
(6)、知识调试功能,编写完知识后,可先对知识规则进行调试,即通过独立运行知识文件,输入相关参数,是否能够进行正常推理,是否能够推出合理结果,之后,再结合模型进行推理设计,可提高设计效率,减少出错率;
(7)、可编辑性,设计人员可以随时对知识进行编辑修改;知识文件里对参数进行了详细描述说明,并配有图片,为后期修改维护提供了方便;
(8)、在知识文件里可对参数添加或推理公差;可对知识文件进行归类管理;
(9)、利用KBE系统自动拾取参数信息、参数名称、参数值信息,知识库自动接收这些信息,很方便的定义知识库中用到的信息,实现参数编辑可视化;
(10)、子流程功能使知识流程的结构简化,使知识流程更加清晰,在知识段落明确的流程,如分段知识库中,可以将每一个知识段落写入一个子流程中,以使整个知识流程或主流程清晰,干练,一目了然,在知识库建立的过程中的,可以将一些通用性强的、结构复杂的知识段落单独建立成为知识,在需要使用这些知识段落时,可以使用本软件中的“插入知识库文件”功能将以编辑好的知识段落插入新的完整的知识流程中,以达到知识重用的目的,知识库子流程的功能是对模块进行封装,便于统一管理。
发明内容
本发明的目的是提供一种向导式知识编辑系统以开放式的、免编程、可视化流程图方式实现向导式知识编辑和向导式设计的全三维数字化知识库系统。
本发明的另一目的是提供全三维数字化知识库的应用方法。
为了克服现有技术的不足,本发明的技术方案是这样解决的:一种全三维数字化知识库系统,该全三维数字化知识库系统包括流程控制模块、设计人员自定义模块、交互控制模块、公式编辑模块、搜索控制模块、计算控制模块、特征模块、知识库小功能模块,本发明的特殊之处在于:
1)、所述流程控制模块由开始模块、简单结束模块、最终结束模块、参数定义模块、单向规则模块、循环规则模块、子流程模块、拆分模块、合并模块组成,其中
①、所述开始模块为引导整个流程开始执行,一个知识流程中只允许存在一个开始模块,在建立知识库时,开始模块就已经被预设至可视化流程编辑区的最顶层;
②、所述简单结束模块是引导整个流程的终止,用在知识结束时使用,一个线程只允许有一个结束模块;
③、所述完整结束模块为终止整个流程,但当流程中最后一步需要返回平台进行参数驱动或下载组件时,应使用输出结果参数定义模块终止整个流程;
④、所述参数定义模块是用来定义知识流程所需要的设计参数,范围是将涉及的几何尺寸(或几何参数)、几何尺寸(或几何参数)之间的约束关系、特殊含义的参数定义为设计参数。在参数定义过程中,需要定义的设计参数的内容包括参数名称、参数描述、参数类型、是否是入口参数为必填项目,在参数定义中必须要填出这四项才能建立参数;设计参数可通过KBE系统自动拾取参数信息、参数名称、参数值信息,知识库自动接收这些信息,很方便的定义知识库中用到的信息;
⑤、所述单向规则模块为“一进一出判断式”模块,是整个流程中的知识规则处理的重点模块,在该模块中可以定义知识规则处理有关的条件参数、结果参数,相关条件、满足条件后的赋值方式、因果关系规则。在知识设计中,进行知识规则的赋值方式、因果关系规则,进行运算,如果条件参数满足,则继续向下执行,如果条件参数均不满足,知识流程会报错并由使用者自行定义结果参数,输入时须勾选“修改参数值”选项;
⑥、所述循环规则模块为“一进两出判断式”模块,其内容规则定义方式与“单向规则”模块相同,不同的是,“单向规则”模块中如果条件参数满足,则继续向下执行,如果条件参数均不满足,知识流程会报错并由使用者自行定义结果参数,输入时须勾选“修改参数值”选项,而“循环规则”模块中如果条件参数满足模块内所列规则的任意一条,则继续执行模块左边出口的线程,如果条件参数均不满足模块内所列知识规则,则执行模块右边出口的线程,此模块是比较灵活的,右边出口可以返回以上模块,做循环的规则处理;
⑦、所述子流程模块在知识功能明确的流程中,将具有一定知识功能的知识规则写入一个子流程中。在知识文件建立的过程中的,将一些通用性强的、结构复杂的知识功能单独建立成为知识文件,在需要使用这些知识功能时,使用“插入知识库文件”功能,将已编辑好的知识功能插入新的完整的知识文件中,以达到知识重用的目的;
⑧、所述拆分模块将一条分支流程为至多四个分支流程,执行顺序为从右向左,当一个分支流程执行错误或读取到到“等待所有输入”时,开始执行第二条分支流程,如无以上两种情况流程便已结束,则其他分支流程不予执行;
⑨、所述合并模块将多条分支流程合并为一条分支流程,合并的过程中,该模块选择两种模式,在模块内容编辑区内的选项中选择“True”时,表示该模块需要等待所有连接该模块的分支流程均执行完后才向下执行;
2)、所述专用知识设计模块在知识文件编辑中,设计人员根据自己的需求,新建具有各种功能的知识功能模块,方便设计人员的知识重用与共享;设计人员在编辑知识文件的时候,可以使用这些设计人员自定义的专用知识设计功能模块,作为知识文件的一个有特定操作的子流程,具体实现方式是拖曳设计人员自定义的专用知识设计功能模块至编辑区;
3)、所述交互控制模块又由打开本地文件模块、打开标准件库模块、打开智能件库模块、打开组件库模块、返回平台模块、分步设计结果模块组成,其中,
①、所述打开本地文件模块在知识流程中如果需要打开本地的某个模型时,可以使用“打开本地文件”模块,当系统读到该模块时,推理机会暂停,切换回CAD平台,弹出打开窗口等待使用者进行下一步操作;
②、所述打开标准件库模块在知识流程中如果需要下载某个标准件时,可以使用“打开标准件库”模块,当系统读到该模块时,推理机会暂停,切换回CAD平台,弹出标准件库窗口等待使用者进行下一步操作;
③、所述打开智能件库模块在知识流程中如果需要下载某个智能件并进行打孔操作时,可以使用“打开智能件库”模块,当系统读到该模块时,推理机会暂停,切换回CAD平台,弹出智能件库窗口等待使用者进行下一步操作;
④、所述打开组件库模块在知识流程中如果需要下载某个组件时,可以使用“打开组件库”模块,当系统读到该模块时,推理机会暂停,切换回CAD平台,弹出组件库窗口等待使用者进行下一步操作;
⑤、所述返回平台模块在知识流程中如果需要回到CAD平台进行其他操作时,可以使用返回平台模块,当系统读到该模块时,推理机会暂停,切换回CAD平台,等待使用者进行下一步操作;
⑥、所述分步设计结果模块与“完整结束”模块相似,与之不同的是,该模块没有结束功能,可以应用于需要分次驱动的知识流程;
4)、所述公式编辑模块由工程计算公式模块、图表计算模块、曲线计算模块组成;
①所述工程计算公式模块在知识流程中如果需要公式库中的公式时可以使用“工程计算公式”模块,当系统读到该模块时,采用参数传递的方式,将知识库中的参数值传给已经选好使用的公式,通过计算,得出计算结果,将计算结果用参数传递的方式返回给知识库。其中所选的公式来源于公式库,使用者可以添加或删除公式及公式里的变量。
②所述图表计算模块在知识流程中如果需要图表库中的图表时可以使用“图表计算”模块,当系统读到该模块时,采用参数传递的方式,将所用图表写入模块信息,弹出设计人员所需图表,选择所需的图表信息,并将信息返回给知识库;
③所述曲线计算模块在知识流程中如果需要曲线库中的图表时可以使用“曲线计算”模块,当系统读到该模块时,采用参数传递的方式,将所用曲线表写入模块信息,弹出设计人员所需曲线表,选择所需的曲线信息,并将信息返回给知识库;
5)、所述搜索控制模块包括标准件搜索、功能属性、功能组件搜索,该模块的功能与“完整结束”模块相似,与之不同的是,该模块没有结束功能,根据推理结果对零件或组件的属性,其中包括形状、材料、规格,几何或非几何信息或零件(或组件)的集合信息进行搜索,并经搜索信息提供给设计人员,由设计人员选择需要使用的零件(或功能组件);
6)、所述计算控制模块在知识库编辑中,设计人员根据自己的需求,根据计算控制模块提供的编写程序的小功能,完成要计算的功能;
7)、所述特征设计模块是和其它模块组合的模块实现设计人员设计的需要,编辑特征时,设计人员需选择特征或组合特征,设置特征或组合特征的参数值或定义参数规则,保存特征相关信息;设计特征时,根据选择特征或组合特征,推理参数值,和CAD平台、KBE系统交互实现特征或组合特征的驱动,主要用于实现零件的快速设计,统一设计人员建模规范;
8)、所述知识库小功能模块由数据采集模块、公差模块、参数编辑可视化模块、知识重用模块、子流程模块组成,其中,
①、所述数据采集模块采集系统储存的多种实体模型数据,通过点选采集自动零件或设计半成品模型中获得推理设计入口参数,以减少人工在二维图纸上采集数据的工作量;
②、所述公差模块在系统内设有公差查询应用功能,在知识编辑时根据情况自行选用,在参数定义时,公差项选择“公差”,如果是入口参数,在设计申请窗口输入值时“公差查询”按钮会亮起,如果是出口参数,在规则定义模块添加规则时,在添加规则界面填写该参数时可点击“公差查询”按钮进行参数的选择与添加,点击公差查询后,会弹出公差选择定义界面,在公差选择定义界面可以根据参数的基本尺寸定义公差、公差等级或配合公差;
③、所述参数编辑可视化模块是利用KBE系统自动拾取参数信息、参数名称、参数值信息,知识库自动接收这些信息,很方便的定义知识库中用到的信息;
④、所述知识重用模块包括两种插入方式:1.在平台上选择TSK并插入(从知识库中)、2.插入本地TSK(从本机)选择已经编写好的知识文件插入到当前知识中,实现知识或知识段落的重复利用,选择从平台插入后会回到知识列表,选择需要的知识后点击知识库管理界面上的“知识重用”按钮即可;
⑤、所述子流程模块使知识流程的结构简化,使知识流程更加清晰,在知识段落明确的流程,如分段知识库中,可以将每一个知识段落写入一个子流程中,以使整个知识流程或主流程清晰,干练,一目了然,在知识库建立的过程中的,可以将一些通用性强的、结构复杂的知识段落单独建立成为知识,在需要使用这些知识段落时,可以使用本软件中的“插入知识库文件”功能将以编辑好的知识段落插入新的完整的知识流程中,以达到知识重用的目的,知识库子流程的功能是对模块进行封装,便于统一管理。
2、一种全三维数字知识库的应用方法,应用于产品设计、工艺设计、产品检验,具体步骤如下进行:
1)在产品设计阶段,以新建的模型或三维数字化模型为载体,构建了包含产品几何和非几何信息的MBD产品数据集,以特征为基本的数据组织单元,知识库设计编辑人员首先对产品目标进行分析,使用知识编辑系统将设计专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,在编辑过程中,可随意组合面向典型的免编程可视化的向导式设计、面向设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,之后,产品设计人员运行知识库设计编辑人员编辑的知识库文件,通过输入设计参数值、参数采集、平台交互等进行推理设计,分步输出结果数据,实现和CAD平台交互,最终实现产品目标;
2)在工艺设计阶段,面向三维数字化模型,获取MBD数据集中的产品特征信息,根据这些特征信息,在工艺设计知识库系统的辅助下,知识库设计编辑人员首先对工艺路线进行分析,使用知识编辑系统将工艺专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表示工艺过程的设计,包括工艺路线、加工方法的选择、确定,制造资源的选择、确定,工装设计的要求,加工过程的规划等,生成知识库文件,在编辑过程中,可随意组合面向典型的免编程可视化的向导式设计、面向工艺设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,之后,知识库使用人员运行知识库设计编辑人员编辑的知识库文件,通过输入工艺设计参数值,系统进行工艺分析推理设计,分步输出结果数据,如:推出加工方案或实现和CAD/CAE平台交互选择加工方案;根据加工方案的选择,可以依次推理出工艺设计结果或与CAD/CAE平台交互生成工艺设计结果,包括工序、工步、刀具和切削参数、工装等,最终实现工艺设计目标;
3)在工装设计阶段,以被加工的零件为载体,构建了包含工装几何和非几何信息的MBD产品数据集,以特征为基本的数据组织单元,知识库设计编辑人员首先对产品目标进行分析,使用知识编辑系统将设计专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,在编辑过程中,可随意组合面向典型的免编程可视化的向导式设计、面向工装设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,之后,工装设计人员运行知识库设计编辑人员编辑的知识库文件,通过输入设计参数值、参数采集、平台交互等进行推理设计,分步输出结果数据,实现和CAD平台交互,最终实现工装目标;
产品设计、工艺设计、工装设计应用方法、产品检验应用方法相同,不同的是设计意图根据个领域知识库设计编辑人员的设计意图来设计;
如下详细介绍工装设计的详细的知识库文件的编辑与设计:
首先,知识库设计编辑人员编辑工装设计知识库文件,步骤如下:
Ⅰ)、知识库设计编辑人员首先对被加工零件模型进行工艺分析,根据经验,判断是否进行CAE有限元分析或数据校验;
Ⅱ)、根据分析结果选择向导式编辑方式,其编辑方式包括按面向典型的免编程可视化的向导式编辑、面向设计过程的免编程可视化的向导式编辑、面向特征的免编程可视化的向导式编辑,这三种方式在知识库构建过程中是可以自由组合使用的,主要是根据专家使用知识库系统的知识编辑模块,将专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,之后,设计人员运行知识库文件,进行知识库文件的设计;
Ⅲ)、工艺分析过程中被加工零件比较简单、通用性比较强,不需要进行CAE有限元分析,可选择按面向典型整体结构的免编程可视化的向导式设计,具体的向导式设计编辑步骤如下:
①、知识库设计编辑人员进行典型零件的尺寸参数信息定义,参数信息包括参数名称、参数描述、参数类型、小数位数、可选参数、参数性质、是否是入口参数、是否有公差等;
②、知识库设计编辑人员将自己的设计意图写入知识库文件,通过知识库系统编辑和特征库、工程计算公式库、曲线计算公式库、图表计算公式库、模型库(组件库、标准件库、智能件库等)、选刀系统等与CAD平台或其它支持的软件相交互的模块,实现推理的编辑;
③、知识库设计编辑人员编辑输出参数的“输出最终结果”模块,指定输出文件的路径;
④、知识库设计编辑人员编辑输出二维工程图的参数的“输出最终结果”模块,指定输出文件的路径;
⑤、知识库设计编辑人员可通过知识库的设计,将设计的装配、零件模型输出二维工程图,装配、零件模型修改设计并与二维工程图进行模型验证,从而实现知识库文件的调试;
⑥、经模型验证后,即可输出装配及零件二维工程图,知识库文件编辑完成;
Ⅳ)、根据设计人员的经验,工艺分析过程中被加工零件比较复杂,可选择按面向工装设计全过程的向导式编辑,步骤如下:
注:步骤1、步骤2、步骤3、步骤4、……、步骤n是可以自由排序的,此处只是示意一下各个模块的使用情况;
①、按步骤1知识库设计编辑人员进行步骤1零件的尺寸参数信息定义,参数信息包括参数名称、参数描述、参数类型、小数位数、可选参数、参数性质、是否是入口参数、是否有公差等;步骤2、步骤n的参数定义按照步骤1方式定义各个步骤需要定义的参数信息;
②、知识库设计编辑人员编辑步骤1的向导流程,将自己的设计意图写入知识库文件,通过知识库系统编辑的交互控制模块的打开本地文件模块、打开标准件库模块、打开智能件库模块、打开组件库模块、返回平台模块、分步设计结果模块实现与模型库(组件库、标准件库、智能件库等)的编辑,实现打开本地文件、打开标准件库、打开智能件库、打开组件库、返回平台、分步设计结果的编辑;
知识库设计编辑人员编辑步骤2的向导流程,将自己的设计意图写入知识库文件,通过知识库系统编辑的特征模块实现与特征库交互的编辑,实现特征与组合特征的编辑;
知识库设计编辑人员编辑步骤3的向导流程,将自己的设计意图写入知识库文件,通过知识库系统编辑的搜索模块实现与组件库和标准件库的交互的编辑,实现搜索标准件和搜索组件库的编辑;
知识库设计编辑人员编辑步骤n的向导流程,将自己的设计意图写入知识库文件,通过知识库系统编辑的公式编辑模块实现与公式库、曲线库、图标库的交互的编辑,实现工程计算公式、曲线计算公式、图表计算公式的编辑;
③、知识库设计编辑人员编辑输出参数的“分步结束”模块,指定输出文件的路径;
④、知识库设计编辑人员调试知识库文件,进行各部件装配、修改的调试;
⑤、知识库设计编辑人员编辑输出装配及零件二维工程图的参数的“分步结束”模块,指定输出文件的路径;
⑥、知识库设计编辑人员调试知识库文件,进行装配、零件模型输出二维工程图的调试;
⑦、经调试后,保存知识库文件,编辑完成;
之后,工装知识库设计人员运行知识库文件,进行工装设计,步骤如下:
Ⅴ)、工装设计人员首先对被加工零件模型进行工艺分析,根据经验,判断是否进行CAE有限元分析或数据校验;
Ⅵ)、根据分析结果选择向导式设计方式,其设计方式包括按面向典型的免编程可视化的向导式设计、面向设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,这三种方式在知识库构建过程中是可以自由组合使用的,主要是根据专家使用知识库系统的知识编辑模块,将专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,之后,工装设计人员运行知识库文件,进行知识库文件的设计;
Ⅶ)、工艺分析过程中被加工零件比较简单、通用性比较强,不需要进行CAE有限元分析,可选择按面向典型整体结构的免编程可视化的向导式设计,步骤如下:
①、采集零件尺寸参数;
②、结构推理设计,工装设计人员根据准备设计的产品,从特征库、工程计算公式库、曲线计算公式库、图表计算公式库、模型库(组件库、标准件库、智能件库等)、选刀系统等与CAD平台或其它支持的软件查找有关数据;
③、下载模型并参数化驱动;
④、装配、零件模型输出二维工程图输出;
⑤、装配、零件模型修改设计并与二维工程图联动;
⑥、将设计的装配、零件模型输出二维工程图,装配、零件模型修改设计并与二维工程图进行模型验证;
⑦、经模型验证后,即可输出装配及零件二维工程图,设计完成;
Ⅷ)、根据工装设计人员的经验,工艺分析过程中被加工零件比较复杂,可选择按面向工装设计全过程的向导式设计,步骤如下:
注:步骤1、步骤2、步骤3、步骤4、……、步骤n是可以自由排序的,此处只是示意一下各个模块的使用情况;
①、按步骤1数据采集、步骤2数据采集、步骤n数据采集;
②、结构推理设计,工装设计人员根据准备设计的产品,从特征库、工程计算公式库、曲线计算公式库、图表计算公式库、模型库(组件库、标准件库、智能件库等)、选刀系统等与CAD平台或其它支持的软件查找有关数据;
③、分步进行推理设计、下载并参数化驱动;
④、各部件装配、修改;
⑤、将设计的装配、零件模型输出二维工程图;
⑥、装配、零件模型工程图进行模型验证;
⑦、经模型验证后,即可输出装配及零件二维工程图,设计完成。
本发明与现有技术相比,具有向导式知识编辑系统,该知识编辑系统以开放式、免编程、可视化流程图方式实现向导式知识编辑和向导式知识设计、通用性很强的特点,本发明的全三维数字化知识库系统是面向知识库设计编辑人员开放的。知识库设计编辑人员不需要懂得编程语言,仅需要掌握免编程、可视化流程图的知识编辑方法,即可自行进行向导式知识编辑,并实现向导式设计。
方便、快捷的知识编辑工具系统,可以由企业自己的设计人员将多年设计实践中积累的经验、知识、技术通过文件形式并保存起来,实现模型重用、设计经验和知识重用,同时具有企业核心技术、设计经验和知识不外泄的优点。
全三维数字化知识库系统的其他独特优势:
(1)、知识文件与模型可独立存在;知识推理设计,
(2)、可将零件参数作为条件进行推理设计;
(3)、零件数据可通过“数据采集”功能获得;
(4)、数据采集采集系统储存的多种实体模型数据,通过点选采集自动零件或设计半成品模型中获得推理设计入口参数,以减少人工在二维图纸上采集数据的工作量;
(5)、向导式设计,可将复杂产品/工装进行化整为零推理设计,可将多个组件知识进行子流程串联设计;可通过知识直接对组件或典型结构里的标准件进行替换操作;
(6)、知识调试功能,编写完知识后,可先对知识规则进行调试,即通过独立运行知识文件,输入相关参数,是否能够进行正常推理,是否能够推出合理结果,之后,再结合模型进行推理设计,可提高设计效率,减少出错率;
(7)、可编辑性,设计人员可以随时对知识进行编辑修改;知识文件里对参数进行了详细描述说明,并配有图片,为后期修改维护提供了方便;
(8)、在知识文件里可对参数添加或推理公差;可对知识文件进行归类管理;
(9)、利用KBE系统自动拾取参数信息、参数名称、参数值信息,知识库自动接收这些信息,很方便的定义知识库中用到的信息,实现参数编辑可视化;
(10)、子流程功能使知识流程的结构简化,使知识流程更加清晰,在知识段落明确的流程,如分段知识库中,可以将每一个知识段落写入一个子流程中,以使整个知识流程或主流程清晰,干练,一目了然,在知识库建立的过程中的,可以将一些通用性强的、结构复杂的知识段落单独建立成为知识,在需要使用这些知识段落时,可以使用本软件中的“插入知识库文件”功能将以编辑好的知识段落插入新的完整的知识流程中,以达到知识重用的目的,知识库子流程的功能是对模块进行封装,便于统一管理。
本发明广泛用于各行各业从事机械设计的知识库设计编辑人员和设计人员使用。
附图说明
图1为本发明的知识库系统和知识库的应用方法结构示意图;
图2为本发明的向导式设计推理过程设计结构示意框图;
图3为知识库小功能模块结构示意框图;
图4为全三维数字化知识库系统主界面图;
图5子流程界面图;
图6为向导式设计方式图;
图7为减速器的产品知识库设计流程图;
图8为工艺设计的加工特征知识库设计流程图。
具体实施方式
附图为本发明的实施例。
下面结合附图对发明的内容作进一步说明:
参照图1所示,全三维数字化知识库的应用方法可应用在产品、工艺、工装设计、产品检验等机械设计的各个领域中或其各个领域的微观设计中,具体如下:
1)在产品设计阶段,以新建的模型或三维数字化模型为载体,构建了包含产品几何和非几何信息的MBD产品数据集,以特征为基本的数据组织单元,知识库设计编辑人员首先对产品目标进行分析,使用知识编辑系统将设计专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,在编辑过程中,可随意组合面向典型的免编程可视化的向导式设计、面向设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,之后,产品设计人员运行知识库设计编辑人员编辑的知识库文件,通过输入设计参数值、参数采集、平台交互等进行推理设计,分步输出结果数据,实现和CAD平台交互,最终实现产品目标;
2)在工艺设计阶段,面向三维数字化模型,获取MBD数据集中的产品特征信息,根据这些特征信息,在工艺设计知识库系统的辅助下,知识库设计编辑人员首先对工艺路线进行分析,使用知识编辑系统将工艺专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表示工艺过程的设计,包括工艺路线、加工方法的选择、确定,制造资源的选择、确定,工装设计的要求,加工过程的规划等,生成知识库文件,在编辑过程中,可随意组合面向典型的免编程可视化的向导式设计、面向工艺设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,之后,知识库使用人员运行知识库设计编辑人员编辑的知识库文件,通过输入工艺设计参数值,系统进行工艺分析推理设计,分步输出结果数据,如:推出加工方案或实现和CAD/CAE平台交互选择加工方案;根据加工方案的选择,可以依次推理出工艺设计结果或与CAD/CAE平台交互生成工艺设计结果,包括工序、工步、刀具和切削参数、工装等,最终实现工艺设计目标;
3)在工装设计阶段,以被加工的零件为载体,构建了包含工装几何和非几何信息的MBD产品数据集,以特征为基本的数据组织单元,知识库设计编辑人员首先对产品目标进行分析,使用知识编辑系统将设计专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,在编辑过程中,可随意组合面向典型的免编程可视化的向导式设计、面向工装设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,之后,工装设计人员运行知识库设计编辑人员编辑的知识库文件,通过输入设计参数值、参数采集、平台交互等进行推理设计,分步输出结果数据,实现和CAD平台交互,最终实现工装目标;
图1所示全三维数字化知识库系统即KBE知识库可视化编辑部分包括流程控制模块、设计人员自定义模块、交互控制模块、公式编辑模块、搜索控制模块、计算控制模块、特征模块、知识库小功能模块;设计人员使用知识库可视化编辑的模块,根据流程化的设计思想,设计知识库,完成知识库的编辑工作。其中:
流程控制模块
(1)、开始模块
开始模块的作用是引导整个流程开始执行,一个知识流程中只允许存在一个开始模块。一般情况下在建立知识时,开始模块就已经被预设至可视化流程编辑区的最顶层。
(2)、分步结束模块:分步结束模块的作用是引导整个流程的终止,用在知识结束时使用,一个线程只允许有一个结束模块(或者“完整结束”模块)。
(3)、完整结束模块:完整结束模块同样是终止整个流程,但当只是流程中最后一步需要返回平台进行参数驱动或下载组件时,应使用输出结果参数模块终止整个流程(完整结束模块的功能等同于“分步设计结果”模块+“结束”模块)。
(4)、参数定义模块:参数定义模块用来定义参数定义模块的相关信息,参数定义模块是用来定义知识流程所需要的参数的,在参数定义过程中,需要定义的内容有:参数名称、参数描述、参数类型、是否是入口参数为必填项目,在参数定义中必须要填出这四项才能建立参数。设计参数可通过KBE系统自动拾取参数信息、参数名称、参数值信息,知识库自动接收这些信息,很方便的定义知识库中用到的信息;
在知识编写中,除了少数“过渡参数”(在知识中没有然和直接作用的参数,定义时无任何限制)以外,大部分参数需要执行选择组件、驱动组件中的标准件系列、选择标准件格式、驱动组件装配关系(参数)这四种功能之一,而参数为了达到这些功能,在参数名称与参数描述定义时必须遵守如下格式:
A、选择组件的格式:参数名称无限制;变量名称前四个字符为小写的code。
B、驱动组件中标准件系列的格式:“名称”+“-”+“该标准件在组件中的编号”;变量名称无限制
C、选择标准件的格式:“名称”+“-”+“该标准件在标准件库中的编号”;变量名称前三个字符为小写的prt。
D、驱动组件装配关系的格式:“名称”+“+”+“组件中要驱动的变量名称”+“”+“该标准件在组件中的编号”;变量名称无限制
表1
参数名称:是该知识中用到所有参数的变量名。
参数描述:是对变量名的汉字描述。
参数类型:表示参数中代表内容,一般情况下,需要进行运算的参数类型应选择数值型,需要驱动组件、标准件的参数类型应选择字符型。参数类型默认值为数值型。
是否是入口:参数表示该参数是否由设计者输入,当定义参数的参数信息需要从外界(即非本知识内部)获得时,该项应选入口参数;当定义参数的参数信息是由知识内部推理出来时,则应定义为出口参数。
除了四个必填项目外,参数性质、小数位数、可选参数、公差皆为选填项目,其中:
参数性质:是针对二维出图模块参数设定的,默认值为空,代表数据变量,如果该参数在二维出图模块中使用,该项的可选值有三:半径(出图时在文字前加R)、直径(出图时在文字前加)、角度(值被转化为弧度用以计算);
小数位数:是针对数值型参数的精度而言的,小数位数越高,精度就越高,可选项为0位、1位、2位、3位、4位,默认值为3位,多余小数位数遵循四舍五入原则;
可选参数:是针对一些需要进行参数内容选择的(如材料牌号)参数或有推荐值的参数进行选项设定的项目,该项目的填写格式为:“选项”+“;”+“选项”+“;”,末尾的“;”可省略,在设计时,可选参数下拉框显示可选参数,该项目默认值为空。
公差:是针对数值型参数中有公差范围的参数的项目,如果该参数有公差范围,该项目应选“有公差”,该项目默认值为“没有公差”。
参数备注:点击参数定义界面左上角的“参数备注”按钮,可以定义入口参数的备注,对设计申请中的参数(入口)进行进一步说明。备注部分可以对参数需要输入的内容进行进一步说明,备注内容并不限制输入的值。
导引参数设置:在整个线程中,如之前使用过“导引—输出结果数据”模块,在此模块之前的参数将被截留,此模块之后无法使用之间定义过的参数。如果需要使用之前定义的参数,可以点击参数定义界面右下角的“引导参数”按钮或左上角的“a-a”按钮,进入导引参数设置界面。进入后,点击选中需要调用的参数,点击【向右添加】,右侧窗口中会出现选择的参数;如要删除已选择的参数,则点击要删除的参数,点击【删除】按钮。导引参数不会在本次参数定义模块中显示。使用导引参数调用出的参数均为入口参数。
(1)单向规则模块:单向规则模块是整个流程中的重点模块,在该模块中,可以定义参数的运算过程、赋值方式、因果关系等规则。定义多规则定义模块内容的方法:
a)、选择条件、结果参数
点击模块定义界面左下角的“定义条件、结果”按钮,进入条件、结果参数选择界面定义本规则所需要的参数。
添加:选择条件参数时,系统会提供入口参数和已赋值过的出口参数作为选项,选择结果参数时,系统会提供未赋值的出口参数作为选项,如果结果参数中需要对已赋值过的参数重新赋值时,需要勾选界面左下角的“结果参数显示所有参数”选项,单击“参数信息”按钮会弹出参数列表,该列表列出了本条规则可以使用的参数,该列表默认为隐藏。
删除:需要删除已有参数时,点击该参数行左侧的序号栏,单击右键,点击删除按钮。选择参数时,最后一行为空行,系统会自动添加。
b)、定义规则
选择条件结果参数完成后,多规则定义界面会出现已选择的条件结果参数,其中条件参数的输入框为蓝色,结果参数的输入框为红色。在操作界面内单击右键,点击右键菜单内的“添加规则”按钮,可进入新规则的添加界面,条件参数的定义方式有很多种,比如等于、不等于,大于等等,而结果参数必须给出准确的的值,所以结果参数的判断方式只有一种,就是“=”,结果参数中的值不能为空。如果被输入参数有公差,可以将光标移动到该参数点的输入框内并击界面下方的“公差查询”并选择对应公差(公差功能见6.2.6公差)。如果定义参数表达式时,需要使用函数,点击“f(x)”按钮,提供有各种常用的函数。同时,双击输入框位置也可以直接输入条件结果参数的判断式。
提示:字符型参数赋值时,如果内容结构为“a,b,c…”时,中间的分隔符不能使用逗号“,”,否则系统无法识别,可以使用其他任意分隔符。
(2)循环规则模块:模块为“一进两出判断式”模块,其内容规则定义方式与“单向规则”模块相同。不同的是,“单向规则”模块中如果条件参数满足,则继续向下执行,如果条件参数均不满足,知识流程会报错并由使用者自行定义结果参数,输入时须勾选“修改参数值”选项。推理失败时,“循环规则”模块中如果条件参数满足模块内所列规则的任意一条,则继续执行模块左边出口的线程,如果条件参数均不满足模块内所列规则,则执行模块右边出口的线程。
提示:在使用“循环规则”模块对流程进行分流后,编辑分流模块左边线程时,对应的模块内容编辑区内的“条件满足”条件满足项目应选择“True”,编辑分流模块右边线程时,则选择“False”,否则在定义条件结果参数时会出错(此处的选择仅作用于知识编辑,对知识使用无任何影响)。
(3)、子流程模块:子流程模块能使知识流程的结构简化,使知识流程更加清晰,便于统一管理;在知识功能明确的流程中,将具有一定知识功能的知识规则写入一个子流程中。在知识文件建立的过程中的,将一些通用性强的、结构复杂的知识功能单独建立成为知识文件,在需要使用这些知识功能时,使用“插入知识库文件”功能,将已编辑好的知识功能插入新的完整的知识文件中,以达到知识重用的目的;如图5子流程。
(4)、拆分模块:拆分模块可以将一条线程分类为多条线程(至多四个,可重复分裂),执行顺序为从右向左,当一个线程执行错误或读取到到“等待所有输入”时(见“合并”模块),开始执行第二条线程,如无以上两种情况流程便已结束,则其他线程不予执行。
(5)、合并模块:合并模块可以将多条线程(至多四个,可重复合并)合并为一条线程,合并的过程中,该模块可以选择两种模式,在模块内容编辑区内的“等待所有输入”选项中选择“True”时,表示该模块需要等待所有连接该模块的线程均执行完后才向下执行;选择“False”时则有一条线程执行至该模块时就继续向下执行。
交互控制模块
交互类模块均为人与平台(CAD)、软件(KBE)与平台(CAD)进行交互的模块,可以在执行知识流程时,当需要人手动操作的时候对推理机进行暂停,当手动操作完成后再点击界面上的“继续执行”按钮继续进行推理,使知识流程更加人性化、灵活化。
搜索控制模块(搜索标准件、搜索功能(属性)组件)
搜索控制模块分搜索标准件、搜索功能组件。该模块的功能与“完整结束”模块相似,与之不同的是,该模块没有结束功能,根据推理结果对零件(或组件)的属性(形状、材料、规格等)等非几何信息或零件(或组件)的集合信息进行搜索,并经搜索信息提供给设计人员,由设计人员选择需要使用的零件(或功能组件),充分使用已有资源模型以提高设计人员设计模型的效率。
公式编辑模块
公式编辑模块由工程公式模块、图表公式模块、曲线公式模块组成,见附图图1.
工程公式模块的功能是:采用参数传递的方式,将知识库中的参数值传给已经选好使用的公式,通过计算,得出计算结果,将计算结果用参数传递的方式返回给知识库。其中所选的公式来源于公式库,使用者可以添加或删除公式及公式里的变量。
图表计算模块的功能是:采用参数传递的方式,将所用图表写入模块信息,弹出设计人员所需图表,选择所需的图表信息,并将信息返回给知识库。
曲线计算模块的功能是:采用参数传递的方式,将所用曲线表写入模块信息,弹出设计人员所需曲线表,选择所需的曲线信息,并将信息返回给知识库。
特征控制模块
特征设计模块的功能是:是和其它模块组合的模块,编辑特征时,设计人员需选择特征或组合特征,设置特征或组合特征的参数值或定义参数规则,保存特征相关信息;设计特征时,根据选择特征或组合特征,推理参数值,和CAD平台、KBE系统交互实现特征或组合特征的驱动,主要用于实现零件的快速设计,统一设计人员建模规范。
计算控制模块
计算控制模块在知识库编辑中,设计人员根据自己的需求,根据计算控制模块提供的编写程序的小功能,完成要计算的功能。
专用知识设计模块
在知识库编辑中,设计人员可以根据自己的需求,新建具有各种功能的功能模块。设计人员在编辑知识库的时候,可以使用这些专用知识设计的功能模块,作为知识库的一个有特定操作的子流程,方便了设计人员的编辑效率,尤其是用于相同功能的组件效率会更好。
参照图2所示,向导式设计推理过程的编辑与设计结构示意框图,依次按如下步骤进行:
首先,知识库设计编辑人员编辑工装设计知识库文件,步骤如下:
1)、知识库设计编辑人员首先对被加工零件模型进行工艺分析,根据经验,判断是否进行CAE有限元分析或数据校验;
2)、根据分析结果选择向导式编辑方式,其编辑方式包括按面向典型的免编程可视化的向导式编辑、面向设计过程的免编程可视化的向导式编辑、面向特征的免编程可视化的向导式编辑,这三种方式在知识库构建过程中是可以自由组合使用的,主要是根据专家使用知识库系统的知识编辑模块,将专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,之后,设计人员运行知识库文件,进行知识库文件的设计;
3)、工艺分析过程中被加工零件比较简单、通用性比较强,不需要进行CAE有限元分析,可选择按面向典型整体结构的免编程可视化的向导式设计,具体的向导式设计编辑步骤如下:
①、知识库设计编辑人员进行典型零件的尺寸参数信息定义,参数信息包括参数名称、参数描述、参数类型、小数位数、可选参数、参数性质、是否是入口参数、是否有公差等;
②、知识库设计编辑人员将自己的设计意图写入知识库文件,通过知识库系统编辑和特征库、工程计算公式库、曲线计算公式库、图表计算公式库、模型库(组件库、标准件库、智能件库等)、选刀系统等与CAD平台或其它支持的软件相交互的模块,实现推理的编辑;
③、知识库设计编辑人员编辑输出参数的“输出最终结果”模块,指定输出文件的路径;
④、知识库设计编辑人员编辑输出二维工程图的参数的“输出最终结果”模块,指定输出文件的路径;
⑤、知识库设计编辑人员可通过知识库的设计,将设计的装配、零件模型输出二维工程图,装配、零件模型修改设计并与二维工程图进行模型验证,从而实现知识库文件的调试;
⑥、经模型验证后,即可输出装配及零件二维工程图,知识库文件编辑完成;
4)、根据设计人员的经验,工艺分析过程中被加工零件比较复杂,可选择按面向工装设计全过程的向导式编辑,步骤如下:
注:步骤1、步骤2、步骤3、步骤4、……、步骤n是可以自由排序的,此处只是示意一下各个模块的使用情况;
①、按步骤1知识库设计编辑人员进行步骤1零件的尺寸参数信息定义,参数信息包括参数名称、参数描述、参数类型、小数位数、可选参数、参数性质、是否是入口参数、是否有公差等;步骤2、步骤n的参数定义按照步骤1方式定义各个步骤需要定义的参数信息;
②、知识库设计编辑人员编辑步骤1的向导流程,将自己的设计意图写入知识库文件,通过知识库系统编辑的交互控制模块的打开本地文件模块、打开标准件库模块、打开智能件库模块、打开组件库模块、返回平台模块、分步设计结果模块实现与模型库(组件库、标准件库、智能件库等)的编辑,实现打开本地文件、打开标准件库、打开智能件库、打开组件库、返回平台、分步设计结果的编辑;
知识库设计编辑人员编辑步骤2的向导流程,将自己的设计意图写入知识库文件,通过知识库系统编辑的特征模块实现与特征库交互的编辑,实现特征与组合特征的编辑;
知识库设计编辑人员编辑步骤3的向导流程,将自己的设计意图写入知识库文件,通过知识库系统编辑的搜索模块实现与组件库和标准件库的交互的编辑,实现搜索标准件和搜索组件库的编辑;
知识库设计编辑人员编辑步骤n的向导流程,将自己的设计意图写入知识库文件,通过知识库系统编辑的公式编辑模块实现与公式库、曲线库、图标库的交互的编辑,实现工程计算公式、曲线计算公式、图表计算公式的编辑;
③、知识库设计编辑人员编辑输出参数的“分步结束”模块,指定输出文件的路径;
④、知识库设计编辑人员调试知识库文件,进行各部件装配、修改的调试;
⑤、知识库设计编辑人员编辑输出装配及零件二维工程图的参数的“分步结束”模块,指定输出文件的路径;
⑥、知识库设计编辑人员调试知识库文件,进行装配、零件模型输出二维工程图的调试;
⑦、经调试后,保存知识库文件,编辑完成。
之后,工装设计人员运行知识库文件设计工装,步骤如下:
1)、工装设计人员首先对被加工零件模型进行工艺分析,根据经验,判断是否进行CAE有限元分析或数据校验;
2)、根据分析结果选择向导式设计方式,其设计方式包括按面向典型的免编程可视化的向导式设计、面向设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,这三种方式在知识库构建过程中是可以自由组合使用的,主要是根据专家使用知识库系统的知识编辑模块,将专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,之后,工装设计人员运行知识库文件,进行知识库文件的设计;
3)、工艺分析过程中被加工零件比较简单、通用性比较强,不需要进行CAE有限元分析,可选择按面向典型整体结构的免编程可视化的向导式设计,步骤如下:
①、采集零件尺寸参数;
②、结构推理设计,工装设计人员根据准备设计的产品,从特征库、工程计算公式库、曲线计算公式库、图表计算公式库、模型库(组件库、标准件库、智能件库等)、选刀系统等与CAD平台或其它支持的软件查找有关数据;
③、下载模型并参数化驱动;
④、装配、零件模型输出二维工程图输出;
⑤、装配、零件模型修改设计并与二维工程图联动;
⑥、将设计的装配、零件模型输出二维工程图,装配、零件模型修改设计并与二维工程图进行模型验证;
⑦、经模型验证后,即可输出装配及零件二维工程图,设计完成;
之后,工装知识库设计人员运行知识库文件,进行工装设计,步骤如下:
1)、模型设计人员首先对被加工零件模型进行工艺分析;
2)、根据分析结果选择向导式设计方式,其设计方式包括按面向典型的免编程可视化的向导式设计、面向设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,这三种方式在知识库构建过程中是可以自由组合使用的,主要是根据专家使用知识库系统的知识编辑模块,将专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,之后,设计人员运行知识库文件,进行知识库文件的设计;
3)、所述按典型整体结构设计步骤如下:
①、采集零件尺寸参数;
②、结构推理设计,设计人员根据准备设计的产品,从特征库、工程计算公式库、曲线计算公式库、图表计算公式库、模型库(组件库、标准件库、智能件库等)、选刀系统等与CAD平台或其它支持的软件查找有关数据;
③、下载模型并参数化驱动;
④、装配、零件模型输出二维工程图输出;
⑤、装配、零件模型修改设计并与二维工程图联动;
⑥、将设计的装配、零件模型输出二维工程图,装配、零件模型修改设计并与二维工程图进行模型验证;
⑦、经模型验证后,即可输出装配及零件二维工程图,设计完成;
4)、所述按面向全过程的向导式设计,步骤如下:
注:步骤1、步骤2、步骤3、步骤4、……、步骤n是可以自由排序的,此处只是示意一下各个模块的使用情况;
①、按步骤1数据采集、步骤2数据采集、步骤n数据采集;
②、结构推理设计,设计人员根据准备设计的产品,从特征库、工程计算公式库、曲线计算公式库、图表计算公式库、模型库(组件库、标准件库、智能件库等)、选刀系统等与CAD平台或其它支持的软件查找有关数据;
③、分步进行推理设计、下载并参数化驱动;
④、各部件装配、修改;
⑤、将设计的装配、零件模型输出二维工程图;
⑥、装配、零件模型工程图进行模型验证;
⑦、经模型验证后,即可输出装配及零件二维工程图,设计完成。
图3所示为知识库小功能模块结构示意框图,所述知识库小功能模块由数据采集模块、公差模块、参数编辑可视化模块、知识重用模块、子流程模块组成,其中,
①、所述数据采集模块采集系统储存的多种实体模型数据,通过点选采集自动零件或设计半成品模型中获得推理设计入口参数,以减少人工在二维图纸上采集数据的工作量;
②、所述公差模块在系统内设有公差查询应用功能,在知识编辑时根据情况自行选用,在参数定义时,公差项选择“公差”,如果是入口参数,在设计申请窗口输入值时“公差查询”按钮会亮起,如果是出口参数,在规则定义模块添加规则时,在添加规则界面填写该参数时可点击“公差查询”按钮进行参数的选择与添加,点击公差查询后,会弹出公差选择定义界面,在公差选择定义界面可以根据参数的基本尺寸定义公差、公差等级或配合公差;
③、所述参数编辑可视化模块是利用KBE系统自动拾取参数信息、参数名称、参数值信息,知识库自动接收这些信息,很方便的定义知识库中用到的信息;
④、所述知识重用模块包括两种插入方式:1.在平台上选择TSK并插入(从知识库中)、2.插入本地TSK(从本机)选择已经编写好的知识文件插入到当前知识中,实现知识或知识段落的重复利用,选择从平台插入后会回到知识列表,选择需要的知识后点击知识库管理界面上的“知识重用”按钮即可;
⑤、所述子流程模块能使知识流程的结构简化,使知识流程更加清晰,便于统一管理;在知识功能明确的流程中,将具有一定知识功能的知识规则写入一个子流程中。在知识文件建立的过程中的,将一些通用性强的、结构复杂的知识功能单独建立成为知识文件,在需要使用这些知识功能时,使用“插入知识库文件”功能,将已编辑好的知识功能插入新的完整的知识文件中,以达到知识重用的目的;如图5子流程。
图4所示全三维数字化知识库系统主界面图,全三维数字化知识库系统主界面主要由菜单、工具条、左侧全三维数字化知识库编辑模块树、中间编辑区、中下log日志区,右侧模块属性区六部分组成。
1)、菜单主要包含文件的“新建”、“打开”、“保存”、“保存为”、“退出”、“输出知识库”功能。
2)、工具条主要包括“运行”、“结束”、“重置”、“返回上一层”、“查看log文件”、“”属性、“保存”按钮,其中“运行”的功能是按钮是运行当前打开的知识库文件进行设计知识库;“结束”的功能是按钮是结束运行当前打开的知识库文件结束设计知识库;“重置”的功能是刷新当前打开的知识库文件进行初始化设置设计知识库;“返回上一层”的功能是如果此流程是子流程则返回子流程的上一层,如果在最上层,则保持不变。“查看log文件”的功能是当鼠标左键选中“属性”按钮时,中下log日志显示,再次左键单击,中下log日志隐藏。“属性”的功能是当鼠标左键选中“属性”按钮时,右侧属性区显示,再次左键单击,属性区隐藏。“保存”的功能是保存知识库文件。
3)、编辑模块树是全三维数字化知识库系统的主要编辑模块,主要包括流程控制、专用知识设计、交互控制、公式编辑、搜索控制、计算控制模块。使用时左键选中要编辑的模块,拖曳到编辑区。
4)、中间编辑区是用来编辑知识库的,双击编辑的模块可进入具体编辑。不同的模块有不同的功能不同的编辑方式。
5)、中下区的log日志用来显示运行的log信息,主要包括标识、说明、流程名称、执行结果,可用于调试的参考信息。
6)、模块属性:当左键单击中间编辑区的编辑模块时,模块的属性显示在模块属性区。包括模块的类型、路径、名称,以及用于调试信息的执行结果的模块的入口参数、出口参数、错误信息、异常信息、结束时间、执行结果。
图6所示为向导式设计方式图,其中向导式设计方式包括面向典型的免编程可视化的向导式设计、面向设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,这三种向导式设计方式在专家编辑知识库文件时可自由组合使用,设计人员按照专家设计的知识库文件的流程进行设计,最终实现目标。其中,在产品、工艺、工装的具体方式为免编程、可视化向导式产品/工装设计方式和免编程、可视化向导式工艺设计方式。免编程、可视化向导式产品/工装设计方式包括面向典型结构的参数化设计、面向设计过程的向导式设计、面向特征的参数化设计,在面向典型结构的参数化设计方式中,可根据具体的情况进行功能组件知识推理设计或典型结构推理设计。免编程、可视化向导式工艺设计方式包括面向典型工序/工步的向导式设计、面向工艺过程的向导式设计、面向加工特征的向导式设计,在面向典型工序/工步的向导式设计中,可根据具体的情况进行功能组件知识推理设计或典型工序/工步推理设计。在具体的设计中,以产品设计为例,目前在免编程、可视化向导式产品设计方式包括面向典型结构的参数化设计、面向设计过程的向导式设计、面向特征的参数化设计,其中面向典型结构的免编程可视化的向导式设计具体为当打开组件设计申请界面时,采集屏幕显示的产品模型相关条件参数或功能组件使用状态参数,推理机根据知识库的规则进行匹配后,筛选出符合条件的功能组件模型,供人工确认,从而实现典型结构组件的专家设计;面向设计过程的向导式设计具体为专家编辑的知识库文件是面向产品的过程的,将设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,可使用特征库、工程计算公式库、曲线计算公式库、图表计算公式库、模型库(组件库、标准件库、智能件库等)、选刀系统等与CAD平台或其它支持的软件查找有关数据,可多次与CAD平台进行交互,分步生成部分产品目标,最终生成产品目标的知识库文件,产品设计人员运行专家设计的知识库文件,根据专家的设计意图进行产品设计;面向特征的免编程可视化的向导式设计具体为专家以特征为最小单元,将设计意图表示出来,产品设计人员通过输入特征的参数值、插入点、方向等值,完成具有特定功能的特征,将多个特征组合,实现产品设计。另外,其它设计领域如工艺设计阶段、加工制造阶段,专家和设计人员参考产品设计阶段,将设计意图表达出来生成设计目标。
全三维数字化知识库的应用方法主要应用的方法有编辑知识库文件应用方法和运行知识库文件方法。专家首先编辑知识库文件,设计人员运行知识库文件,通过录入设计参数值或采集设计参数值,与CAD平台交互,实现设计过程。具体实例如下:
实施例1:
下面将结合产品设计实施例,并参照附图图7进行详细说明,以便对本发明进行更深入的说明。
如图7所示,此图为减速器的产品知识库设计流程图,由电动机选择及各轴转速、功率、转矩等、高速级齿轮副设计、低速级齿轮副设计、箱体、箱盖及轴承设计等模块组成,各个模块由编辑模块中的规则模块组成,完成设计的功能。知识库设计编辑人员与知识库设计人员均按照此流程编辑或设计知识库文件。具体如下:
首先,知识库设计编辑人员编辑产品设计知识库文件,步骤如下:
第一步,知识库设计编辑人员编辑“电动机选择及各轴转速、功率、转矩等”模块,首先,知识库设计编辑人员定义减速器输出功率、工作机转速、寿命、效率等参数信息,参数信息包括参数名称、参数描述、参数类型、小数位数、可选参数、参数性质、是否是入口参数、是否有公差等。之后知识库设计编辑人员将自己的设计意图写入知识库文件,实现计算各级理论传动比、减速器总效率、总传动比、各轴功率、转矩、转速等规则,并将这些参数值传入在其下的设计模块。
第二步,知识库设计编辑人员编辑“高速级齿轮副设计”模块。根据第一步“电动机选择及各轴转速、功率、转矩等”模块计算出的各项数据,利用“导引参数”功能,将“高速级设计”模块需要用到的参数选入,编辑规则计算出高速级(到2)齿轮副的实际传动比、中心距,齿轮1、2模数、齿数、齿宽等,最终输出高速级齿轮副的三维模型。其中,其具体的流程为:首先,利用“导引参数”功能,导入电动机、各级轴初选参数,编辑规则实现设计高速级齿轮副传动比、中心距,齿轮1、2模数、齿数、齿宽等的参数值,最后通过特征模式在平台生成齿轮副。
第三部,知识库设计编辑人员编辑“低速级齿轮副设计”模块,根据第一步“电动机选择及各轴转速、功率、转矩等”模块计算出的各项数据,利用“导引参数”功能,将“低速级齿轮副设计”模块需要用到的参数选入,编辑规则计算出的各项数据计算低速级(2到3或3到4)齿轮副的实际传动比、中心距,齿轮2、3(或3、4)模数、齿数、齿宽等参数值,最终依附高速级齿轮副输出低速级齿轮副的三维模型。
第四步,知识库设计编辑人员编辑“箱体、箱盖及轴承设计”模块,利用“导引参数”功能,将“箱体、箱盖及轴承设计”模块需要用到的参数选入,编辑“箱体、箱盖及轴承设计”规则,根据已从生成的齿轮副模型中采集的参数按规则生成减速器箱体、箱盖及轴承的三维模型。
第五步,知识库设计编辑人员编辑“输出产品模型并出二维工程图”模块。根据推理结果,输出知识库设计结果,在平台保存各零件三维模型并保存三维装配体,输出二维工程图。
之后,知识库设计人员运行知识库文件,进行产品设计,步骤如下:
第一步,知识库设计人员设计“电动机选择及各轴转速、功率、转矩等”模块,首先,设计人员填写或选择减速器输出功率、工作机转速、寿命、效率等,并根据知识库编辑人员编辑的规则,计算各级理论传动比、减速器总效率、总传动比、各轴功率、转矩、转速等,并将这些参数值传入在其下的设计模块。
第二步,知识库设计人员进行“高速级齿轮副设计”。根据第一步“电动机选择及各轴转速、功率、转矩等”模块计算出的各项数据,根据知识库编辑人员编辑的规则,计算高速级(1到2)齿轮副的实际传动比、中心距,齿轮1、2模数、齿数、齿宽等,最终输出高速级齿轮副的三维模型。其中,其具体的流程为:首先,导入电动机、各级轴初选参数作为设计依据,其次设计高速级齿轮副传动比、中心距,齿轮1、2模数、齿数、齿宽等,最后通过特征模式在平台生成齿轮副。
第三部,知识库设计人员进行“低速级齿轮副设计”,根据第一步“电动机选择及各轴转速、功率、转矩等”模块计算出的各项数据,根据知识库编辑人员编辑的规则,计算出的各项数据计算低速级(2到3或3到4)齿轮副的实际传动比、中心距,齿轮2、3(或3、4)模数、齿数、齿宽等,最终依附高速级齿轮副输出低速级齿轮副的三维模型。
第四步,知识库设计人员进行“箱体、箱盖及轴承设计”,根据知识库编辑人员编辑的规则,编辑“箱体、箱盖及轴承设计”,根据已从生成的齿轮副模型中采集的参数按规则生成减速器箱体、箱盖及轴承的三维模型。
第五步,知识库设计人员进行“输出产品模型并出二维工程图”。根据推理结果,输出知识库设计结果,在平台保存各零件三维模型并保存三维装配体,输出二维工程图。
该产品在原动机和工作机或执行机构之间起匹配转速和传递转矩的作用,在现代机械中应用极为广泛。
减速器产品名词解释:
1到2齿轮副即为1级齿轮到2级齿轮,其中1级齿轮转速等于高速轴转速,2级齿轮转速等于中速轴转速。
2到3齿轮副即为2级齿轮到3级齿轮,其中2级齿轮转速等于中速轴转速,3级齿轮转速等于低速轴转速。
3到4齿轮副即为3级齿轮到4级齿轮,其中3级齿轮转速等于中速轴转速(与2级齿轮同轴),4级齿轮转速等于低速轴转速。
注:1、高速轴转速即电动机转速,低速轴转速即输出转速。
    2、其中若使用2到3齿轮副代表所设计的减速器为二级圆柱齿轮减速器,若使用3到4齿轮副代表所设计的减速器为三级圆柱齿轮减速器。
实施例2:
下面将结合工艺设计实施例,并参照附图图8进行详细说明,以便对本发明进行更深入的说明。
如图8所示,此图为工艺设计的加工特征知识库编辑、设计流程图由两部分组成,其中编辑流程图的过程为编辑过程由两部分组成。第一部分工艺知识库编辑人员总结加工特征,编写由加工特征推出加工方案的规则。第二部分是根据加工方案编辑流程,实现取得刀具型号规格及刀具参数的知识。设计流程图的流程是按照编辑的流程进行推理设计的,具体由选择孔加工特征、推出加工方案、选择加工方案、知识库推理模块组成,知识库设计编辑人员与知识库设计人员均按照此流程编辑或设计知识库文件。具体如下:
首先,专家编辑工艺设计实施例知识库文件,步骤如下:
第一步,根据零件的不同结构、不同材料、不同技术要求,进行零件加工工艺过程的分析,得出若干个按顺序排列的工序组合。
第二步,知识库设计编辑人员首先分析工艺设计的设计意图,定义工艺设计的加工特征、方案等参数信息,参数信息包括参数名称、参数描述、参数类型、是否是入口参数等。加工的可选参数为典型零件的各加工特征,比如孔加工特征、面加工特征、槽加工特征等,之后,知识库设计编辑人员根据各个加工特征编辑方案规则,以孔加工作为加工的特征为例,根据加工特征通过知识库推理出“方案一:钻—扩—铰”、“方案二:钻—粗镗—精镗”、“方案三:钻—粗铰—精铰”。
第三步,知识库设计编辑人员编辑选择方案的规则,根据方案编写规则,得出具体的方案。
第四步,知识库设计编辑人员编辑推出刀具型号规格及刀具参数的子流程,包括刀具型号规格及刀具参数的参数定义、确定刀具材料、确定钻头长度及直径、确定扩孔刀长度及直径、确定铰刀长度及直径、输出结果模块组成。
1)、知识库设计编辑人员编辑“输入孔特征相关参数值”模块,定义工件材料、孔的几何参数形状、刀具材料、钻头长度及直径、扩孔刀长度及直径、、铰刀长度及直径等参数信息,参数信息包括参数名称、参数描述、参数类型、小数位数、可选参数、参数性质、是否是入口参数、是否有公差等;
2)、知识库设计编辑人员编辑“确定刀具材料”模块,根据第一步“参数定义”模块输入的工件材料牌号等的数据,知识库编辑人员编辑规则,由不同的工件材料牌号对应出加工刀具涂层材料牌号;
3)、知识库设计编辑人员编辑“确定钻头长度及直径”模块,根据第一步“参数定义”模块输入的孔的深度,形状、长度的各项数据,知识库设计编辑人员将被加工孔的深度,形状、长度选为条件参数,钻头的长度和直径选为结果参数,根据不同的孔的深度,形状、长度的值对应出不同的钻头的长度值和直径值;
4)、知识库设计编辑人员编辑“确定扩孔刀长度及直径”模块,根据“确定钻头长度及直径”推理出的钻头直径以及被加工孔的深度,形状、长度,知识库设计编辑人员将钻头直径以及被加工孔的深度,形状、长度选为条件参数,将扩孔刀长度和直径选为结果参数,根据不同的钻头直径以及被加工孔的深度,形状、长度值对应出扩孔刀长度和直径值;
5)知识库设计编辑人员编辑“确定铰刀长度及直径”模块,根据“确定钻头长度及直径”和“确定扩孔刀长度及直径”模块推理出的被加工孔的直径、形状长度,以及表面精度要求,知识库设计编辑人员将加工孔的直径、形状长度,以及表面精度要求选为条件参数,将总铰刀的直径和长度选为结果参数,根据不同的加工孔的直径、形状长度,以及表面精度要求值对应出最总铰刀的直径和长度;
6)知识库设计编辑人员编辑“输出结果”模块,推理完成后输出结果报告,提供选刀参数给选刀系统,选刀系统根据选刀参数得到具体的刀具型号规格及刀具参数。
之后,知识库设计人员运行知识库文件,进行工艺设计,步骤如下:
第一步,根据零件的不同结构、不同材料、不同技术要求,进行零件加工工艺过程的分析,得出若干个按顺序排列的工序组合。
第二步,对于典型零件的各加工特征,比如孔加工特征、面加工特征、槽加工特征等,以孔加工作为加工的特征,通过知识库推理出“方案一:钻—扩—铰”、“方案二:钻—粗镗—精镗”、“方案三:钻—粗铰—精铰”。
第三步,工艺设计人员手动选择“方案一:钻—扩—铰”,工艺设计人员可以根据需要自主选择,通过知识库推理的方式推出刀具型号规格及刀具参数或选刀系统得到刀具型号规格。
第四步,知识库推理的方式推出刀具型号规格及刀具参数具体包括参数定义、确定刀具材料、确定钻头长度及直径、确定扩孔刀长度及直径、确定铰刀长度及直径、输出结果模块组成,步骤如下:
1)、知识库设计人员设计“参数定义”模块,输入工件材料,孔的几何参数形状等;
2)、知识库设计人员设计“确定刀具材料”模块,根据第一步“参数定义”模块输入的各项数据,根据知识库编辑人员编辑的规则,工艺人员根据工件材料牌号可以对应出加工刀具涂层材料牌号;
3)、知识库设计人员设计“确定钻头长度及直径”模块,根据第一步“参数定义”模块输入的各项数据,根据被加工孔的深度,形状、长度,对应一定的规则可以推理出钻头的长度和直径;
4)、知识库设计人员设计“确定扩孔刀长度及直径”模块,根据“确定钻头长度及直径”推理出的钻头直径以及被加工孔的深度,形状、长度,对应一定的规则可以推理出扩孔刀长度和直径;
5)知识库设计人员设计“确定铰刀长度及直径”模块,根据“确定钻头长度及直径”和“确定扩孔刀长度及直径”模块推理出的被加工孔的直径、形状长度,以及表面精度要求,可以推理出最终铰刀的直径和长度;
6)知识库设计人员设计“输出结果”模块,推理完成后输出结果报告,提供给选刀系统,得到刀具型号规格及刀具参数。
该产品适用于推理典型零件特征加工方案,并根据选定的加工方案推理或选出刀具型号及规格参数,在零件加工工艺中应用极为广泛。
尽管上面结合附图对本发明的实施例子进行了描述,但是本发明并不局限于上述的具体实施方式,上述的具体实施方式仅仅是示意性的,而不是限制性的,本领域的普通知识库设计人员在本发明的启示下,在不脱离本发明宗旨和权利要求所保护的范围情况下,还可以做出很多形式,这些均属于本发明的保护范围之内。

Claims (2)

1.一种全三维数字化知识库系统,该全三维数字化知识库系统包括流程控制模块、专用知识设计模块、特征设计模块、交互控制模块、公式编辑模块、搜索控制模块、计算控制模块、知识库小功能模块,其特征在于:
1)、所述流程控制模块由开始、简单结束、完整结束、参数定义、单向规则、循环规则、子流程、拆分、合并模块组成,其中 
、所述开始模块为引导整个流程开始执行,一个知识流程中只允许存在一个开始模块,在建立知识库时,开始模块就已经被预设至可视化流程编辑区的最顶层;
、所述简单结束模块是引导整个流程的终止,用在知识库结束时使用,一个线程只允许有一个简单结束模块;
、所述完整结束模块为终止整个流程,但当流程中最后一步需要返回平台进行参数驱动或下载组件时,应使用完整结束模块终止整个流程;
、所述参数定义模块是用来定义知识流程所需要的设计参数,范围是将涉及的几何尺寸(或几何参数)、几何尺寸(或几何参数)之间的约束关系、特殊含义的参数定义为设计参数;在参数定义过程中,需要定义的设计参数的内容包括参数名称、参数描述、参数类型、是否是入口参数为必填项目,在参数定义中必须要填出这四项才能建立参数;设计参数可通过KBE系统自动拾取参数信息、参数名称、参数值信息,知识库自动接收这些信息,很方便的定义知识库中用到的信息; 
、所述单向规则模块为“一进一出判断式”模块,是整个流程中的知识规则处理的重点模块,在该模块中可以定义知识规则处理有关的条件参数、结果参数,相关条件、满足条件后的赋值方式、因果关系规则;在知识设计中,进行知识规则的赋值方式、因果关系规则,进行运算,如果条件参数满足,则继续向下执行,如果条件参数均不满足,知识流程会报错并由使用者自行定义结果参数,输入时须勾选“修改参数值”选项;
、所述循环规则模块为“一进两出判断式”模块,其内容规则定义方式与“单向规则”模块相同,不同的是,“单向规则”模块中如果条件参数满足,则继续向下执行,如果条件参数均不满足,知识流程会报错并由使用者自行定义结果参数,输入时须勾选“修改参数值”选项,而“循环规则”模块中如果条件参数满足模块内所列规则的任意一条,则继续执行模块左边出口的线程,如果条件参数均不满足模块内所列知识规则,则执行模块右边出口的线程,此模块是比较灵活的,右边出口可以返回以上模块,做循环的规则处理;
、所述子流程模块在知识功能明确的流程中,将具有一定知识功能的知识规则写入一个子流程中;在知识文件建立的过程中的,将一些通用性强的、结构复杂的知识功能单独建立成为知识文件,在需要使用这些知识功能时,使用 “插入知识库文件”功能,将已编辑好的知识功能插入新的完整的知识文件中,以达到知识重用的目的;
、所述拆分模块将一条分支流程为至多四个分支流程,执行顺序为从右向左,当一个分支流程执行错误或读取到“等待所有输入”时,开始执行第二条分支流程,如无以上两种情况流程便已结束,则其他分支流程不予执行;
、所述合并模块将多条分支流程合并为一条分支流程,合并的过程中,该模块选择两种模式,在模块内容编辑区内的选项中选择“True”时,表示该模块需要等待所有连接该模块的分支流程均执行完后才向下执行; 
2)、所述专用知识设计模块在知识文件编辑中,设计人员根据自己的需求,新建具有各种功能的知识功能模块,方便设计人员的知识重用与共享;设计人员在编辑知识文件的时候,可以使用这些设计人员自定义的专用知识设计功能模块,作为知识文件的一个有特定操作的子流程,具体实现方式是拖曳设计人员自定义的专用知识设计功能模块至编辑区;
3)、所述交互控制模块又由打开本地文件模块、打开标准件库模块、打开智能件库模块、打开组件库模块、返回平台模块、分步设计结果模块组成,其中,
、所述打开本地文件模块在知识流程中如果需要打开本地的某个模型时,可以使用“打开本地文件”模块,当系统读到该模块时,推理机会暂停,切换回CAD平台,弹出打开窗口等待使用者进行下一步操作;
、所述打开标准件库模块在知识流程中如果需要下载某个标准件时,可以使用“打开标准件库”模块,当系统读到该模块时,推理机会暂停,切换回CAD平台,弹出标准件库窗口等待使用者进行下一步操作;
、所述打开智能件库模块在知识流程中如果需要下载某个智能件并进行打孔操作时,可以使用“打开智能件库”模块,当系统读到该模块时,推理机会暂停,切换回CAD平台,弹出智能件库窗口等待使用者进行下一步操作;
、所述打开组件库模块在知识流程中如果需要下载某个组件时,可以使用“打开组件库”模块,当系统读到该模块时,推理机会暂停,切换回CAD平台,弹出组件库窗口等待使用者进行下一步操作;
、所述返回平台模块在知识流程中如果需要回到CAD平台进行其他操作时,可以使用返回平台模块,当系统读到该模块时,推理机会暂停,切换回CAD平台,等待使用者进行下一步操作;
、所述分步设计结果模块与“完整结束”模块相似,与之不同的是,该模块没有结束功能,可以应用于需要分次驱动的知识流程;
4)、所述公式编辑模块由工程计算公式模块、图表计算模块、曲线计算模块组成;
所述工程计算公式模块在知识流程中如果需要公式库中的公式时可以使用“工程计算公式”模块,当系统读到该模块时,采用参数传递的方式,将知识库中的参数值传给已经选好使用的公式,通过计算,得出计算结果,将计算结果用参数传递的方式返回给知识库;其中所选的公式来源于公式库,使用者可以添加或删除公式及公式里的变量;
所述图表计算模块在知识流程中如果需要图表库中的图表时可以使用“图表计算”模块,当系统读到该模块时,采用参数传递的方式,将所用图表写入模块信息,弹出设计人员所需图表,选择所需的图表信息,并将信息返回给知识库;
所述曲线计算模块在知识流程中如果需要曲线库中的图表时可以使用“曲线计算”模块,当系统读到该模块时,采用参数传递的方式,将所用曲线表写入模块信息,弹出设计人员所需曲线表,选择所需的曲线信息,并将信息返回给知识库;
5)、所述搜索控制模块包括标准件搜索、功能属性、功能组件搜索,该模块的功能与“完整结束”模块相似,与之不同的是,该模块没有结束功能,根据推理结果对零件或组件的属性,其中包括形状、材料、规格,几何或非几何信息 或零件(或组件)的集合信息进行搜索,并经搜索信息提供给设计人员,由设计人员选择需要使用的零件(或功能组件);
6)、所述计算控制模块在知识库编辑中,设计人员根据自己的需求,根据计算控制模块提供的编写程序的小功能,完成要计算的功能;
7)、所述特征设计模块是和其它模块组合的模块,编辑特征时,设计人员需选择特征或组合特征,设置特征或组合特征的参数值或定义参数规则,保存特征相关信息;设计特征时,根据选择特征或组合特征,推理参数值,和CAD平台、KBE系统交互实现特征或组合特征的驱动,主要用于实现零件的快速设计,统一设计人员建模规范;
8)、所述知识库小功能模块由数据采集模块、公差模块、参数编辑可视化模块、知识重用模块、子流程模块组成,其中,
、所述数据采集模块采集系统储存的多种实体模型数据,通过点选采集自动零件或设计半成品模型中获得推理设计入口参数,以减少人工在二维图纸上采集数据的工作量;
、所述公差模块在系统内设有公差查询应用功能,在知识编辑时根据情况自行选用,在参数定义时,公差项选择“公差”,如果是入口参数,在设计申请窗口输入值时“公差查询”按钮会亮起,如果是出口参数,在规则定义模块添加规则时,在添加规则界面填写该参数时可点击“公差查询”按钮进行参数的选择与添加,点击公差查询后,会弹出公差选择定义界面,在公差选择定义界面可以根据参数的基本尺寸定义公差、公差等级或配合公差;
、所述参数编辑可视化模块是利用KBE系统自动拾取参数信息、参数名称、参数值信息,知识库自动接收这些信息,很方便的定义知识库中用到的信息;
、所述知识重用模块包括两种插入方式:1.在平台上选择TSK并插入(从知识库中)、2.插入本地TSK(从本机) 选择已经编写好的知识文件插入到当前知识中,实现知识或知识段落的重复利用,选择从平台插入后会回到知识列表,选择需要的知识后点击知识库管理界面上的“知识重用”按钮即可;
、所述子流程模块使知识流程的结构简化,使知识流程更加清晰,便于统一管理;在知识功能明确的流程中,将具有一定知识功能的知识规则写入一个子流程中,以使整个知识流程或主流程清晰,干练,一目了然;在知识文件建立的过程中的,将一些通用性强的、结构复杂的知识功能单独建立成为知识文件,在需要使用这些知识功能时,使用 “插入知识库文件”功能,将已编辑好的知识功能插入新的完整的知识文件中,以达到知识重用的目的;知识库子流程的功能是对模块进行封装,便于统一管理。
2.一种全三维数字化知识库系统的应用方法,该方法应用于产品设计、工艺设计、产品检验,具体按如下步骤进行:
1)在产品设计阶段,以新建的模型或三维数字化模型为载体,构建了包含产品几何和非几何信息的MBD产品数据集,以特征为基本的数据组织单元,知识库设计编辑人员首先对产品目标进行分析,使用知识编辑系统将设计专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,在编辑过程中,可随意组合面向典型的免编程可视化的向导式设计、面向设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,之后,产品设计人员运行知识库设计编辑人员编辑的知识库文件,通过输入设计参数值、参数采集、平台交互等进行推理设计,分步输出结果数据,实现和CAD平台交互,最终实现产品目标;
2)在工艺设计阶段,面向三维数字化模型,获取MBD数据集中的产品特征信息,根据这些特征信息,在工艺设计知识库系统的辅助下,知识库设计编辑人员首先对工艺路线进行分析,使用知识编辑系统将工艺专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表示工艺过程的设计,包括工艺路线、加工方法的选择、确定,制造资源的选择、确定,工装设计的要求,加工过程的规划等,生成知识库文件,在编辑过程中,可随意组合面向典型的免编程可视化的向导式设计、面向工艺设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,之后,知识库使用人员运行知识库设计编辑人员编辑的知识库文件,通过输入工艺设计参数值,系统进行工艺分析推理设计,分步输出结果数据,如:推出加工方案或实现和CAD/CAE平台交互选择加工方案;根据加工方案的选择,可以依次推理出工艺设计结果或与CAD/CAE平台交互生成工艺设计结果,包括工序、工步、刀具和切削参数、工装等,最终实现工艺设计目标;
3)在工装设计阶段,以被加工的零件为载体,构建了包含工装几何和非几何信息的MBD产品数据集,以特征为基本的数据组织单元,知识库设计编辑人员首先对产品目标进行分析,使用知识编辑系统将设计专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,在编辑过程中,可随意组合面向典型的免编程可视化的向导式设计、面向工装设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,之后,工装设计人员运行知识库设计编辑人员编辑的知识库文件,通过输入设计参数值、参数采集、平台交互等进行推理设计,分步输出结果数据,实现和CAD平台交互,最终实现工装目标;
如下详细介绍工装设计的详细的知识库文件的编辑与设计:
首先,知识库设计编辑人员编辑工装设计知识库文件,步骤如下:
Ⅰ)、知识库设计编辑人员首先对被加工零件模型进行工艺分析,根据经验,判断是否进行CAE有限元分析或数据校验;
Ⅱ)、根据分析结果选择向导式编辑方式,其编辑方式包括按面向典型的免编程可视化的向导式编辑、面向设计过程的免编程可视化的向导式编辑、面向特征的免编程可视化的向导式编辑,这三种方式在知识库构建过程中是可以自由组合使用的,主要是根据专家使用知识库系统的知识编辑模块,将专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,之后,设计人员运行知识库文件,进行知识库文件的设计;
Ⅲ)、工艺分析过程中被加工零件比较简单、通用性比较强,不需要进行CAE有限元分析,可选择按面向典型整体结构的免编程可视化的向导式设计,具体的向导式设计编辑步骤如下:
、知识库设计编辑人员进行典型零件的尺寸参数信息定义,参数信息包括参数名称、参数描述、参数类型、小数位数、可选参数、参数性质、是否是入口参数、是否有公差等;
、知识库设计编辑人员将自己的设计意图写入知识库文件,通过知识库系统编辑和特征库、工程计算公式库、曲线计算公式库、图表计算公式库、模型库(组件库、标准件库、智能件库等)、选刀系统等与CAD平台或其它支持的软件相交互的模块,实现推理的编辑;
、知识库设计编辑人员编辑输出参数的“输出最终结果”模块,指定输出文件的路径;
、知识库设计编辑人员编辑输出二维工程图的参数的“输出最终结果”模块,指定输出文件的路径;
、知识库设计编辑人员可通过知识库的设计,将设计的装配、零件模型输出二维工程图,装配、零件模型修改设计并与二维工程图进行模型验证,从而实现知识库文件的调试;
    、经模型验证后,即可输出装配及零件二维工程图,知识库文件编辑完成;
Ⅳ)、根据设计人员的经验,工艺分析过程中被加工零件比较复杂,可选择按面向工装设计全过程的向导式编辑,步骤如下:
注:步骤1、步骤2、步骤3、步骤4、……、步骤n是可以自由排序的,此处只是示意一下各个模块的使用情况;
、按步骤1知识库设计编辑人员进行步骤1零件的尺寸参数信息定义,参数信息包括参数名称、参数描述、参数类型、小数位数、可选参数、参数性质、是否是入口参数、是否有公差等;步骤2、步骤n的参数定义按照步骤1方式定义各个步骤需要定义的参数信息;
、知识库设计编辑人员编辑步骤1的向导流程,将自己的设计意图写入知识库文件,通过知识库系统编辑的交互控制模块的打开本地文件模块、打开标准件库模块、打开智能件库模块、打开组件库模块、返回平台模块、分步设计结果模块实现与模型库(组件库、标准件库、智能件库等)的编辑,实现打开本地文件、打开标准件库、打开智能件库、打开组件库、返回平台、分步设计结果的编辑;
知识库设计编辑人员编辑步骤2的向导流程,将自己的设计意图写入知识库文件,通过知识库系统编辑的特征模块实现与特征库交互的编辑,实现特征与组合特征的编辑;
知识库设计编辑人员编辑步骤3的向导流程,将自己的设计意图写入知识库文件,通过知识库系统编辑的搜索模块实现与组件库和标准件库的交互的编辑,实现搜索标准件和搜索组件库的编辑; 
知识库设计编辑人员编辑步骤n的向导流程,将自己的设计意图写入知识库文件,通过知识库系统编辑的公式编辑模块实现与公式库、曲线库、图标库的交互的编辑,实现工程计算公式、曲线计算公式、图表计算公式的编辑;
、知识库设计编辑人员编辑输出参数的“分步结束”模块,指定输出文件的路径;
、知识库设计编辑人员调试知识库文件,进行各部件装配、修改的调试;
、知识库设计编辑人员编辑输出装配及零件二维工程图的参数的“分步结束”模块,指定输出文件的路径;
、知识库设计编辑人员调试知识库文件,进行装配、零件模型输出二维工程图的调试; 
、经调试后,保存知识库文件,编辑完成;
之后,工装知识库设计人员运行知识库文件,进行工装设计,步骤如下:
Ⅴ)、工装设计人员首先对被加工零件模型进行工艺分析,根据经验,判断是否进行CAE有限元分析或数据校验;
Ⅵ)、根据分析结果选择向导式设计方式,其设计方式包括按面向典型的免编程可视化的向导式设计、面向设计过程的免编程可视化的向导式设计、面向特征的免编程可视化的向导式设计,这三种方式在知识库构建过程中是可以自由组合使用的,主要是根据专家使用知识库系统的知识编辑模块,将专家的设计经验、规范、意图等多领域和多种描述形式的设计知识显性表达出来,生成知识库文件,之后,工装设计人员运行知识库文件,进行知识库文件的设计;
Ⅶ)、工艺分析过程中被加工零件比较简单、通用性比较强,不需要进行CAE有限元分析,可选择按面向典型整体结构的免编程可视化的向导式设计,步骤如下:
、采集零件尺寸参数;
、结构推理设计,工装设计人员根据准备设计的产品,从特征库、工程计算公式库、曲线计算公式库、图表计算公式库、模型库(组件库、标准件库、智能件库等)、选刀系统等与CAD平台或其它支持的软件查找有关数据;
、下载模型并参数化驱动;
、装配、零件模型输出二维工程图输出;
、装配、零件模型修改设计并与二维工程图联动;
、将设计的装配、零件模型输出二维工程图,装配、零件模型修改设计并与二维工程图进行模型验证;
    、经模型验证后,即可输出装配及零件二维工程图,设计完成;
Ⅷ)、根据工装设计人员的经验,工艺分析过程中被加工零件比较复杂,可选择按面向工装设计全过程的向导式设计,步骤如下:
注:步骤1、步骤2、步骤3、步骤4、……、步骤n是可以自由排序的,此处只是示意一下各个模块的使用情况;
、按步骤1数据采集、步骤2数据采集、步骤n数据采集;
、结构推理设计,工装设计人员根据准备设计的产品,从特征库、工程计算公式库、曲线计算公式库、图表计算公式库、模型库(组件库、标准件库、智能件库等)、选刀系统等与CAD平台或其它支持的软件查找有关数据;
、分步进行推理设计、下载并参数化驱动;
、各部件装配、修改;
 、将设计的装配、零件模型输出二维工程图;
、装配、零件模型工程图进行模型验证;
    、经模型验证后,即可输出装配及零件二维工程图,设计完成。
CN201110271890.6A 2011-09-14 2011-09-14 全三维数字化知识库系统和知识库的应用方法 Active CN102324072B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201110271890.6A CN102324072B (zh) 2011-09-14 2011-09-14 全三维数字化知识库系统和知识库的应用方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201110271890.6A CN102324072B (zh) 2011-09-14 2011-09-14 全三维数字化知识库系统和知识库的应用方法

Publications (2)

Publication Number Publication Date
CN102324072A CN102324072A (zh) 2012-01-18
CN102324072B true CN102324072B (zh) 2015-02-04

Family

ID=45451811

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201110271890.6A Active CN102324072B (zh) 2011-09-14 2011-09-14 全三维数字化知识库系统和知识库的应用方法

Country Status (1)

Country Link
CN (1) CN102324072B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103577620A (zh) * 2012-08-07 2014-02-12 刘明隆 一种汽车制造工艺集成精益开发方法及平台
CN102866892A (zh) * 2012-09-20 2013-01-09 航天科工深圳(集团)有限公司 一种电力设备软件的开发方法及平台
CN105447016B (zh) * 2014-08-18 2018-09-14 北大方正集团有限公司 一种组件的快速搜索及重用的办法
CN104657903A (zh) * 2015-03-12 2015-05-27 四川航天系统工程研究所 基于ietm的装备综合保障系统
CN105137805B (zh) * 2015-07-31 2018-04-27 武汉开目信息技术有限责任公司 三维装配仿真中典型工艺的实现方法及装置
CN105965179B (zh) * 2016-06-01 2017-11-17 江苏科技大学 一种船体焊接工艺辅助规划系统及方法
CN107316338B (zh) * 2016-06-12 2020-05-19 中国石油化工股份有限公司 石化企业智能巡检中装置三维空间快速构建方法
KR102237041B1 (ko) * 2016-06-17 2021-04-06 미스미 코포레이숀 설계 지원 시스템과 설계 지원 방법 및 설계 지원 프로그램이 기록된 기록매체
CN106294987A (zh) * 2016-08-08 2017-01-04 国家电网公司 一种杆塔组装图自动生成方法
CN107194021B (zh) * 2016-08-17 2021-06-01 上海同豪土木工程咨询有限公司 箱梁构型的图形调整和实时评估方法
CN107436976B (zh) * 2017-07-21 2021-01-26 南京理工大学 智能道岔辅助设计系统
CN108121815B (zh) * 2017-12-28 2022-03-11 深圳开思时代科技有限公司 汽车配件查询方法、装置及系统、电子设备和介质
CN108416137B (zh) * 2018-03-02 2021-10-01 沈阳飞机工业(集团)有限公司 一种飞机制造中便于简化表达标准件划分及追踪的方法
CN108628956B (zh) * 2018-04-12 2019-02-15 北京亿维讯同创科技有限公司 产品创新设计的方法及系统
CN108804757B (zh) * 2018-04-28 2022-06-14 北京理工大学 一种基于知识的可重构机床模块选择方法
CN109614639A (zh) * 2018-10-30 2019-04-12 成都飞机工业(集团)有限责任公司 一种面向航空产品数字样机的工艺审查系统
CN111209406B (zh) * 2018-11-21 2023-06-20 中国电信股份有限公司 本体知识库实例数据维护方法和装置
CN109857395B (zh) * 2019-01-10 2022-09-09 湖南科技大学 基于开放式数控系统的凸轮轴智能磨削工艺软件数据库系统的集成应用方法
EP3686698B1 (en) * 2019-01-24 2022-04-06 ABB Schweiz AG Automated creation of modular industrial plants
CN110069472A (zh) * 2019-03-13 2019-07-30 广州明珞汽车装备有限公司 一种基于库的快速设计方法及系统
CN110083859B (zh) * 2019-03-13 2023-06-06 广州明珞汽车装备有限公司 一种快速自动设计方法及系统
CN110516808A (zh) * 2019-07-25 2019-11-29 广东省智能制造研究所 一种知识表示模型的创建方法
TWI718723B (zh) * 2019-10-25 2021-02-11 東培工業股份有限公司 軸承設計自動化出圖方法
CN110990997A (zh) * 2019-10-26 2020-04-10 重庆铁马工业集团有限公司 一种箱体参数化工艺模板的设计方案
CN111583394B (zh) * 2020-05-07 2023-11-17 金航数码科技有限责任公司 一种基于特征识别的知识化工艺性检查方法及系统
US11609772B2 (en) * 2020-09-18 2023-03-21 Red Hat, Inc. Dynamically generating guided tours for software
CN112287476B (zh) * 2020-10-13 2021-11-23 西安电子科技大学 一种知识驱动的电子设备机箱参数化模型自动生成方法
CN112989732B (zh) * 2020-12-30 2024-05-03 北京迪浩永辉技术有限公司 封装设计可制造性分析方法、系统、介质、设备及应用
CN113626948B (zh) * 2021-08-06 2024-02-09 金航数码科技有限责任公司 一种基于知识推理的自动工步设计方法
CN113434242B (zh) * 2021-08-26 2022-10-21 佛山技研智联科技有限公司 染程工艺新建方法、装置、电子设备、存储介质及管理系统
CN114186298B (zh) * 2021-11-15 2022-09-27 广东宏远新科自动化技术开发有限公司 智能机械零件制造营运方法及系统
CN113868725B (zh) * 2021-11-30 2022-04-05 徐工集团工程机械股份有限公司 基于结构化知识的三维工艺规程引导式方法及系统
CN116238834B (zh) * 2022-12-08 2024-01-02 湖北凯乐仕通达科技有限公司 立体仓库系统的作业路径规划方法、装置及计算机设备
CN117194480B (zh) * 2023-11-08 2024-01-30 广州电力工程监理有限公司 一种基于现场场景数据验证的计算辅助系统

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102163241A (zh) * 2010-02-20 2011-08-24 同济大学 Cad三维产品数字化模型开发设计平台

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102163241A (zh) * 2010-02-20 2011-08-24 同济大学 Cad三维产品数字化模型开发设计平台

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
磨床砂轮架及床身三维参数化CAD系统的研究与应用;邓远雄;《中国优秀硕士学位论文全文数据库》;湖南大学;20041009;全文 *

Also Published As

Publication number Publication date
CN102324072A (zh) 2012-01-18

Similar Documents

Publication Publication Date Title
CN102324072B (zh) 全三维数字化知识库系统和知识库的应用方法
CN106649908B (zh) 基于设计逻辑的参数化建筑设计工法
McKinney et al. Generating, evaluating and visualizing construction schedules with CAD tools
CN101877015B (zh) 零件加工的三维标注方法
US6823342B2 (en) Method and system for capturing, managing, and disseminating manufacturing knowledge
CN111177825B (zh) 一种参数化建模方法和装置
CN109035060A (zh) 一种结构化工艺管理系统及管理方法
Edalew et al. A computer-based intelligent system for automatic tool selection
CN103473407A (zh) 一种云制造环境下机床装备服务能力建模方法
CN111796815A (zh) 一种全自动可视化软件搭建平台的应用
CN105808244A (zh) 一种基于工作流的动态数据可视化分析工具
CN115494796B (zh) 一种基于step-nc的边云协同数字孪生系统
CN108520139A (zh) 一种多维度工装设计知识组件构建方法
CN111767606A (zh) 一种飞机装配工艺文件快速编制方法
CN102609592A (zh) 基于去除特征识别的机加工序模型顺序建模方法
CN116628798A (zh) 基于同一数据源的混凝土坝施工仿真进度动态可视化方法
CN105224300B (zh) 一种基于系统元视图构造系统视图的可视建模方法
CN114722475A (zh) 一种基于cerd-mr体系的园林植物维护可视化方法
Opas et al. Automatic process plan generation in an operative process planning system
Rozenfeld et al. Automated process planning for parametric parts
CN104462719B (zh) 一种用于非开挖水平定向钻机快速设计的方法
CN109086403B (zh) 一种面向分类用户的三维电子随机文件动态创建方法
CN113988776A (zh) 一种地下洞室bim模型动态管理系统及方法
Weimer et al. Integrated Data Management in Factory Planning and Factory Operation. An Information Model and its Implementation
Wei et al. 3D Parametric design for steel headframe of coal mine based on Solidworks

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB03 Change of inventor or designer information

Inventor after: Yuan Na

Inventor after: Liu Juanjuan

Inventor after: Gao Chunxia

Inventor after: Ai Yong

Inventor after: Liu Zhixiong

Inventor after: Cao Fang

Inventor after: Yao Peng

Inventor after: Guo Chenjuan

Inventor before: Yuan Na

Inventor before: Liu Juanjuan

Inventor before: Gao Chunxia

Inventor before: Ai Yong

Inventor before: Liu Zhixiong

Inventor before: Cao Fang

Inventor before: Yao Peng

COR Change of bibliographic data

Free format text: CORRECT: INVENTOR; FROM: YUAN NA LIU JUANJUAN GAO CHUNXIA AI YONG LIU ZHIXIONG CAO FANG YAO PENG TO: YUAN NA LIU JUANJUAN GAO CHUNXIA AI YONG LIU ZHIXIONG CAO FANG YAO PENG GUO CHENJUAN

C14 Grant of patent or utility model
GR01 Patent grant
PE01 Entry into force of the registration of the contract for pledge of patent right

Denomination of invention: Full three-dimensional digital knowledge base system and application method of knowledge base

Effective date of registration: 20150318

Granted publication date: 20150204

Pledgee: Xi'an innovation financing Company limited by guarantee

Pledgor: Xi'an Eportsoft Co., Ltd.

Registration number: 2015990000204

PLDC Enforcement, change and cancellation of contracts on pledge of patent right or utility model
PC01 Cancellation of the registration of the contract for pledge of patent right
PC01 Cancellation of the registration of the contract for pledge of patent right

Date of cancellation: 20170503

Granted publication date: 20150204

Pledgee: Xi'an innovation financing Company limited by guarantee

Pledgor: Xi'an Eportsoft Co., Ltd.

Registration number: 2015990000204