CN102129978A - 半导体元件的形成方法 - Google Patents

半导体元件的形成方法 Download PDF

Info

Publication number
CN102129978A
CN102129978A CN201010180774.9A CN201010180774A CN102129978A CN 102129978 A CN102129978 A CN 102129978A CN 201010180774 A CN201010180774 A CN 201010180774A CN 102129978 A CN102129978 A CN 102129978A
Authority
CN
China
Prior art keywords
conductive layer
layer
semiconductor element
opening
dummy gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201010180774.9A
Other languages
English (en)
Other versions
CN102129978B (zh
Inventor
曹学文
许光源
詹博文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN102129978A publication Critical patent/CN102129978A/zh
Application granted granted Critical
Publication of CN102129978B publication Critical patent/CN102129978B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Composite Materials (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供一种半导体元件的形成方法,包括:提供一基材;形成一虚设栅极(dummy gate)于该基材之上;形成一介电材料围绕该虚设栅极;移除该虚设栅极,以于该介电材料中形成一开口;之后,形成一功函数金属层以部分地填充该开口;用一导电层填充该开口的剩余部分,使用多晶硅取代法(polysilicon substitute method)与旋转涂布工艺(spin coating process)其中之一。本发明能够填充导电材料至沟槽中而不会有孔隙或气隙。

Description

半导体元件的形成方法
技术领域
本发明涉及一半导体元件的形成方法,尤其涉及一种半导体元件的栅极的形成方法。
背景技术
半导体集成电路(integrated circuit,IC)工业快速的发展。随着IC材料与设计上的发展,使得IC每一个世代拥有比前一个世代小且复杂的电路。然而,这些发展也提高了IC工艺的复杂度,为了实现这些先进IC,在IC的工艺上也需要对等的发展。IC发展的过程中,当IC几何尺寸(例如工艺所能得到的最小元件(或线))逐渐缩小的同时,功能元件的密度(例如每单位芯片面积中的内连线元件)随之逐渐增加。
一些半导体工艺中需要用导电材料(例如金属材料)填充沟槽或开口。然而,随着IC几何尺寸持续缩小的同时,填充导电材料到沟槽或开口中且不产生孔隙(void)或缺口(gap)变得更加的困难。
因此,目前为了元件的特定目的而填充导电材料到沟槽中所存在的各种方法中,没有任何一种方法可以满足每一方面的需求。
发明内容
为了解决现有技术的问题,本发明提供一种半导体元件的形成方法,包括:提供一基材;形成一虚设栅极(dummy gate)于该基材之上;形成一介电材料围绕该虚设栅极;移除该虚设栅极,以于该介电材料中形成一开口;形成一硅材料于该开口中;形成一第一导电层于该硅材料之上;形成一第二导电层于该第一导电层之上;以及进行一退火工艺(anneal process),使得该第一导电层取代位于该开口中的硅材料。
本发明也提供一种半导体元件的形成方法,包括以下步骤:提供一基材;形成一虚设栅极(dummy gate)于该基材之上;形成一介电材料围绕该虚设栅极;移除该虚设栅极,以于该介电材料中形成一开口;形成一浸湿层(wettinglayer)于该开口中;以及利用旋转涂布工艺(spin coating process)形成一导电层于该浸湿层之上。
本发明另提供一种半导体元件的形成方法,包括以下步骤:提供一基材;形成一虚设栅极(dummy gate)于该基材之上;形成一介电材料围绕该虚设栅极;移除该虚设栅极,以于该介电材料中形成一开口;形成一功函数金属层(work function metal layer)以部分填充该开口;以及用一导电层填充该开口的剩余部分,使用多晶硅取代法(polysilicon substitute method)与旋转涂布工艺(spin coating process)其中之一。
本发明能够填充导电材料至沟槽中而不会有孔隙或气隙。
为让本发明的上述和其他目的、特征、和优点能更明显易懂,下文特举出优选实施例,并配合所附附图,作详细说明如下:
附图说明
图1为一流程图,用以说明本发明半导体元件的形成法的流程。
图2~图17为一系列剖面图,用以说明依据图1的方法中各个工艺阶段。
其中,附图标记说明如下:
11~半导体元件的形成方法
13~提供一基材
15~形成一虚设栅极
17~形成一介电材料围绕虚设栅极
19~移除虚设栅极,以于介电材料中形成开口
21~填充导电材料于开口中
35~半导体元件
40~基材
45~浅沟隔离结构特征(STI)
50~介面层
200~栅极介电层
210~栅极电极层
220~栅极结构
230~轻掺杂源极/漏极区(LDD)
240~栅极间隙壁
250~重掺杂源极/漏极区(S/D)
260~层间介电层(ILD)
270~化学机械研磨工艺(CMP)
275~沟槽(或开口)
275A~275B~275C~沟槽
276~沟槽275的宽度
276A~沟槽275A的宽度
277~沟槽275的深度
277A~沟槽275A的深度
280~金属层
290~硅材料
300~导电层
305~导电层300的厚度
310~导电层
320~退火工艺
330~硅化物层
340~化学机械研磨工艺(CMP)
345~栅极结构
348~介面层
350~高介电常数(high-k)介电层
355~栅极结构
370~处理工艺
380~材料层
385~材料层380的厚度
400~导电层
420~化学机械研磨工艺(CMP)
430~栅极结构
448~介面层
450~高介电常数(high-k)介电层
460~栅极结构
具体实施方式
以下特举出本发明的实施例,并配合所附附图作详细说明。以下实施例的元件和设计是为了简化本发明,并非用以限定本发明。举例而言,说明书中提及形成第一特征位于第二特征之上,其包括第一特征与第二特征是直接接触的实施例,另外也包括于第一特征与第二特征之间另外有其他特征的实施例,因此,第一特征与第二特征并非直接接触。此外,本发明于各个实施例中可能使用重复的参考附图标记及/或用字。这些重复附图标记或用字是为了简化与清晰的目的,并非用以限定各个实施例及/或所述结构之间的关系。
请参见图1,该图显示一半导体元件的形成方法11的流程图。图2-图17显示半导体元件于各个工艺阶段的剖面图。半导体元件可包括集成电路芯片,系统单芯片(system on chip,SoC)或上述的部分,其可包括各种无源与有源的微电子元件,例如电阻、电容、电感、二极管、金属氧化物半导体场效应晶体管(metal-oxide semiconductor field effect transistors,MOSFET)、互补式金属氧化物半导体(complementary metal-oxide semiconductor,CMOS)晶体管、双极结型晶体管(bipolar junction transistors,BJT)、横向扩散场效应晶体管(laterally diffused MOS,LDMOS)、高功率(high power MOS transistor)或其他类型的晶体管。此处须注意的是,为了了解本发明的发明概念,所以简化图2-图17。因此,可于图1的方法之前、期间或之后增加额外的工艺,且有些工艺在此处仅简化说明。
请参见图1,方法11起始于方框113,其中提供基材。方法11接着进行方框15,其中形成虚设栅极(dummy gate)于基材上。方法11接着进行方框17,其中介电材料围绕虚设栅极。方法11接着进行方框19,其中移除虚设栅极,以于介电材料中形成开口。方法11接着进行方框21,其中填充导电材料于开口中。
请参见图2,依据图1的方法11制得的半导体元件35。半导体元件35具有基材40。基材40是掺杂P型杂质(例如硼)的硅基材(例如P型基材)。另外的,基材40也可是其他合适的半导体材料。举例而言,基材40可以是掺杂N型杂质(例如磷或砷)的硅基材(例如N型基材)。基材40可另外由其他适合的元素半导体组成,例如钻石或锗;适合的化合物半导体,例如碳化硅(silicon carbide)、砷化铟(indium arsenide)或磷化铟(indium phosphide);或适合的合金半导体,例如硅碳化锗(silicon germanium carbide),磷砷化镓(gallium arsenic phosphide)、磷化镓铟(gallium indium phosphide)。此外,基材40可包括外延层(epi layer),为了增加性能可以是应变(strained)基材,或可包括绝缘层上覆硅(silicon-on-insulator,SOI)基材。
请再次参见图2,浅沟隔离结构特征(shallow trench isolation,STIfeature)45形成于基材40中。借由蚀刻基材40中的凹口(或沟槽),之后填充介电材料于凹口中以形成浅沟隔离结构特征(STI)45。于本实施例中,浅沟隔离结构特征(STI)45的介电材料包括氧化硅。于另一实施例中,浅沟隔离结构特征(STI)45的介电材料包括氮化硅、氮氧化硅、掺杂氟的硅酸盐(fluoride-doped silicate,FSG)、及/或本领域普通技术人员所熟知的低介电常数(low-k)介电材料。
之后,可视需要的(optionally)形成介面层(interfacial layer)50于基材40上。介面层50由原子层沉积工艺(atomic layer deposition,ALD)制得,且包括二氧化硅(SiO2)。
栅极介电层200接着形成于介面层50之上。栅极介电层200是由原子层沉积工艺(ALD)制得。栅极介电层包括高介电常数(high-k)材料。高介电常数材料具有一介电常数大于二氧化硅(SiO2)的介电常数(大约为4)。于一实施例中,栅极介电层200包括氧化铪(hafnium oxide,HfO2),其介电常数值为约18~40。于另一实施例中,介电层200可包括ZrO2、Y2O3、La2O5、Gd2O5、TiO2、Ta2O5、HfErO、HfLaO、HfYO、HfGdO、HfAlO、HfZrO、HfTiO、HfTaO或SrTiO。栅极电极层210接着形成于栅极介电层200之上。栅极电极层210包括多晶硅材料。
请参见图3,利用本领域普通技术人员所熟知的光刻工艺(photolithography process)图案化介面层50、栅极介电层200与栅极电极层210,以形成半导体元件35的栅极结构220(也称为栅极堆叠结构)。之后,轻掺杂源极/漏极区(也称为LDD)230形成于栅极结构220任一侧的基材40中。轻掺杂源极/漏极区(LDD)230可由本领域普通技术人员所熟知的离子注入工艺(ion implantation process)或扩散工艺(diffusion process)制得。N型杂质(例如磷或砷)可用于形成半导体元件35,以作为NMOS元件,而P型杂质(例如硼)可用于形成半导体元件35,以作为PMOS元件。
请参见图4,利用本领域普通技术人员所熟知的沉积工艺与蚀刻工艺(例如各向异性蚀刻工艺)形成栅极间隙壁240于基材40上与栅极结构220的侧壁上。栅极间隙壁240包括一合适的介电材料,例如氮化硅、氧化硅、碳化硅、氮氧化硅或上述的组合。之后,重掺杂源极与漏极区域250(也称为S/D区域)形成于栅极间隙壁240任一侧的基材40中。重掺杂源极与漏极区域(S/Dregions)250可由本领域普通技术人员所熟知的离子注入工艺(ion implantationprocess)或扩散工艺(diffusion process)制得。N型杂质(例如磷或砷)可用于形成半导体元件35,以作为NMOS元件,而P型杂质(例如硼)可用于形成半导体元件35,以作为PMOS元件。如图4所示,重掺杂源极与漏极区域(S/Dregions)250对准于栅极间隙壁240的最外侧边界。由于不需要光刻工艺定义出重掺杂源极与漏极区域(S/D regions)250的边界,因此,重掺杂源极与漏极区域(S/D regions)250是由“自动对准”方法形成。对半导体元件235进行一或多个退火工艺,以活化重掺杂源极与漏极区域(S/D regions)250。
请参见图5,层间介电层(inter-layer dielectric layer,ILD)260形成于基材40与栅极结构220之上。层间介电层(ILD)260可由化学气相沉积法(chemicalvapor deposition,CVD)、高密度等离子体化学气相沉积法(high density plasmaCVD)、旋转涂布法(spin-on)、溅镀(sputtering)或其他适合的方法形成。于一实施例中,层间介电层(ILD)260包括氧化硅。于另一实施例中,层间介电层(ILD)260包括氮氧化硅、氮化硅或低介电常数(1ow-k)材料。
请参见图6,对层间介电层(ILD)260进行化学机械研磨工艺(chemical-mechanical-polishing process,CMP)270,以暴露栅极结构220的上表面。进行化学机械研磨工艺(CMP)270之后,栅极结构220的上表面大体上与位于栅极结构220任一侧的层间介电层(ILD)260共平面。
请参见图7,移除栅极电极层210,因此形成沟槽(或开口)275取代栅极电极层210。可用本领域普通技术人员所熟知的湿式蚀刻或干式蚀刻工艺移除栅极电极层210,而半导体元件35的剩余各层维持大体上未被蚀刻(un-etched),未被蚀刻的各层包括栅极介电层200,栅极间隙壁240与层间介电层(ILD)260。既然栅极电极层260被移除,所以其称为“虚设栅极电极(dummy gate electrode)”。
金属层280接着形成于沟槽275中且位于栅极介电层200之上。金属层280包括TiN、TaN、TaC、TaSiN、WN、TiAl、TiAlN或上述的组合。金属层280可由化学气相沉积法(CVD)、物理气相沉积法(physical vapor depositionprocess,PVD)或其他适合的方法形成。金属层280调整半导体元件35的功函数,以达到所需的临界电压(threshold voltage,Vt)。因此,金属层280也可称为功函数金属层。
沟槽275目前具有宽度276为约10nm~20nm,深度277为约15nm~25nm。于一实施例中,宽度276可小于10nm,深度277可大于25nm。
接着,依据图1的方法11的一实施例,讨论导电材料填充到沟槽275中的工艺。请参见图8,形成硅材料290以填充沟槽275。于本实施例中,硅材料290包括多晶硅。于另一实施例中,硅材料290包括非晶硅。请再次参见图8,使用本领域普通技术人员所熟知的低压化学气相沉积法(lowpressure chemical vapor deposition,LPCVD)形成多晶硅材料290,其进行于相对较低的温度(比起传统的CVD工艺的温度范围),温度从约400℃至约450℃。选择低温的原因,是因为不要让重掺杂源极/漏极区(S/D)250、栅极介电层200、与功函数金属层280受到伤害,因为当进行形成多晶硅材料290的工艺时,这些层无法抵挡高于450℃的温度。
之后,导电层300形成于多晶硅材料290之上。导电层300由物理气相沉积法(PVD)制得,其具有厚度305为约200nm~600nm。用于形成导电层300的物理气相沉积法(PVD)所使用的靶材(target)具有相对低硅含量,例如硅含量为约0.4%~0.6%。结果,得到的导电层300具有低硅含量。于图8中的导电层300大部分包括是铝,于另外实施例中,大部分也可包括铜或钨。导电层310形成于导电层300之上。导电层310由物理气相沉积法(PVD)制得,且其包括钛。用于形成导电层310的物理气相沉积法(PVD)是于大约25℃的室温下进行。于另一实施例中,导电层310可包括不同于钛的材料,但是要容易与硅反应形成硅化物(silicide),例如镍或钴。
请参见图9,对半导体元件35进行一退火工艺320。退火工艺320进行的温度为约350℃~450℃,例如约400℃。进行退火工艺320的时间为约2~3分钟。进行退火工艺320的期间,多晶硅材料290中的硅原子会被导电层300吸收。此时,导电层300中的原子(于此实施例中为铝原子)移动到沟槽275中,取代被导电层吸收的硅原子。
之前提到的沟槽275的深度277为约15~25nm。多晶硅材料290填充沟槽275,且其厚度并不会大于深度277很多。例如,多晶硅材料的厚度为约30nm。之前提到的导电层300的厚度305为200nm~600nm,此厚度大于多晶硅材料290的厚度许多。导电层300与多晶硅材料290的厚度差异越大,越可以使较多的硅原子被导电层300吸收,同样的,硅被导电层300中的铝原子取代效率较佳以填充沟槽275。此外,由于使用低硅含量的物理气相沉积(PVD)靶材,所以导电层300具有低硅含量,表示被导电层300吸收的硅原子(来自于多晶硅材料290)将不会太快达到饱和的阶段。这样一来,可以使硅吸收工艺(silicon absorption process)变得更有效率。此处须注意的是,硅吸收工艺可部分发生于退火工艺320之前,与发生于形成导电层300的期间,虽然其速率较低。退火工艺320可帮助或加速硅吸收工艺。
此外,被导电层300吸收的硅原子可与其上的导电层310反应,以形成硅化物(silicide)层330于导电层300与310之间。于图9的一实施例中,由于导电层310包括钛,因此硅化物层330硅化钛。硅化钛的形成可降低导电层300中的硅含量,使导电层300更容易吸收较多的硅原子。因此,导电层310也有助于硅吸收工艺。
请参见图10,大体上所有的多晶硅材料290的硅原子被导电层300吸收,且沟槽275目前填充导电层300。请参见图11,对半导体元件35进行化学机械研磨工艺(CMP)340,以移除导电层310、硅化物层330、与位于沟槽275之外的导电层300。进行化学机械研磨工艺(CMP)340之后,导电层300大体上与层间介电层(ILD)260共平面。因而由栅极介电层200、金属层280与导电层300形成栅极结构345。栅极介电层200作为栅极结构345的栅极介电部分,且导电层300与金属层280一起形成栅极结构345的栅极电极部分。特别的是,导电层300(也称为填充金属)作为栅极结构345的主要导电部分,而金属层280用以调整栅极结构345的功函数。
依据图1的方法11的一实施例,图2-图11显示多晶硅取代栅极填充方法(polysilicon substitute gate filling method)。于目前已知的技术中,标准的化学气相沉积法(CVD)或物理气相沉积法(PVD)用于将导电材料填充到沟槽中,以形成金属栅极电极。然而,因为沟槽275相对的窄(沟槽275的宽度为约10nm~20nm),很难使用传统的化学气相沉积法(CVD)或物理气相沉积法(PVD)完整的填充沟槽275,而不存在孔隙(void)或气隙(air gap)。借由使用上述的多晶硅取代栅极填充方法(polysilicon substitute gate filling method),可有效地填充导电材料300至相对较窄的沟槽275中,而不会有孔隙或气隙。
接着,下文将讨论多晶硅取代栅极填充方法的另外实施例。对于此实施例的初始工艺步骤大体上类似于图2-图6。为了简化说明,类似的结构特征使用相同的附图标记。唯一的差别在于,于另一实施例中,包括氧化硅的栅极介电层200(图2)被高介电常数(high-k)材料取代。栅极介电层200作为虚设层且之后将会被移除。可以不形成非必须的(optional)介面层50。
请参见图12,于另一实施例中,栅极介电层200随着栅极电极层210一起被移除。移除栅极介电层200与栅极电极层210以构成沟槽275A。介面层348可视需要的(optionally)形成于沟槽275A中。接着形成高介电常数(high-k)栅极介电层350于沟槽275A中,且位于介面层248之上。高介电常数(high-k)栅极介电层350包括如图2的栅极介电层200所述的高介电常数材料。接着,金属层280形成于高介电常数(high-k)栅极介电层350之上,以部分填充沟槽275A。沟槽275A目前具有宽度276A与深度277A。宽度276A小于深度276(图7)。
之后,制备本实施例的方法大体上类似于上述讨论的图8-图11。因此,形成多晶硅材料层290,以填充沟槽275A,形成导电层300于多晶硅材料层290之上,形成导电层310于导电层300之上,借由退火工艺320使多晶硅材料层290被导电层300吸收,同时也形成硅化物层330。最后,导电层300取代沟槽275A中的多晶硅材料290,且进行化学机械研磨工艺(CMP)340,以移除位于沟槽275A之外的材料,因此,导电层300大体上与层间介电层260共平面。图13显示半导体元件35于此工艺阶段的剖面图。栅极结构355因此由高介电常数介电层350、金属层280与导电层300所组成。高介电常数介电层350作为栅极结构355的栅极介电部分,且导电层300与金属层280一起形成栅极结构355的栅极电极部分。导电层300(也称为填充金属)作为栅极结构355的主要导电部分,而金属层280用以调整栅极结构355的功函数。
图12-图13显示多晶硅取代栅极填充方法(polysilicon substitute gatefilling method)的另一实施例,其利用“后高介电常数(high-k last)”方法制作栅极结构355,其中图2-图11所示的多晶硅取代栅极填充方法利用“后栅极(gate last)”方法。特别的是,此另一实施例中形成虚设栅极介电层200,其随着虚设栅极电极层210一起被移除。移除虚设层200与210之后,形成高介电常数介电层350。另外,由于“后高介电常数(high-k last)”方法会得到沟槽275A的宽度276A小于宽度276(图7),因此更难使用传统的化学气相沉积法(CVD)或物理气相沉积法(PVD)完整的填充沟槽275,而不存在孔隙(void)或气隙(air gap)。同样的,类似于上述图8-图10所述的理由,此另一实施例所使用的多晶硅取代栅极填充方法同样能填充沟槽275A,且大体上不存在孔隙。
图14-图16显示图1方法11的另一实施例的工艺阶段的剖面图。对于此实施例的初始工艺步骤大体上类似于图2-图6所述的多晶硅取代栅极填充方法(polysilicon substitute gate filling method)。为了简化说明,类似的结构特征使用相同的附图标记。请参见图14,形成重掺杂源极/漏极区(S/D)250、栅极介电层200与金属层280,且移除栅极电极层210,以形成沟槽275B。此时,半导体元件35被放置于充满氮气的环境中,例如(图中未显示)充满氮气的腔体(chamber)。对半导体元件35进行一处理工艺370。处理工艺370首先包括形成材料层380于半导体元件35的暴露表面上,包括沟槽257B的暴露表面。如图14所示的实施例,材料层380包括氧化钛。材料层380由旋转涂工艺(spin coating process)(或称为spin-on工艺)形成,其中该半导体元件于旋转速度每分钟3000转速下(rpm)持续10秒。材料层380的厚度385为约2nm~3nm。形成材料层380之后,处理工艺370接着对半导体元件35进行烘烤,于约160℃下持续约30分钟。
请参见图15,利用另一旋转涂布法形成导电层400于材料层380之上,其中半导体元件35是于旋转速度每分钟600转速下(rpm)持续10秒。导电层400包括铝,如显示于图15的实施例,但于其他实施例中也可包括铜或钨。导电层400填充沟槽275B。此处,导电层380作为导电层400的一浸湿层(wetting layer),所以可降低导电层400于半导体元件35暴露表面上产生聚集(aggregation)或结块(agglomeration)的现象。因此,导电层400能够大体上填充沟槽275而不产生孔隙或气隙。材料层380的厚度385之所以选择大于约2nm,是因为可降低导电层400产生聚集(aggregation)或结块(agglomeration)的现象。另外,导电层380可降低导电层400扩散到相邻的各层中,例如扩散到栅极间隙壁240中。因此,材料层380作为扩散阻挡层(diffusion blocking layer),也作为一浸湿层。
于形成导电层400之后,将半导体元件35于150℃下烘烤10分钟。之后,半导体元件35于温度300℃下持续30分钟进行退火。请参见图16,对半导体元件35进行化学机械研磨工艺420,以移除位于沟槽275B之外的导电层400。因此,由高介电常数(high-k)介电层200、金属层280与导电层400形成栅极结构430。高介电常数介电层200作为栅极结构430的栅极介电部分,且导电层400与金属层280一起形成栅极结构430的栅极电极部分。导电层400(也称为填充金属)过为栅极结构430的主要导电部分,而金属层280用以调整栅极结构430的功函数。既然图14-图16利用旋转涂布工艺形成栅极结构430,因此,此实施例也可称为旋转涂布栅极填充方法(spin coating gatefilling method)。
此处须注意的是,图14-图16显示利用上述讨论的“后栅极(gate last)”方法。图17显示旋转涂布栅极填充方法(spin coating gate filling method)的另一实施例。此另一实施例利用上述图12-图13所述的“后高介电常数(high-klast)”方法。因此,虚设栅极介电层200随着虚设栅极210一起被移除,以形成沟槽275C,且视需要的(optional)介面层448与高介电常数介电层450可形成于沟槽中。此旋转涂布栅极填充方法(spin coating gate filling method)的另一实施例的后续工艺大体上类似于图14-图16所述的工艺。因此,形成金属层280,以部分填充沟槽275C,利用旋转涂布法形成浸湿层380于金属层280之上,且利用另一旋转涂布法形成导电层400以填充沟槽275C。最后,进行化学机械研磨工艺(CMP),用以移除位于沟槽275C之外的导电层400。因此,由高介电常数(high-k)介电层450、金属层280与导电层400形成栅极结构460。高介电常数介电层450作为栅极结构460的栅极介电部分,且导电层400与金属层280一起形成栅极结构460的栅极电极部分。导电层400作为栅极结构460的主要导电部分,而金属层280用以调整栅极结构460的功函数。
此处须注意的是,可进行额外的工艺步骤,以完成半导体元件35的制法。举例而言,额外的工艺可包括沉积无源层(passivation layers),形成接触插塞(contact),与形成内连线结构(interconnect structure)(例如导线(lines)、导通孔(vias)、金属线(metal layers)与对元件提供电性连接的层间介电层,其中元件包括金属栅极)。为了简化说明,此处并未叙述这些额外的工艺。依据设计者的需求与工艺的需要,可结合上述讨论的各种实施例的工艺。
虽然本发明已以数个优选实施例揭示如上,然其并非用以限定本发明,任何本领域普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视所附的权利要求所界定的范围为准。

Claims (10)

1.一种半导体元件的形成方法,包括:
提供一基材;
形成一虚设栅极于该基材之上;
形成一介电材料围绕该虚设栅极;
移除该虚设栅极,以于该介电材料中形成一开口;
形成一硅材料于该开口中;
形成一第一导电层于该硅材料之上;
形成一第二导电层于该第一导电层之上;以及
进行一退火工艺,使得该第一导电层取代位于该开口中的硅材料。
2.如权利要求1所述的半导体元件的形成方法,其中该开口的宽度为约10nm~20nm;该开口的深度为约10nm~30nm;以及形成该第一导电层,使得该第一导电层的深度为约200nm~600nm。
3.如权利要求1所述的半导体元件的形成方法,其中形成该硅材料的方法为低压化学气相沉积法;形成该第一导电材料的方法为物理气相沉积法,其中使用于物理气相沉积法的一靶材的硅含量小于X,X为约0.4%~0.6%。
4.如权利要求1所述的半导体元件的形成方法,其中进行该退火工艺,使得该硅材料大体上被第一导电层吸收,且被吸收的硅材料的一部分与该第二导电层反应,以形成一硅化物界面介于该第一导电层与该第二导电层之间。
5.如权利要求1所述的半导体元件的形成方法,进行一退火工艺之后,还包括:对该半导体元件进行一化学机械研磨工艺,以移除位于该开口之外的各种材料层。
6.如权利要求1所述的半导体元件的形成方法,移除该虚设栅极之后,还包括:形成一高介电常数栅极介电层于该开口中,以及形成一功函数金属层位于该高介电常数栅极介电层之上,其中该硅材料位于该功函数金属层之上。
7.一种半导体元件的形成方法,包括以下步骤:
提供一基材;
形成一虚设栅极于该基材之上;
形成一介电材料围绕该虚设栅极;
移除该虚设栅极,以于该介电材料中形成一开口;
形成一浸湿层于该开口中;以及
利用旋转涂布工艺形成一导电层于该浸湿层之上。
8.如权利要求7所述的半导体元件的形成方法,还包括:
形成该浸湿层之后与形成该导电层之前,于约160℃下烘烤该浸湿层约30分钟;
形成该导电层之后,于约150℃下烘烤该导电层约10分钟;以及
之后,于约300℃下进行一退火工艺约30分钟。
9.如权利要求7所述的半导体元件的形成方法,其中形成该浸湿层与形成该导电层各自于充满氮气的环境下进行。
10.一种半导体元件的形成方法,包括以下步骤:
提供一基材;
形成一虚设栅极于该基材之上;
形成一介电材料围绕该虚设栅极;
移除该虚设栅极,以于该介电材料中形成一开口;
形成一功函数金属层以部分填充该开口;以及
用一导电层填充该开口的剩余部分,使用多晶硅取代法与旋转涂布工艺其中之一。
CN201010180774.9A 2010-01-14 2010-05-14 半导体元件的形成方法 Expired - Fee Related CN102129978B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/687,714 2010-01-14
US12/687,714 US8093117B2 (en) 2010-01-14 2010-01-14 Method of forming a metal gate

Publications (2)

Publication Number Publication Date
CN102129978A true CN102129978A (zh) 2011-07-20
CN102129978B CN102129978B (zh) 2013-01-23

Family

ID=44258871

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201010180774.9A Expired - Fee Related CN102129978B (zh) 2010-01-14 2010-05-14 半导体元件的形成方法

Country Status (2)

Country Link
US (1) US8093117B2 (zh)
CN (1) CN102129978B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102956456A (zh) * 2011-08-19 2013-03-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN102956542A (zh) * 2011-08-19 2013-03-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103377899A (zh) * 2012-04-25 2013-10-30 中芯国际集成电路制造(上海)有限公司 金属栅极制造方法和cmos制造方法
CN104103502A (zh) * 2013-04-02 2014-10-15 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8357603B2 (en) * 2009-12-18 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate fill and method of making
DE102010001403B4 (de) * 2010-01-29 2012-04-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Austauschgateverfahren auf der Grundlage eines Umkehrabstandhalters, der vor der Abscheidung des Austrittsarbeitsmetalls aufgebracht wird
US8609495B2 (en) * 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
US9034711B2 (en) * 2011-03-11 2015-05-19 Globalfoundries Singapore Pte. Ltd. LDMOS with two gate stacks having different work functions for improved breakdown voltage
US20120313149A1 (en) * 2011-06-09 2012-12-13 Beijing Nmc Co., Ltd. Semiconductor structure and method for manufacturing the same
CN103077969B (zh) * 2011-10-26 2016-03-30 中国科学院微电子研究所 一种mos器件及其制造方法
US9111783B2 (en) * 2012-04-13 2015-08-18 Renesas Electronics Corporation Semiconductor devices with self-aligned source drain contacts and methods for making the same
US20130299920A1 (en) * 2012-05-08 2013-11-14 Haizhou Yin Semiconductor device and method for manufacturing the same
US8921178B2 (en) * 2012-05-16 2014-12-30 Renesas Electronics Corporation Semiconductor devices with self-aligned source drain contacts and methods for making the same
CN103545179B (zh) * 2012-07-10 2016-05-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103545178A (zh) * 2012-07-10 2014-01-29 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
CN103545180B (zh) * 2012-07-10 2017-07-14 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
US9136206B2 (en) * 2012-07-25 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Copper contact plugs with barrier layers
US10038063B2 (en) 2014-06-10 2018-07-31 International Business Machines Corporation Tunable breakdown voltage RF FET devices
KR102235612B1 (ko) 2015-01-29 2021-04-02 삼성전자주식회사 일-함수 금속을 갖는 반도체 소자 및 그 형성 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627488B2 (en) * 2000-06-29 2003-09-30 Hynix Semiconductor Inc. Method for fabricating a semiconductor device using a damascene process
CN101253602A (zh) * 2005-08-30 2008-08-27 英特尔公司 具有在退火的高-k栅介电层上形成的金属栅电极的半导体器件
US20080242012A1 (en) * 2007-03-28 2008-10-02 Sangwoo Pae High quality silicon oxynitride transition layer for high-k/metal gate transistors

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6159782A (en) * 1999-08-05 2000-12-12 Advanced Micro Devices, Inc. Fabrication of field effect transistors having dual gates with gate dielectrics of high dielectric constant
US6541829B2 (en) * 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6271094B1 (en) * 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
JP2001257344A (ja) * 2000-03-10 2001-09-21 Toshiba Corp 半導体装置及び半導体装置の製造方法
US6589866B1 (en) * 2000-10-19 2003-07-08 Advanced Micro Devices, Inc. Metal gate with PVD amorphous silicon layer having implanted dopants for CMOS devices and method of making with a replacement gate process
US6440868B1 (en) * 2000-10-19 2002-08-27 Advanced Micro Devices, Inc. Metal gate with CVD amorphous silicon layer and silicide for CMOS devices and method of making with a replacement gate process
JP4110973B2 (ja) * 2003-01-17 2008-07-02 Jsr株式会社 シリコン−アルミニウム混合膜形成用組成物、シリコン−アルミニウム混合膜およびその形成方法
US7268065B2 (en) * 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7435636B1 (en) * 2007-03-29 2008-10-14 Micron Technology, Inc. Fabrication of self-aligned gallium arsenide MOSFETs using damascene gate methods
US7723192B2 (en) * 2008-03-14 2010-05-25 Advanced Micro Devices, Inc. Integrated circuit long and short channel metal gate devices and method of manufacture
US7838946B2 (en) * 2008-03-28 2010-11-23 United Microelectronics Corp. Method for fabricating semiconductor structure and structure of static random access memory

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627488B2 (en) * 2000-06-29 2003-09-30 Hynix Semiconductor Inc. Method for fabricating a semiconductor device using a damascene process
CN101253602A (zh) * 2005-08-30 2008-08-27 英特尔公司 具有在退火的高-k栅介电层上形成的金属栅电极的半导体器件
US20080242012A1 (en) * 2007-03-28 2008-10-02 Sangwoo Pae High quality silicon oxynitride transition layer for high-k/metal gate transistors

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102956456A (zh) * 2011-08-19 2013-03-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN102956542A (zh) * 2011-08-19 2013-03-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN102956456B (zh) * 2011-08-19 2015-12-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103377899A (zh) * 2012-04-25 2013-10-30 中芯国际集成电路制造(上海)有限公司 金属栅极制造方法和cmos制造方法
CN104103502A (zh) * 2013-04-02 2014-10-15 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法

Also Published As

Publication number Publication date
US20110171820A1 (en) 2011-07-14
US8093117B2 (en) 2012-01-10
CN102129978B (zh) 2013-01-23

Similar Documents

Publication Publication Date Title
CN102129978B (zh) 半导体元件的形成方法
CN101661936B (zh) 半导体装置及其制造方法
TWI390630B (zh) 半導體元件的製造方法
US9704970B2 (en) Semiconductor device and fabricating method thereof
US7939392B2 (en) Method for gate height control in a gate last process
US7923321B2 (en) Method for gap filling in a gate last process
CN102244098B (zh) 半导体装置及其制造方法
TWI408735B (zh) 半導體元件的製造方法
CN101728273B (zh) Pmos元件及其制造方法
US8603924B2 (en) Methods of forming gate dielectric material
WO2014082332A1 (zh) 半导体器件的制造方法
CN105405750A (zh) 半导体元件、在其中增加表面掺杂浓度的方法及形成方法
TW201025509A (en) Method for tuning a work function of high-k metal gate devices
KR20130025322A (ko) 복수의 배리어 층을 구비한 금속 게이트 디바이스를 제공하는 기술
CN103311247A (zh) 半导体器件及其制造方法
US20130043517A1 (en) Semiconductor Structure And Method For Manufacturing The Same
TW201314790A (zh) 具有金屬閘極堆疊之半導體裝置之製造方法
CN103426821B (zh) 半导体集成电路制造的方法
WO2011066747A1 (zh) 半导体器件及其形成方法
WO2014082334A1 (zh) 半导体器件的制造方法
WO2014082341A1 (zh) P型mosfet的制造方法
WO2014082333A1 (zh) N型mosfet的制造方法
CN102237277B (zh) 半导体器件及其形成方法
WO2014082337A1 (zh) 半导体器件及其制造方法
CN102110609B (zh) 高性能半导体器件及其形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130123