CN101512734B - Apparatus and methods for adjusting an edge ring potential for substrate processing - Google Patents

Apparatus and methods for adjusting an edge ring potential for substrate processing Download PDF

Info

Publication number
CN101512734B
CN101512734B CN2007800324422A CN200780032442A CN101512734B CN 101512734 B CN101512734 B CN 101512734B CN 2007800324422 A CN2007800324422 A CN 2007800324422A CN 200780032442 A CN200780032442 A CN 200780032442A CN 101512734 B CN101512734 B CN 101512734B
Authority
CN
China
Prior art keywords
edge ring
substrate
electromotive force
plasma
voltage control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2007800324422A
Other languages
Chinese (zh)
Other versions
CN101512734A (en
Inventor
拉金德尔·德辛德萨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101512734A publication Critical patent/CN101512734A/en
Application granted granted Critical
Publication of CN101512734B publication Critical patent/CN101512734B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Abstract

A method for processing a substrate in a plasma processing chamber. The substrate is disposed above a chuck and surrounded by an edge ring while the edge ring being electrically isolated from the chuck. The method includes providing RF power to the chuck and providing an edge ring DC voltage control arrangement. The edge ring DC voltage control arrangement is coupled to the edge ring to provide first voltage to the edge ring, with the edge ring potential being one of a positive potential, a negative potential and a ground. The method further includes generating a plasma within the plasma processing chamber to process the substrate. The substrate is processed while the edge ring DC voltage control arrangement is configured to cause the edge ring potential to be less than a DC potential of the substrate in an embodiment and to be substantially equal to the DC potential of the substrate in another embodiment.

Description

Regulate the device and method of edge ring electromotive force for processing substrate
Technical field
Generally speaking, the present invention relates to substrate fabrication techniques, especially, be used for the devices and methods therefor that polymer controls was controlled and/or was used at the ion incidence angle.
Background technology
At substrate, for example, be used for the processing procedure of the semiconductor substrate or the glass plate of flat panel display industry, often use plasma.In the part processing substrate, for example, this substrate is divided into a plurality of punching blocks (dies) or rectangular area, and each will become integrated circuit.This substrate is then handled with series of steps, and in these steps, material is removed (etching) and deposition by selectivity.Controlling this transistor gate critical dimension (CD) is first preferential for the rank of several nanometers, because each nanometer of this target gate departs from the running speed that may directly influence these equipment relatively.
The zone of sclerosis emulsion is then removed by selectivity, causes the element of lower floor to be exposed.This substrate then is placed on the indoor substrate support structure of plasma treatment, and this substrate support structure comprises one pole or bipolar electrode, is called as chuck or pedestal.Then, appropriate etching gas flows into this chamber and chuck, forms plasma, in order to the exposed region of this substrate of etching.
Common engraving method is RIE or reactive ion etching.RIE combines chemistry and ion processing technology, to remove material (for example, photoresist, BARC, TiN, oxide or the like) from this substrate.Yet, further reduce the substrate features size, and the pressure of baseplate material of enabling the optimization of renewal, manufacturing techniques available has been proposed challenge.For example, (uniformity at center for example,>300mm) to edge or result become more and more difficult to keep larger substrate.By and large, for given characteristic size, the size of substrate is big more, and the quantity near the equipment at this edge on substrate is many more.Similarly, for given substrate size, this characteristic size is more little, and the quantity near the equipment at this edge on substrate is many more.For example, the total quantity of the equipment on substrate has 20% circumference near this substrate of surpassing usually.
Because the edge effect of substrate, for example electric field, plasma temperature and from the load effect of process chemistry, near the result this substrate edges may be different with residue (center) zone of this substrate.For example, the interruption that may become of the equipotential lines of this plasma sheath causes that uneven angle ion distributes around this substrate edges.
With reference now to Fig. 1,, shows the sketch of capacity coupled plasma handling system.Generally speaking, the source RF that is produced by source RF generator 110 is normally used for producing plasma, and controls this plasma density by capacitive coupling.In other structure, can use a plurality of RF generators.
Usually, the appropriate gas of one cover flows in the upper electrode 102 through inlet, and be ionized subsequently, form plasma 104, in order to handle (for example, etching or deposition) substrate 106, the exposed region of semiconductor substrate or glass plate for example, place edge ring 112 (for example, Si etc.) on electrostatic chuck 108, it also serves as current electrode.Some etch application may require this upper electrode with respect to the lower electrode RF signal frequency ground connection in~20KHz to the 800KHz scope.Other etch application may require at least one the lower electrode RF signal ground of this upper electrode with respect to 2MHz, 27MHz and 60MHz.Also have other etch application may require this upper electrode with respect to previously mentioned all RF signal frequency ground connection.
Edge ring 112 is carried out many functions usually; comprise and substrate 106 being placed on the chuck 108 and the element shielding of not protected of below itself by substrate; make it avoid being damaged by isoionic ion; edge ring 112 can (for example further be placed on coupling loop 120; quartz etc.) on, current path of 112 is provided provide usually from chuck 108 to edge ring for it.
Generally speaking, electric field need keep stable substantially in the whole surface of this substrate, in order to keep process consistency and vertical etching profile.Yet, because may there be electrical potential difference in plasma chamber condition and/or structure between chuck 108 and this edge ring 112.Subsequently, this electrical potential difference can be set up inhomogeneous 122 at plasma sheath in shape, and influences this etching outline thus unfriendly.
In addition, in etching process, at this substrate back and/or to form fluorinated polymer (for example fluorinated polymer, or the like) around this substrate edges be not rare.Fluorinated polymer generally includes photoresist material that before is exposed to etch chemistries or the fluorinated polymer that deposits in the fluorocarbon etching process.By and large, fluorinated polymer is that chemical formula is C xH yF zMaterial, wherein x, z are the integer greater than 0, and y is integer (for example, the CF more than or equal to 0 4, C 2F 6, CH 2F 2, C 4F 8, C 5H 8Or the like).
Yet, because several different etch processs, along with the polymeric layer successive sedimentation at this fringe region, very strong usually and sticking have switch finally to die down and to come off or to peel off, and comes off usually in transportation or peel off to another substrate.For example, substrate moves between plasma handling system in batch usually via the clean container of cardinal principle that is commonly referred to magazine (cassette).In the time of in the substrate that perches is reapposed over this container, the partial polymer layer can drop on the lower basal plate, has punching block (dies) at this place, influences the equipment productive rate potentially.
With reference now to Fig. 2,, be the sketch of substrate, wherein a series of edge polymer is deposited on the back side plane.As discussed previously, in this etching process, it is not rare that fluorinated polymer (edge polymer) is formed on the substrate.In this example, this fluorinated polymer has been deposited on this back side plane, that is to say, this substrate is away from an isoionic side.For example, this polymer thickness can be about 250nm at about 70 ° 202, is 270nm at about 45 ° 204, and is about 120nm at about 0 ° 206.Generally speaking, the thickness of this polymer is big more, partial polymer leave original position (dislodged) and drop on another substrate or this chuck on possibility just high more, productive rate is made in influence potentially.
Summary of the invention
The present invention relates to the method that is used at the indoor treatment substrate of plasma treatment in one embodiment.This substrate be arranged on chuck top and by edge ring around, and this edge ring and this chuck are electrically insulated.This method comprises to this chuck provides RF energy, and edge ring DC is provided control device.This edge ring dc voltage control device is coupled to this edge ring, and with to this edge ring formulation first voltage, the result makes this edge ring have edge ring electromotive force with respect to the DC electromotive force of this substrate.This method further is included in the indoor generation plasma of this plasma treatment, to handle this substrate.This substrate is processed, and this edge ring dc voltage control device is configured to make this edge ring electromotive force in one embodiment greater than the DC electromotive force of this substrate, equal the DC electromotive force of this substrate in another embodiment substantially, perhaps in another execution mode less than the DC electromotive force of this substrate, to be controlled at the ion direction of this substrate edges.
These and further feature of the present invention will be hereinafter the specific embodiment of the present invention part, and, carry out more detailed description in conjunction with appended accompanying drawing.
Description of drawings
Below will be in the accompanying drawing of enclosing, by way of example, but not limiting mode present invention is described, and wherein, similar label refers to similar element, wherein:
Fig. 1 shows the sketch of capacity coupled plasma handling system;
The sketch of Fig. 2 display base plate, wherein one group of edge polymer is deposited on the back side plane, and
Fig. 3 A-B shows according to one embodiment of the present invention, has the sketch of the capacity coupled plasma handling system that the angle ion of optimization distributes.
Embodiment
Below with reference to several preferred implementations, and, describe the present invention in conjunction with the accompanying drawing of enclosing.In the following description, for thorough understanding of the present invention is provided, several details have been proposed.For those of ordinary skills, clearly, in the absence of some or all these detail, the present invention also can implement.Under other situation,, known processing step and/or structure are not described in detail in order to be unlikely to unnecessarily fuzzy the present invention.
Do not wish to be confined to theory, the inventor believes, by changing the DC electromotive force between substrate and the edge ring, can control this angle ion and distribute, and optimizes the equipotential lines of the plasma sheath of given plasma process thus.In more favourable mode,, can change substrate edges electric field on every side by changing the RF coupling of edge ring.In one embodiment, this chuck and this edge ring are electrically insulated substantially.
For example, if the DC electromotive force of this substrate edges equates substantially that with the DC electromotive force of this edge ring this angle ion distributes normally uniform.Therefore, the plasma sheath layer region above this substrate and this edge ring forms one group of ion vector (ion vector) that is basically perpendicular to this substrate.
Yet if the DC electromotive force of this substrate edges is different from the DC electromotive force of this edge ring substantially, this angle ion distributes normally uneven.Therefore, the plasma sheath layer region above this substrate and this edge ring forms one group of ion vector, and it is easy to point to this substrate or outside this substrate points to.
In more favourable mode, the DC electromotive force on this edge ring can be with respect to this substrate by independent control.Therefore, the difference between the DC electromotive force of this substrate and the DC electromotive force of this edge ring can be optimised, with the angle distribution of the cation in the plasma around the control basal plate edge.
For example, if the dc voltage of this edge ring bear, and with the dc voltage of this substrate similar (for example, V substantially Substrate-V Edge ring≈ 0), the plasma sheath layer region above this substrate and this edge ring then, evenly, one group of vector is basically perpendicular to this substrate to the angle ion distribution substantially.This angle is distributed with and is beneficial to the anisotropic etching application, and for example, etching has the contact (contact) and the groove of high aspect ratio.
In addition, some equipment requirements etch features (for example, the contact of high aspect ratio, hole or groove) present specific direction, thereby make, and for example, specific etch features can contact with another lower floor's feature.For example,, depart from the etching plumbness and may cause this hole not reach target lower floor feature, produce defective equipment thus and influence productive rate if the upright opening etching requires to make this hole to contact with the lower floor feature.Use for these, key request is that the ion direction at accurate control basal plate edge is to obtain suitable etching direction.
On the contrary, if the dc voltage of edge ring than dc voltage positivity bigger (negativity is littler) (for example, the V of this substrate Substrate-V Edge ring<0), this angle ion distribution is inhomogeneous basically, has the one group of vector that often points to this substrate edges.This angle distributes and has removing of the edge polymer of being beneficial to.Different with wet type cleaning process, the present invention allows the edge polymer under absolutely dry (for example, technology, etc.) to remove, and flows through various vacuum compatible materials (for example, silicon, metal, glass, pottery etc.) with minimum waste water (effluent).For example, common dry etch process comprises ion-assisted etching, or sputter, and its intermediate ion is used to make material to leave this substrate (for example, oxide etc.).Usually the ion in plasma strengthens chemical technology by the surface of this substrate of bombardment, and makes the surface go up the chemical bond rupture of atom subsequently, so that it is easier to the molecular reaction with this chemical technology.
With reference now to Fig. 3 A-B,, according to the embodiment of the present invention, shows one group of sketch of the capacitive coupling plasma processing chamber of angle ion distribution with optimization.Fig. 3 A shows the sketch of capacity coupled plasma handling system, and wherein the DC electromotive force of this edge ring is basically greater than the DC electromotive force of this substrate.Generally speaking, the source RF that is produced by source RF generator 110 is generally used for producing plasma and controls this plasma density by capacitive coupling.Reach as described above, some etch application may require this upper electrode with respect to the~20KHz lower electrode frequency RF signal ground in the 800KHz scope.Other etch application may require at least one the RF signal ground of this upper electrode with respect to 2MHz, 27MHz and 60MHz.Also have other application may require this upper electrode with respect to all RF signal frequency ground connection of before having addressed.
Usually, one group of suitable gas flows into upper electrode 102 by inlet, be ionized subsequently and form plasma 104, to handle (for example etching or deposition) substrate 106, the exposed region of semiconductor substrate or glass plate for example, place edge ring 112 (for example, Si etc.) on electrostatic chuck 108, it also serves as current electrode.
Edge ring 112 realizes multiple function usually; comprise and place substrate 106 on chuck 108; and protection is not avoided by the ionic damage of this plasma by the following layer elements of this substrate protection itself; edge ring 112 can (for example further be placed on coupling loop 120; quartz etc.) on, it generally is configured to provide the current path of chuck 108 to edge ring 112.Generally speaking, in more favourable mode, configurable DC power supply 316 can be coupled to edge ring 112 by RF filter 314.
RF filter 314 is normally used for reducing unnecessary harmonic wave RF energy, and can not cause the loss of DC power supply 316.In one embodiment, RF filter 314 comprises the switch module, and it allows to select the current polarity of plus or minus, and grounding path.In one embodiment, this RF filter 314 comprises vacuum relay.Harmonic wave produces in plasma discharge, and is kept avoiding being back to this DC power supply by this RF filter.In this case, because DC power supply 316 obtains (source) positive voltages, in typical plasma process, the DC electromotive force of this edge ring is substantially greater than the DC electromotive force of substrate.Therefore, ion distribution figure is inhomogeneous basically at this angle, has often to point to than the low potential zone, for example one of this substrate edges group of vector.This application is very beneficial for polymer and removes from substrate edges, as previously mentioned.
With reference now to Fig. 3 B,, show the sketch of capacitive coupling plasma handling system, wherein the DC electromotive force of this edge ring is similar to DC electromotive force (for example, the V of this substrate substantially Substrate-V Edge ring≈ 0).In general, in processing procedure, the DC electromotive force on the substrate is born often with respect to ground, and therefore, when this edge ring was coupled as reception negative potential (with respect to ground), the DC electromotive force of this edge ring and the DC electromotive force of this substrate equated substantially.Therefore, the angle ion distribution is uniformly substantially, has one group of vector, and the plasma sheath layer region of this vector above this substrate and this edge ring is basically perpendicular to this substrate.As previously mentioned, this vertical angle distribution may be used favourable to anisotropic etching, and for example etching has the contact and the groove of high aspect ratio.
For example, the earth terminal of this DC power supply that is coupled also is possible, and in this case, this edge ring may have than the higher electromotive force (ground connection) of this basic DC electromotive force (in processing procedure, normally bearing in one embodiment).In this case, this angle ion distribution also may tend to this substrate edges, although degree is lighter when being coupled as from the positive terminal of this DC power supply reception voltage (as the situation of Fig. 3 A) when this edge ring.
In one embodiment, can provide the dc voltage (in various treatment steps and processing substep process, it may change) of feedback circuit to control this substrate.The controlled dc voltage of this substrate can be used as feedback signal in suitable control circuit, be passed to this edge ring to control this dc voltage, makes this ion direction be maintained thus, even the dc voltage of this substrate changes.
The dc voltage of this edge ring can be provided by RF power supply (for example, with transmit the RF energy to the different RF power supply of the RF power supply of this lower electrode) in one embodiment.Therefore, be the technical essential (thrust) of the various execution modes that herein disclose with respect to the dc voltage control of this edge ring of the DC electromotive force of this substrate, and provide/keep this dc voltage to the actual edge ring dc voltage control device of this edge ring may be according to different implementation methods and difference.
Although the present invention is described with regard to several preferred embodiments, still have a lot of distortion, displacement and equivalent way to fall in the scope of the invention.Should be noted that the alternative that still has a lot of enforcements method and apparatus of the present invention equally.Although various examples provided herein, the original idea of these examples are to be used for describing but not to be used to limit the present invention.Further, for simplicity, summary provided herein, this summary should not be used to explain or limit whole invention that the present invention is explained by claim.The original idea of the claim of therefore, enclosing is to be interpreted as comprising that all these fall into distortion, displacement and equivalent way in the scope of the invention.For example, although the present invention in conjunction with plasma handling system (for example, the Exelan of Lam Research TM, Exelan TMHP, Exelan TMHPT, 2300 TM, Versys TMStar etc.) be described, other plasma handling system also can use (for example, capacitive coupling, inductance coupling high, etc.).The present invention also can use (for example 200mm, 300mm, LCD etc.) with the substrate of various diameters.And term " group " comprises one or more designated components of this group as used herein.For example, one group " X " refers to one or more " X ".
Advantage of the present invention comprises that controlling this substrate edges angle ion on every side substantially distributes.Additional advantage is included in cleaning bevel polymer in the original position stripping technology (in situ strip process), optimizes this plasma process and improves the substrate productive rate.
Although disclosed exemplary embodiment and preferred forms, but the embodiment that is disclosed is revised and is out of shape, and keep by defined theme of the present invention of claim and marrow.

Claims (30)

1. method that is used at the plasma processing chamber treatment substrate, described substrate are arranged on the chuck top, and by edge ring around, described edge ring and described chuck are electrically insulated, described method comprises:
Provide RF energy to described chuck;
Edge ring dc voltage control device is coupled to described edge ring, and to provide first voltage to described edge ring, the result makes described edge ring have the edge ring electromotive force;
At the indoor generation plasma of described plasma treatment to handle described substrate; And
Regulate described edge ring dc voltage control device and make described plasma have angle ion distribution curve heterogeneous to handle the edge of described substrate, wherein said adjusting makes described edge ring electromotive force be higher than the DC electromotive force of described substrate.
2. method according to claim 1, wherein, described edge ring dc voltage control device comprises RF filter and DC power supply, described RF filter is set between described edge ring and described DC power supply.
3. method according to claim 2, wherein, described RF filter is configured to weaken the unnecessary harmonic wave RF energy that arrives described DC power supply.
4. method according to claim 1, wherein, described RF energy has the RF frequency in 20KHz to the 800KHz scope.
5. method according to claim 1, wherein, described RF energy has one group of RF frequency, and it comprises 2MHz, 27MHz and 60MHz at least one.
6. method according to claim 1, wherein, described plasma processing chamber is represented the capacitive coupling plasma processing chamber.
7. method according to claim 1, wherein, described plasma processing chamber is represented the inductively coupled plasma process chamber.
8. method according to claim 1, wherein, described edge ring dc voltage control device comprises the RF power supply.
9. method according to claim 1, wherein, described edge ring dc voltage control device comprises the DC power supply.
10. method according to claim 1, the feedback circuit that further comprises the described DC electromotive force that is configured to monitor described substrate, when the described DC electromotive force of described substrate changed, the described DC electromotive force of described substrate was used as feedback signal to change described first voltage thus.
11. one kind is used for reducing around the method for the polymer deposition of substrate edges at plasma processing chamber, described substrate be arranged on the chuck top and by edge ring around, described edge ring and described chuck are electrically insulated, described method comprises:
Provide RF energy to described chuck;
Use edge ring dc voltage control device to provide first voltage to described edge ring, the result makes described edge ring have the edge ring electromotive force;
At the indoor generation plasma of described plasma treatment, to handle described substrate; And
Regulating described edge ring dc voltage control device makes when the described substrate of processing described edge ring electromotive force be different from the DC electromotive force of described substrate, thereby make be positioned at described substrate described edge near ion the described substrate of ion incidence angular divergence described edge and away from described edge ring, wherein said adjusting makes described plasma have angle ion distribution curve heterogeneous.
12. method according to claim 11, wherein, described edge ring dc voltage control device comprises RF filter and DC power supply, and described RF filter is provided with between described edge ring and described DC power supply.
13. method according to claim 12, wherein, described RF filter is configured to weaken unnecessary harmonic wave RF energy.
14. method according to claim 11, wherein, described plasma processing chamber is represented the capacitive coupling plasma processing chamber.
15. method according to claim 11, wherein, described RF energy has the RF frequency in 20KHz to the 800KHz scope.
16. method according to claim 11, wherein, described RF energy has one group of RF frequency, and it comprises 2MHz, 27MHz and 60MHz at least one.
17. method according to claim 11, wherein, described plasma processing chamber is represented the inductively coupled plasma process chamber.
18. method according to claim 11, wherein, described edge ring dc voltage control device comprises the RF power supply.
19. method according to claim 11, wherein, described edge ring dc voltage control device comprises the DC power supply.
20. method according to claim 11, the feedback circuit that further comprises the described DC electromotive force that is configured to monitor described substrate, when the described DC potential change of described substrate, the described DC electromotive force of described substrate is used as feedback signal to change described first voltage thus.
21. a method that is used at the plasma processing chamber treatment substrate, described substrate be arranged on chuck top and by edge ring around, described edge ring and described chuck are electrically insulated, described method comprises:
Provide RF energy to described chuck;
Use edge ring dc voltage control device to provide first voltage to described edge ring, the result makes described edge ring have the edge ring electromotive force;
At the indoor generation plasma of described plasma treatment, to handle described substrate; And
Regulate described edge ring dc voltage control device and make described plasma have angle ion distribution curve heterogeneous, wherein described adjusting makes the DC electromotive force of described edge ring electromotive force less than described substrate when handling described substrate.
22. method according to claim 21, wherein, described edge ring dc voltage control device comprises RF filter and DC power supply, and described RF filter is set between described edge ring and described DC power supply.
23. method according to claim 22, wherein said RF filter are configured to weaken unnecessary harmonic wave RF energy.
24. method according to claim 21, wherein, described RF energy has the RF frequency in 20KHz to the 800KHz scope.
25. method according to claim 21, wherein, described RF energy has one group of RF frequency, and it comprises 2MHz, 27MHz and 60MHz at least one.
26. method according to claim 21, wherein, described plasma processing chamber is represented the capacitive coupling plasma processing chamber.
27. method according to claim 21, wherein, described plasma processing chamber is represented the inductively coupled plasma process chamber.
28. method according to claim 21, wherein, described edge ring dc voltage control device comprises the RF power supply.
29. method according to claim 21, wherein, described edge ring dc voltage control device comprises the DC power supply.
30. method according to claim 21, the feedback circuit that further comprises the described DC electromotive force that is configured to monitor described substrate, when the described DC potential change of described substrate, the described DC electromotive force of described substrate is used as feedback signal to change described first voltage thus.
CN2007800324422A 2006-06-30 2007-06-26 Apparatus and methods for adjusting an edge ring potential for substrate processing Active CN101512734B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/428,155 2006-06-30
US11/428,155 US7572737B1 (en) 2006-06-30 2006-06-30 Apparatus and methods for adjusting an edge ring potential substrate processing
PCT/US2007/072157 WO2008005756A2 (en) 2006-06-30 2007-06-26 Apparatus for substrate processing and methods therefor

Publications (2)

Publication Number Publication Date
CN101512734A CN101512734A (en) 2009-08-19
CN101512734B true CN101512734B (en) 2011-05-04

Family

ID=38895322

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800324422A Active CN101512734B (en) 2006-06-30 2007-06-26 Apparatus and methods for adjusting an edge ring potential for substrate processing

Country Status (5)

Country Link
US (1) US7572737B1 (en)
KR (1) KR101450350B1 (en)
CN (1) CN101512734B (en)
TW (1) TWI435406B (en)
WO (1) WO2008005756A2 (en)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4833890B2 (en) * 2007-03-12 2011-12-07 東京エレクトロン株式会社 Plasma processing apparatus and plasma distribution correction method
US7867409B2 (en) * 2007-03-29 2011-01-11 Tokyo Electron Limited Control of ion angular distribution function at wafer surface
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9017513B2 (en) * 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US9593421B2 (en) * 2013-11-06 2017-03-14 Applied Materials, Inc. Particle generation suppressor by DC bias modulation
KR102222902B1 (en) * 2014-05-12 2021-03-05 삼성전자주식회사 Plasma apparatus and method of fabricating semiconductor device using the same
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US20180323042A1 (en) * 2017-05-02 2018-11-08 Applied Materials, Inc. Method to modulate the wafer edge sheath in a plasma processing chamber
KR102432857B1 (en) * 2017-09-01 2022-08-16 삼성전자주식회사 plasma processing apparatus and manufacturing method of semiconductor device using the same
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7055040B2 (en) 2018-03-07 2022-04-15 東京エレクトロン株式会社 Placement device and processing device for the object to be processed
CN110323117A (en) * 2018-03-28 2019-10-11 三星电子株式会社 Apparatus for processing plasma
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11289310B2 (en) * 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
CN111383887A (en) * 2018-12-27 2020-07-07 江苏鲁汶仪器有限公司 Device and method for improving plasma etching uniformity
KR102256216B1 (en) * 2019-06-27 2021-05-26 세메스 주식회사 Plasma processing apparatus and method
CN110718449A (en) * 2019-09-27 2020-01-21 长江存储科技有限责任公司 Deposition method of wafer back side film structure and wafer back side film structure
TW202234461A (en) * 2020-05-01 2022-09-01 日商東京威力科創股份有限公司 Etching apparatus and etching method
CN112736015A (en) * 2020-12-31 2021-04-30 拓荆科技股份有限公司 Apparatus for adjusting plasma profile in a processing chamber and method for controlling the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1783430A (en) * 2004-12-03 2006-06-07 东京毅力科创株式会社 Capacitive coupling plasma processing apparatus

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
JP4877884B2 (en) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 Plasma processing equipment
US6620736B2 (en) * 2001-07-24 2003-09-16 Tokyo Electron Limited Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP2006524914A (en) 2003-03-31 2006-11-02 東京エレクトロン株式会社 Plasma processing system and method
JP2005303099A (en) * 2004-04-14 2005-10-27 Hitachi High-Technologies Corp Apparatus and method for plasma processing
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7767055B2 (en) 2004-12-03 2010-08-03 Tokyo Electron Limited Capacitive coupling plasma processing apparatus
US20070066062A1 (en) * 2005-09-20 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Landing uniformity ring for etch chamber
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US9536711B2 (en) * 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1783430A (en) * 2004-12-03 2006-06-07 东京毅力科创株式会社 Capacitive coupling plasma processing apparatus

Also Published As

Publication number Publication date
WO2008005756A2 (en) 2008-01-10
CN101512734A (en) 2009-08-19
KR101450350B1 (en) 2014-10-14
KR20090026314A (en) 2009-03-12
WO2008005756B1 (en) 2008-07-03
US7572737B1 (en) 2009-08-11
WO2008005756A3 (en) 2008-05-08
TWI435406B (en) 2014-04-21
TW200830454A (en) 2008-07-16

Similar Documents

Publication Publication Date Title
CN101512734B (en) Apparatus and methods for adjusting an edge ring potential for substrate processing
JP5554705B2 (en) Method and apparatus for substrate processing
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
CN101730931B (en) Edge ring arrangements for substrate processing
CN100591190C (en) Plasma processing apparatus and method
CN101809719B (en) Methods and arrangements for plasma processing system with tunable capacitance
KR101369131B1 (en) Apparatus and methods to remove films on bevel edge and backside of wafer
CN101273430B (en) Apparatus for the removal of film from a substrate bevel edge and methods therefor
TWI469210B (en) Edge electrodes with variable power
US9184043B2 (en) Edge electrodes with dielectric covers
US7951262B2 (en) Plasma processing apparatus and method
KR101164829B1 (en) Methods and apparatus for tuning a set of plasma processing steps
US9208997B2 (en) Method of etching copper layer and mask
EP2911187A1 (en) Etching method
US20200234928A1 (en) Semiconductor plasma processing equipment with wafer edge plasma sheath tuning ability
WO2000013230A1 (en) Techniques for forming contact holes through to a silicon layer of a substrate
US8157952B2 (en) Plasma processing chamber, potential controlling apparatus, potential controlling method, program for implementing the method, and storage medium storing the program
CN101627462B (en) Plasma processing chamber configuring for cleaning bevel edge of substrate and method for cleaning bevel edge of processing chamber
US20200218157A1 (en) Plasma processing method for processing substrate
US20180323045A1 (en) Manufacturing methods to reduce surface particle impurities after a plasma process
CN114093740A (en) Substrate processing method and substrate processing apparatus
KR101093601B1 (en) Multi capacitively coupled plasma processing appartus and method thereof
WO2018226323A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant