CN101483148A - 集成电路结构的制造方法 - Google Patents

集成电路结构的制造方法 Download PDF

Info

Publication number
CN101483148A
CN101483148A CNA2008100855945A CN200810085594A CN101483148A CN 101483148 A CN101483148 A CN 101483148A CN A2008100855945 A CNA2008100855945 A CN A2008100855945A CN 200810085594 A CN200810085594 A CN 200810085594A CN 101483148 A CN101483148 A CN 101483148A
Authority
CN
China
Prior art keywords
layer
oxide
integrated circuit
circuit structure
manufacture method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2008100855945A
Other languages
English (en)
Inventor
王喻生
林世和
陈科维
吴斯安
王英郎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN101483148A publication Critical patent/CN101483148A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明涉及一种集成电路结构的制造方法,至少包括:提供一衬底;形成一金属特征于衬底上;形成一介电层于金属特征上;以及形成一开口于介电层中。通过开口暴露出金属特征的至少一部分。因此,一氧化层形成在金属特征的一暴露部分上。此方法还包括,在具有真空环境的一生产机台中,进行一氧化物去除工艺,以去除氧化层。在形成开口的步骤与氧化物去除工艺之间,并未在生产机台外对金属特征进行额外的氧化物去除工艺。此方法还包括:在生产机台中,形成一扩散阻挡层于开口中、以及形成一种籽层于扩散阻挡层上。

Description

集成电路结构的制造方法
技术领域
本发明涉及一种集成电路,且特别是涉及一种内连线结构的集成电路结构与制造方法,更特别的是有关于一种金属线上的铜氧化物的去除。
背景技术
在集成电路技术中,一种制造包括金属线与过孔的内连线结构的常用方法已知为“镶嵌(Damascene)”。一般而言,这种方法包含形成开口于介电层中,其中该介电层隔开垂直相距的金属化层(metallization layer)。开口一般利用传统光刻与蚀刻技术来加以形成。在开口形成后,将铜或铜合金填入开口中。接着,利用化学机械研磨(CMP)去除位于介电层表面上的多余铜。而留存的铜或铜合金则构成过孔(via)及/或金属线。
由于铜具有低电阻,因此铜常应用在镶嵌结构中。一般而言,内连线结构是由多个金属化层所构成,其中每个内连线结构包括多条铜线。在不同金属化层中的铜线由过孔所交互连接。在一金属化层的制作期间,下方的金属化层一般具有数个部分暴露在外界空气中。
图1所示一种传统镶嵌结构的制作的中间阶段的剖面图。形成铜线2于介电层4中。再形成介电层6于铜线2与介电层4上。接着,(利用图案化的光刻胶(未示出))形成开口8于介电层6中,以暴露出下方的铜线2。开口8的制作在外界空气中进行。受到空气中的氧气与水气的影响,铜线2的暴露部分会遭到氧化,而形成氧化铜层10。在开口8形成后,利用灰化(ashing)方式去除光刻胶。光刻胶的灰化过程可能进一步增加氧化铜层10的厚度。氧化铜层10对金属线2与后续形成于开口8之中的金属特征之间的电性连接产生不利影响,因此必须将氧化铜层10去除。
传统上是通过进行炉管烘烤程序来去除氧化铜层10。进行炉管烘烤程序时,是在一大气压下,并将氢气导入炉管中。在温度升高之下,氧化铜层10会遭到还原,而氧化铜层10中的氧原子与氢原子反应而形成水(H2O)。一般而言,炉管烘烤程序可持续二小时或更久。
接下来,将含有图1所示的结构的晶片传送至真空环境,来形成阻挡层(barrier layer)与铜种籽层(seed layer)。然而,在传送过程中,晶片会暴露于外界空气,因此铜线2的暴露部分上会再次形成一层薄氧化铜层。而且,水气可能会阻限(be trapped)在晶片中。因此,需更严谨地控制晶片会暴露于外界空气中的等待时间(Q-time),以降低氧化铜层的厚度。然而,等待时间的控制仅可使氧化铜较薄,但无法排除氧化铜的形成。因此,在真空环境中,需进行一额外去除程序来去除薄氧化铜层。该薄氧化铜层的去除可包括排气程序(在温度升高且不导入氢气的情况下)来去除水气、以及在室温下的等离子体清洗(以氢气作为工艺气体)来去除薄氧化铜层。
真空环境中的长炉管烘烤时间与额外的氧化铜去除工艺会对工艺的产能造成负面影响。然而,似乎多余但却必须的铜去除工艺也会导致制造成本的增加。因此,急需可增加产能且可降低制造成本的新方法。
发明内容
本发明所要解决的技术问题在于提供一种集成电路结构的制造方法,可兼顾制造成本的降低与内连线结构的质量。
为了实现上述目的,本发明提出一种集成电路结构的制造方法,至少包括:提供一衬底;形成一金属特征于衬底上;形成一介电层于金属特征上;以及形成一开口于该介电层中。通过开口暴露出金属特征的至少一部分。因此,一氧化层形成在金属特征的一暴露部分上。此方法还包括,在具有真空环境的一生产机台中,进行一氧化物去除工艺,以去除氧化层。在形成开口的步骤与氧化物去除工艺之间,并未在生产机台外对金属特征进行额外的氧化物去除工艺。此方法还包括:在生产机台中,形成一扩散阻挡层于开口中、以及形成一种籽层于扩散阻挡层上。
为了实现上述目的,本发明还提出一种集成电路结构的制造方法,至少包括:提供一衬底;形成一金属特征于衬底上;形成一介电层于金属特征上;以及形成一开口于介电层中。通过开口暴露出金属特征的至少一部分。因此,一氧化层形成在金属特征的一暴露部分上。此方法还包括:在具有真空环境的一生产机台中,烘烤衬底,其中在烘烤衬底时,将用以把氧化层还原成金属的一工艺气体导入生产机台中。此方法还包括:形成一扩散阻挡层于开口中;以及形成一种籽层于扩散阻挡层上,其中形成扩散阻挡层的步骤与形成种籽层的步骤在生产机台中进行。
为了实现上述目的,本发明又提出一种集成电路结构的制造方法,至少包括:提供一衬底;提供一生产机台,其中生产机台包括一烘烤反应室、一第一沉积反应室与一第二沉积反应室、以及一传送反应室,传送反应室用以在烘烤反应室、第一沉积反应室与第二沉积反应室之间传送多个晶片;形成一第一介电层于衬底上;形成一铜线于第一介电层中;形成一第二介电层于铜线与第一介电层上,其中第二介电层具有一低介电常数值;形成一开口于第二介电层中,其中经由开口暴露出铜线的至少一部分,且其中一氧化铜层形成在铜线的一暴露部分上;在生产机台的烘烤反应室中烘烤衬底,其中在烘烤衬底的步骤期间,将氢气导入烘烤反应室中;透过传送反应室,将衬底从烘烤反应室传送至第一沉积反应室,其中在生产机台中,无真空破除发生;在第一沉积反应室中,形成一扩散阻挡层于开口中;透过传送反应室,将衬底从第一沉积反应室传送至第二沉积反应室,其中在生产机台中,无真空破除发生;在第二沉积反应室中,形成一种籽层于扩散阻挡层上;以及电镀铜在种籽层上来填充开口。
本发明的有利特征为仅需进行一短时间的氧化物去除。因此,可降低制造成本,而且并不会牺牲最终的内连续结构的质量。
附图说明
为了更完全了解本发明及其优点,现结合所附附图并参照以下的描述,其中:
图1所示在一种传统镶嵌结构的制造中的中间结构的剖面图,其中氧化铜层形成在铜线的上表面上;
图2至图5与图7至图10所示在制造一种内连线结构中的多个中间阶段的剖面图,其中氧化物去除工艺在真空环境中进行;
图6所示制造本发明的数个实施例的生产机台。
【主要组件符号说明】
2:铜线           4:介电层
6:介电层           8:开口
10:氧化铜层        20:基础结构
201:半导体衬底     202:上层
22:介电层          24:金属特征
26:蚀刻终止层      28:介电层
30:光刻胶层        32:开口
34:氧化铜层        36:阻挡层
38:种籽层          40:铜
42:铜线            43:过孔
44:阻挡层          46:种籽层
48:金属盖          50:蚀刻终止层
100:晶片           200:生产机台
202:反应室         204:反应室
206:反应室         208:反应室
210:真空锁
具体实施方式
较佳实施例的制造与应用将详细讨论如下。然而,应该了解的一点是,本发明提供许多可应用的创新概念,这些创新概念可在各种特定背景中加以体现。所讨论的特定实施例仅用以举例说明制造与应用本发明的特定方式,并非用以限制本发明的范围。
本发明提供制造集成电路的金属化层中的金属特征的方法。在此图示出本发明的制造实施例的中间阶段。本发明内所有的各种视图与示范实施例中,相同参照号码用以标示相同组件。
请参照图2,提供晶片100,其中晶片100包括图示的基础结构20、以及位于基础结构20上的介电层22。基础结构20可包括半导体衬底,在此的表示为半导体衬底201,以及数个上层202,其中这些上层202可包括接触蚀刻终止层(ESL)、层间介电层(ILD)、以及内金属介电层(IMD),且金属化层(未示出)形成于这些上层202中。半导体衬底201可为单晶或复合半导体衬底。有源器件与无源器件(未示出),例如晶体管、电阻、与电感,可形成在半导体衬底201上。
在一实施例中,介电层22为层间介电层,且其材料可为硼磷硅玻璃(BPSG)或其它介电材料。在其它实施例中,介电层22为内金属介电层,且其材料可为介电常数值小于约3.5的低介电常数介电质,更佳为介电常数值小于约2.8的低介电常数介电质。金属特征24形成于介电层22中。在较佳实施例中,金属特征24是由铜或铜合金所组成,因此以下整个描述中金属特征24也可表示为铜特征。金属特征24可替代性地由若暴露于氧气及/或水气下会受到氧化的其它金属所组成。取决于金属特征24所在的介电层,金属特征24可为接触插塞或铜线。
图3所示出蚀刻终止层26形成于金属特征24与介电层22上,接着形成介电层28。在一示范实施例中,介电层28具有低介电常数(k值),较佳是低于约3.5,因此以下整个描述中介电层28也可表示为低介电常数介电层。低介电常数介电层28更佳是具有低于约2.8的介电常数值,因此有时低介电常数介电层28也可表示为超低介电常数(ELK)介电层。低介电常数介电层28可包括常用的材料,例如氟硅玻璃(FSG)、含碳介电材料,且可进一步包含氮、氢、氧及其组合。多孔性结构可存在低介电常数介电层28中,以降低其介电常数值。低介电常数介电层28的厚度可介于约1000 
Figure A200810085594D0008182416QIETU
与约1μm之间,但低介电常数介电层28的厚度也可更大或更小。然而,熟悉本领域的技术人员将明了,记载于整个描述的尺寸与制作集成电路的技术有关,且可依比例调整以符合工艺技术的尺寸。可利用化学气相沉积、旋压方法(spin-on)或其它常用方法来形成低介电常数介电层28。如同熟悉本领域的技术人员所知,位于晶片上的内连线结构可包括高达约九层的金属化层,且低介电常数介电层28可属于这些金属化层的任一层。
请参照图4,光刻胶层30形成于低介电常数介电层28上。可选择性地形成底部抗反射涂层(BARC)或上部抗反射涂层(TARC)(未示出)于光刻胶层30之下或之上。接下来,在低介电常数介电层28中形成开口32,以暴露出金属特征24的至少一部分。在一示范实施例中,开口32用来形成金属线的沟槽开口,且其宽度较佳的是小于约130nm。在本例子中,包含单镶嵌工艺。在其它实施例中,开口32可包括一过孔开口与一沟槽开口。在本例子中,包含双重金属镶嵌工艺,且可包含二个光刻胶层(包括光刻胶层30),其中一个光刻胶层用以制作过孔开口,而另一个光刻胶层则用以形成沟槽开口。在又一些其它实施例中,开口32是一接触开口。由于在此技术领域中,开口32的制作过程已广为人知,因此在此便不再重复。
接下来,去除光刻胶层30,其中去除光刻胶层30时较佳是利用灰化工艺,该灰化工艺可能会产生残留物(未示出),例如高分子聚合物。所产生的结构如图5所示。灰化工艺可利用含氧工艺气体。在开口32形成后,晶片100再一次暴露在氧气与水气之下。因此,金属特征24的上层与氧气及/或水气反应,而形成氧化铜层34。氧化铜层34会对金属特征24与后续形成在开口32中的过孔及金属线之间的电性连接造成不利影响,因此需加以去除。如同在此技术领域中所已知的,可利用炉管烘烤来去除氧化铜层34。然而,在本发明的实施例中,在非真空环境下不进行炉管烘烤。取而代之的是,将在真空环境下去除氧化铜层34。
图6所示用以制作本发明的实施例的示范生产机台200。生产机台200包括用以去除氧化铜层的氧化物去除反应室204、用以形成阻挡层的沉积反应室206、用以形成种籽层的沉积反应室208、以及用以在反应室204、206与208之间传送晶片的传送反应室202。生产机台200更包括真空锁(loadlock)210,用以将晶片加载和载出传送反应室202。在传送期间,反应室202、204、206与208较佳是维持抽真空状态。因此,可在其中不会发生真空破除的生产机台200中,进行氧化物的移除、阻挡层的沉积、以及种籽层的沉积。
先透过真空锁210,将包括如图5所示的结构的晶片100加载氧化物去除反应室204中。接着,进行氧化铜的去除工艺。在氧化铜的去除工艺中,较佳是对氧化物去除反应室204抽真空。将可把氧化铜还原成铜的工艺气体,例如氢,导入氧化物去除反应室204中。可使用载气(carrier gases),例如氮气及/或氩气。氧化物去除反应室204的压力较佳是小于约20托(torr),更佳是介于约1托与约8托之间。在一示范实施例中,此压力约为2托。
在氧化物去除工艺中,将氧化铜还原成铜,而氧化铜层34(请参照图5)中的氧则与氢形成水(H2O)。还原所需的能量可通过利用加热器加热晶片100的方式来加以提供,因此该氧化物去除工艺也可称为烘烤工艺。替代性地,也可使用照射器,例如闪光灯。加热器与照射器较佳可同时使用。在较佳实施例中,晶片100的温度大于约150℃,且更佳是介于约200℃与约450℃之间,虽然此温度可更高或更低。在一示范实施例中,此温度约为350℃。可了解的一点是,较低的温度可能会导致氧化物去除持续更久,而较高的温度可能所需的氧化物去除时间较短。熟悉本领域的技术人员将可透过实验找到最理想的温度与时间。在约350℃时,氧化物去除工艺可能费时约一分钟。
也可利用等离子体或远程等离子体(remote plasma)来进行氧化物去除工艺,其中等离子体或远程等离子体提供使氧与氢起反应所需的能量。可再次应用氢。在等离子体或远程等离子体氧化物去除工艺中,虽然可对晶片100加热,但可不必对晶片100加热。
除了上述的氧化物去除工艺外,尚可进行排气工艺,该排气工艺较佳是在氧化物去除反应室202中进行。在排气工艺期间,仅可使用不会与铜或氧化铜反应的气体,例如氮气或氩气,且将晶片100加热至例如约200℃与约300℃之间,借以释放出阻限在晶片100中的水气。替代性地,可进行晶片100的光再溅射(light re-sputtering)。
在氧化物去除工艺完成后,将晶片100传送至沉积反应室206(请参照图6),来形成扩散阻挡层36,如图7所示。阻挡层36较佳是包括钛、氮化钛、钽、氮化钽、钌、钌合金、或其它替代物,且可利用物理气相沉积(PVD)、或常用的数个化学气相沉积方法中的一种方法。阻挡层36的厚度可介于约20 
Figure A200810085594D0008182416QIETU
与约200 
Figure A200810085594D0008182416QIETU
之间。
图8示出种籽层38的形成。种籽层38较佳是包括铜或铜合金,虽然种籽层38也可包括钌或其它可应用的替代物。合金材料可包括铝、银等等。种籽层38的厚度较佳是介于约20 
Figure A200810085594D0008182416QIETU
与约300 
Figure A200810085594D0008182416QIETU
之间,虽然也可采用较大或较小的厚度。种籽层38较佳可利用物理气相沉积来加以制作,其中物理气相沉积可包括直流溅射(DC sputter)、射频溅射(RF sputter)、偏压溅射(bias sputter)、磁控溅射(magnetron sputter)等等。替代性地,可利用众多化学气相沉积方法中的一种来制作种籽层38。
在传送期间,反应室202、与含括在传送中的反应室204、206及208的压力较佳是小于一大气压。可以了解的是,在传送期间保持较低压状态可降低金属特征24与阻挡层36暴露于有害物质,例如氧气与水气的可能性,因此保持在较低压下比较有利。因此,压力较佳是小于约1torr,更佳是小于约10-3torr,再更佳是小于约10-5torr。在自氧化物去除工艺开始至种籽层38的制作结束后之间的整个工艺期间,不发生真空破除,且压力可介于约10-3torr与约10-5torr之间。在替待实施例中,于传送期间,晶片100仅暴露于惰性气体中,例如氮气、氩气等等,且压力可高达一大气压。
接下来,如图9所示,将晶片100从生产机台200(请参照图6)中载出。接着,将铜40填入开口32的剩余部分。在较佳实施例中,利用电镀而通过将晶片100浸入含有离子化的铜的电镀溶液中的方式,形成铜40。
请参照图10,进行化学机械研磨,以去除低介电常数介电层28上的铜40、种籽层38与阻挡层36的多余部分,而在开口32中留下铜线42、过孔43、与阻挡层36及种籽层38的对应部分。阻挡层36与种籽层38的剩余部分分别称为阻挡层44与种籽层46。
图10还示出金属盖48与蚀刻终止层50的形成。金属盖48可由磷化钴钨(CoWP)或其它常用材料。蚀刻终止层50的材料可为介电材料,较佳是具有介电常数大于约3.5的介电材料,且可包括数种材料,例如氮化硅、碳化硅、碳氮化硅(Silicon Carbonitride)、硅碳氧化物(Silicon Carbon-oxide)、碳氢化合物(CHx)、碳氧氢化合物(COyHx)及其组合。金属盖48与蚀刻终止层50的制作细节在此技术领域中已为人所熟知,因此在此不再重复。
本发明的实施例具有数个有利特征。通过在真空环境中进行氧化铜去除工艺,铜的最终表面没有受到氧化。等待时间可实质免除。既然氧化物去除仅在真空环境下进行,因此不再需要传统的炉管烘烤步骤,如此一来不仅可降低炉管烘烤的硬件成本,更可消除炉管烘烤所需的时间。因此,可增加制造产能。此外,既然氧化物去除工艺是在温度升高的真空反应室中进行,光刻胶灰化工艺的残余物的去除可更加地完全。
当然,本发明还可有其它多种实施例,在不背离本发明精神及其实质的情况下,熟悉本领域的技术人员当可根据本发明做出各种相应的改变和变形,但这些相应的改变和变形都应属于本发明所附的权利要求的保护范围。

Claims (10)

1、一种集成电路结构的制造方法,其特征在于,至少包括:
提供一衬底;
形成一金属特征于该衬底上;
形成一介电层于该金属特征上;
形成一开口于该介电层中,其中通过该开口暴露出该金属特征的至少一部分,且其中一氧化层形成在该金属特征的一暴露部分上;
在具有一真空环境的一生产机台中,进行一氧化物去除工艺,以去除该氧化层,其中在形成该开口的步骤与该氧化物去除工艺之间,并未在该生产机台外对该金属特征进行额外的氧化物去除工艺;
在该生产机台中,形成一扩散阻挡层于该开口中;以及
在该生产机台中,形成一种籽层于该扩散阻挡层上。
2、根据权利要求1所述的集成电路结构的制造方法,其特征在于,其中在进行该氧化物去除工艺的步骤与形成该种籽层的步骤之间,无真空破除发生。
3、根据权利要求1所述的集成电路结构的制造方法,其特征在于,其中该金属特征包括铜。
4、根据权利要求1所述的集成电路结构的制造方法,其特征在于,其中该氧化物去除工艺至少包括将该氧化层还原成金属。
5、根据权利要求4所述的集成电路结构的制造方法,其特征在于,其中还原该氧化层的步骤至少包括在一含氢环境中烘烤该衬底。
6、根据权利要求1所述的集成电路结构的制造方法,其特征在于,其中在形成该开口的步骤与进行该氧化物去除工艺的步骤之间,该氧化层并未进行还原步骤。
7、根据权利要求1所述的集成电路结构的制造方法,其特征在于,其中进行该氧化物去除工艺的步骤、形成该扩散阻挡层的步骤、以及形成该种籽层的步骤分别是在该生产机台的一第一反应室、一第二反应室、与一第三反应室中进行。
8、根据权利要求7所述的集成电路结构的制造方法,其特征在于,其中在形成该扩散阻挡层的步骤与形成该种籽层的步骤之间,透过一传送反应室传送该衬底,该传送反应室具有小于1托的一压力。
9、根据权利要求8所述的集成电路结构的制造方法,其特征在于,其中在进行该氧化物去除工艺的步骤期间,该第一反应室具有小于20托的一压力。
10、根据权利要求1所述的集成电路结构的制造方法,其特征在于,在形成该种籽层后,还至少包括:
将该衬底取出该生产机台;以及
进行一电镀步骤,以填充该开口的剩余部分。
CNA2008100855945A 2008-01-11 2008-03-24 集成电路结构的制造方法 Pending CN101483148A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/972,785 US8470390B2 (en) 2008-01-11 2008-01-11 Oxidation-free copper metallization process using in-situ baking
US11/972,785 2008-01-11

Publications (1)

Publication Number Publication Date
CN101483148A true CN101483148A (zh) 2009-07-15

Family

ID=40850865

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2008100855945A Pending CN101483148A (zh) 2008-01-11 2008-03-24 集成电路结构的制造方法

Country Status (3)

Country Link
US (1) US8470390B2 (zh)
CN (1) CN101483148A (zh)
TW (1) TWI446448B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102509705A (zh) * 2011-11-10 2012-06-20 上海华力微电子有限公司 化学机械抛光后损伤的超低介电常数薄膜的修复方法
CN104253086A (zh) * 2013-06-26 2014-12-31 台湾积体电路制造股份有限公司 用于金属氧化物还原的预处理方法和所形成的器件
CN113675136A (zh) * 2020-05-13 2021-11-19 和舰芯片制造(苏州)股份有限公司 包含接触窗的集成电路生产方法、机台及集成电路

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8962469B2 (en) 2012-02-16 2015-02-24 Infineon Technologies Ag Methods of stripping resist after metal deposition
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9396992B2 (en) 2014-03-04 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of using a barrier-seed tool for forming fine-pitched metal interconnects
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10679891B2 (en) 2017-06-30 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnect structures using a vacuum environment

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6218303B1 (en) * 1998-12-11 2001-04-17 Vlsi Technology, Inc. Via formation using oxide reduction of underlying copper
US6177347B1 (en) * 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6878628B2 (en) * 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7030023B2 (en) * 2003-09-04 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for simultaneous degas and baking in copper damascene process
US7015133B2 (en) * 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials
JP4567587B2 (ja) * 2005-12-12 2010-10-20 富士通株式会社 半導体装置の製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102509705A (zh) * 2011-11-10 2012-06-20 上海华力微电子有限公司 化学机械抛光后损伤的超低介电常数薄膜的修复方法
CN104253086A (zh) * 2013-06-26 2014-12-31 台湾积体电路制造股份有限公司 用于金属氧化物还原的预处理方法和所形成的器件
CN104253086B (zh) * 2013-06-26 2017-04-05 台湾积体电路制造股份有限公司 用于金属氧化物还原的预处理方法和所形成的器件
CN113675136A (zh) * 2020-05-13 2021-11-19 和舰芯片制造(苏州)股份有限公司 包含接触窗的集成电路生产方法、机台及集成电路
CN113675136B (zh) * 2020-05-13 2023-11-14 和舰芯片制造(苏州)股份有限公司 包含接触窗的集成电路生产方法、机台及集成电路

Also Published As

Publication number Publication date
TWI446448B (zh) 2014-07-21
US20090181164A1 (en) 2009-07-16
TW200931534A (en) 2009-07-16
US8470390B2 (en) 2013-06-25

Similar Documents

Publication Publication Date Title
CN101483148A (zh) 集成电路结构的制造方法
CN102165573B (zh) 用于形成钌金属覆盖层的方法
CN102077325B (zh) 成膜方法及处理系统
CN101558476B (zh) 互连结构和制造嵌入结构的方法
US20150126027A1 (en) Method for manufacturing semiconductor device
WO1992016976A1 (en) Improved method of fabricating antifuses in an integrated circuit device and resulting structure
WO2011119293A2 (en) Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
TWI470679B (zh) Semiconductor device manufacturing method
US20140127902A1 (en) Method of providing stable and adhesive interface between fluorine based low k material and metal barrier layer
TWI655735B (zh) 形成互連結構所用之鈍化保護的方法
WO2019050735A1 (en) METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
KR20020074668A (ko) 반도체 소자의 금속 배선 형성 방법
US7985603B2 (en) Ferroelectric capacitor manufacturing process
US6099701A (en) AlCu electromigration (EM) resistance
US20190385908A1 (en) Treatment And Doping Of Barrier Layers
TW201628125A (zh) 自種晶層表面移除污染之系統以及方法
US7767572B2 (en) Methods of forming a barrier layer in an interconnect structure
TW201820502A (zh) 半導體結構的形成方法
US6528415B2 (en) Method of forming a metal line in a semiconductor device
KR100400248B1 (ko) 반도체소자의 배선 형성방법
US20110081503A1 (en) Method of depositing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
CN215451402U (zh) 一种电容及半导体设备
KR100639458B1 (ko) TaSIN막을 사용한 확산 방지막 형성 방법 및 이를이용한 금속 배선 형성 방법
US9502290B2 (en) Oxidation-free copper metallization process using in-situ baking
KR100463178B1 (ko) 반도체 소자의 금속배선 적층구조 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20090715