CN101197347B - 互连及其形成方法 - Google Patents
互连及其形成方法 Download PDFInfo
- Publication number
- CN101197347B CN101197347B CN2007101867822A CN200710186782A CN101197347B CN 101197347 B CN101197347 B CN 101197347B CN 2007101867822 A CN2007101867822 A CN 2007101867822A CN 200710186782 A CN200710186782 A CN 200710186782A CN 101197347 B CN101197347 B CN 101197347B
- Authority
- CN
- China
- Prior art keywords
- barrier film
- film
- diffusion barrier
- top surface
- cap
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76844—Bottomless liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本发明提供了一种互连,其包括具有顶表面和下表面的铜导体,在所述金属导体的顶表面上形成帽盖。所述帽盖由膜的双重层叠或多重层叠形成,所述层叠膜包括紫外(UV)阻挡膜和扩散阻挡膜。可以通过中间膜分隔扩散阻挡膜和UV阻挡膜。
Description
技术领域
本发明涉及超大规模集成(ULSI)微电子电路中被称为互连的互连结构的保护,所述超大规模集成微电子电路包括高速微处理器、专有集成电路、存储器装置和具有多层阻挡层(barrier layer)的相关电子结构。更具体而言,本发明涉及用于保护这样的ULSI微电子电路中的后段(BEOL)结构中的互连金属化(interconnect-metallization)的帽盖层的结构。
背景技术
在常规半导体器件中,一直采用铝和铝合金作为互连金属(metallurgy),从而在所述器件的BEOL中提供往返于所述器件的电连接。尽管基于铝的材料在过去曾经是被用作金属互连的材料优选,但是随着半导体器件的电路密度和速度的提高以及器件规模的降低,铝已经不再满足要求。因而,目前正在采用铜作为铝的替代,因为与铝相比,其对电迁移故障的敏感度更低,并且其具有更低的电阻率。
尽管存在这些优点,但是存在一个显著的问题,即,随着加工步骤的继续,铜容易扩散到周围的电介质材料内。为了扼制铜扩散,可以采用保护阻挡层将铜互连隔离。这样的阻挡层包括沿铜互连的侧壁和底部的、由具有纯金属或合金形式的钽、钛或钨构成的导电扩散阻挡衬。在铜互连的顶表面上提供帽盖。这样的帽盖包括各种电介质材料,例如,氮化硅(Si3N4)“氮化物”。
上述利用铜金属化和帽盖层的常规BEOL互连包括下部衬底,所述下部衬底可以含有诸如晶体管的逻辑电路元件。层间(inter-level)电介质(ILD)层覆盖所述衬底。所述ILD层可以由二氧化硅(SiO2)形成。但是,在高级的互连中,ILD层优选为低k聚合热固材料。可以在衬底和ILD层之间设置增粘剂(adhesion promoter)层。可选地在ILD层上设置氮化硅(Si3N4)“氮化物”层。所述氮化物层通常被称为硬掩模层或抛光停止层。在所述ILD层中嵌入至少一个导体。所述导体在高级互连中优选为铜,但是也可以是铝或其他导电材料。在所述导体为铜时,优选在ILD层和铜导体之间设置扩散阻挡衬。所述扩散阻挡衬通常由钽、钛、钨或者这些金属的氮化物构成。
往往通过化学机械抛光(CMP)步骤使导体的顶表面与硬掩模氮化物层的顶表面共平面。在所述导体和硬掩模氮化物层上设置通常也由氮化物构成的帽盖层。所述帽盖层起着扩散壁垒的作用,从而防止铜在接下来的加工步骤中从导体扩散到周围的电介质材料内。与等离子体增强(PE)CVD膜相比,诸如氮化物的高密度等离子体(HDP)化学气相淀积(CVD)膜提供了良好的电迁移保护,因为HDP CVD膜更易于阻止铜原子在帽盖层中沿互连表面移动。
但是,在常规HDP淀积过程中存在一个问题,在HDP CVD帽盖层内形成了一条缝(seam),并且由于结构内的应力,经常在这条缝处发展成帽盖层中的裂纹(crack)。如果该裂纹在覆盖铜导体的帽盖层的一部分内扩大,那么铜导线可能易于暴露于湿气和其他的氧源。如果所述裂纹在覆盖ILD的帽盖层的一部分内扩大,那么铜导体可能暴露至通过ILD扩散的湿气。在后一种情况下,所述缝在利用二氧化硅作为ILD材料的互连中具有相对较弱的影响,因为湿气通过二氧化硅的扩散率非常低。但是,在利用低k聚合热固电介质材料的互连中,这条缝具有较大的影响,因为通过大多数旋涂和CVD低k材料的湿气扩散速率相对较高。此外,帽盖层内的任何裂纹都可能导致铜通过所述缝扩散到ILD中,从而导致在帽盖层下形成铜结(nodule)。这样的铜结可能导致由于相邻互连线之间的电流漏泄引起的短路。
另一个显著的缺陷发生在HDP CVD膜与低k电介质材料集成时。HDPCVD工艺的能量反应能够引起与低k材料的相互作用,或者引起低k材料内的相互作用,从而导致不希望的变化出现。利用PE CVD膜能够显著缓解低k电介质材料中的此类变化。此外,在典型的PE CVD膜中,在淀积过程中不形成缝。出于该原因,在较早的基本规则(ground-rule)器件中采用PECVD帽盖层覆盖铜互连。在更为高级的基本规则器件中,人们发现PE CVD膜不如通过诸如HDP CVD的其他淀积技术形成的帽盖层,因为其与铜表面的附着差。PE CVD可能在图案化的铜线上剥离并形成浮泡,特别是在后面的电介质淀积、金属化和化学机械抛光过程中。
在淀积到铜金属上之后,通常在帽盖层上淀积额外的绝缘层。但是,接下来的向帽盖层上淀积绝缘层将产生应力,所述应力可能引起帽盖层从铜表面上剥离。该剥落将导致各种严重损坏机制,包括拉起层间电介质、拉起铜导体、铜从未被覆盖的铜线扩散、和电迁移。在双金属镶嵌工艺中经常可以看到这样的后果,在所述双金属镶嵌工艺中,经常在铜化学机械抛光过程中发生氮化硅硬掩模层的剥落。
Chen等的名为“Bilayer HDP CVD/PE CVD Cap in Advanced BEOLInterconnect Structures and Method Thereof”的共同转让的美国专利No.6887783公开了一种后段(BEOL)金属化结构,其包括双层扩散阻挡或帽盖,其中,第一帽盖层由优选通过高密度等离子体化学气相淀积(HDP CVD)工艺淀积的电介质材料形成,第二帽盖层由优选通过等离子体增强化学气相淀积(PE CVD)工艺淀积的电介质材料形成。所述双层扩散阻挡或帽盖适合用于包括用作层间电介质(ILD)的低k电介质材料和用作导体的铜的互连。
Hedrick等的名为“Multilayered Cap Barrier in MicroelectronicInterconnect Structures”的共同转让的美国专利No.7081673公开了一种低k多层电介质扩散阻挡,其具有至少一个低k子层和至少一个空气阻挡子层。所述多层电介质扩散阻挡层提供了金属扩散阻挡以及空气渗透阻挡。提供所述低k多层电介质扩散阻挡层从而通过降低导电金属特征之间的电容来提高芯片性能以及提高可靠性,因为所述多层电介质扩散阻挡层不能渗透空气并且防止金属扩散。
图1示出了作为来自Hedrick等人的现有技术实例的互连40A,其具有多个级(level)1000,每一级1000既包括通孔级1100,又包括线路级1200。互连40A含有导电金属特征33,其穿过所述结构,并且可以具有与由金属构成的扩散阻挡衬34之间的界面。导电金属特征33和扩散阻挡衬34被电介质层包围。通孔级内的电介质层包括低介电常数层32以及至少由两个子层,即空气阻挡子层36和低k子层38构成的多层电介质扩散帽盖阻挡层39。在线路级1200中,电介质层包括低介电常数层31和可选的硬掩模电介质41。可选地,可以将电介质蚀刻停止层37置于通孔级电介质层32和线路级电介质层31内的低介电常数层之间。通孔级电介质层32和线路级电介质层31内的低介电常数材料在化学成分上可以相同,也可以不同。
图2示出了作为来自Hedrick等人的另一现有技术实例的互连40B,其具有多个级1000,每一级1000既包括通孔级1100,又包括线路级1200。图2的互连40含有穿过所述结构的导电金属特征33,其可以具有与扩散阻挡衬34之间的界面。导电金属特征33和扩散阻挡衬34被电介质层包围。通孔级内的电介质层包括创造性的多层电介质扩散帽盖阻挡层39,其至少由两个子层构成,包括空气阻挡子层36和低k子层38。线路级中的电介质层包括低介电常数层31和可选硬掩模电介质41。可选地,可以将电介质蚀刻停止层37置于低介电常数层31和多层电介质扩散帽盖阻挡层39之间。
图3示出了作为来自Hedrick等人的又一现有技术实例的互连40C,其具有多个级1000,每一级1000既包括通孔级1100,又包括线路级1200。互连40C含有穿过所述结构的导电金属特征33,其可以具有与扩散阻挡衬34之间的界面。导电金属特征33和扩散阻挡衬34被几个电介质层包围。线路级内的电介质层包括低介电常数材料43。通孔级内的电介质层在不直接位于导电金属线之下的区域内包括所述相同的低介电常数材料43、存在于导电金属线33之下的在化学上不同的低介电常数材料42、及多层电介质扩散帽盖阻挡层39。可选地,可以将电介质蚀刻停止层37置于低介电常数材料42和位于其上的扩散阻挡衬34之间。
Wang等的名为“Improved HDP-Based ILD Capping Layer”的美国专利申请2006/0113672教导,必须保护任何Cu导体(通常为布线(wire),因为通孔与上层导体的底表面接触)的上表面不受诸如氧化的工艺的损害。Wang等人指出,“为了覆盖铜互连的上表面,通常采用由诸如氮化硅(Si3N4)的电介质材料构成的‘帽盖层’。也将帽盖层称为“钝化层”。所述钝化层还经常必须在接下来的处理中起着蚀刻停止层的作用,但是,作为蚀刻停止层具有最佳表现的材料往往无法作为钝化层取得最佳表现。例如,可以采用氮氧化硅SiON作为蚀刻停止层,但是不太希望将其用作钝化层,因为可能在铜和氮氧化硅之间产生剥落。氮化硅‘SiN’避免了剥落问题,并且是优选的钝化材料,但是不太希望将其作为蚀刻停止层。”Wang等人还提出了如下内容,“帽盖氮化物叠层,在保持位于Cu顶上的HDP氮化物的电迁移益处的同时,防止蚀刻穿透到HDP氮化物。在一个实施例中,所述叠层包括由HDP氮化物构成的第一层和设置在第一层之上的由Si--C--H化合物构成的第二层。例如,所述Si--C--H为BLoK或者N-BLoK(Si--C--H--N),其选自在通孔RIE过程中具有高选择性的一组材料,从而使来自下一线路级的RIE化学物质无法穿过。碳和氮是关键元素。在另一个实施例中,所述叠层包括由HDP氮化物构成的第一层,随后是由UVN(等离子体氮化物)构成的第二层,以及设置在所述第二层上的包括HDP氮化物的第三层。”
Wang等人描述了与由UVN膜和HDP氮化物膜形成的UVN/HDP氮化物帽盖层的双层相关的问题,即,在形成通路孔的过程中,反应离子蚀刻(RIE)工艺继续得过深,其穿过了UVN膜,落到了HDP膜上。如果UVN在通路孔下完全消失,那么通孔RIE将抵达HDP氮化物膜,从而引发问题。HDP氮化物中的缝可能变成铜(Cu)与湿气反应的入口路径,从而导致体积膨胀和帽盖层的断裂。而且,在通孔RIE过程中也可能通过缝发生反应。
Wang等人提出,可以通过在HDP氮化物层之上帽盖层中采用N-BLoK(或BLoK)替代UVN来解决UVN和二氧化硅(SiO2)之间的选择性差的问题。BLoK是由Applied Materials Tool制造的Si--C--H化合物。N-BLoK是由Applied Materials Tool制造的Si--C--H--N化合物。由于N-BLoK主要为Si--C--H--N,因此SiO2和N-BLoK(或BLoK)之间的选择性大,通孔RIE工艺将在N-BLoK(或BLoK)上停止,而不会带来损害HDP帽盖层的风险。分别将BLoK和N-BLoK称为硅碳化物和硅碳氮化物(掺氮硅碳化物)。
Wang等人教导,非常重要的一点是在嵌入的铜导体上设置HDP氮化物作为下层,从而在Cu和氮化物帽盖层之间提供良好的界面。因此,HDP氮化物是用作多层帽盖层中的下层的优选材料。接下来的层可以是,N-BLoK处于HDP第一层上,或者HDP处于UVN上,UVN处于HDP第一层上,或者HDP处于N-BLoK上,N-BLoK处于HDP层上,以解决通孔氧化物RIE过程中的选择性问题。
图4A通过正视截面图示出了Wang等人的现有技术互连500,其含有两个布线级(wiring level)510和530。铜导体520被示为嵌入到第一ILD层512的沟槽中。在铜导体520的下面和侧壁上提供阻挡层518。铜导体520的顶表面实质上与ILD 512的表面共平面,其通常是化学机械抛光(CMP)的结果。在器件500上淀积帽盖层522,覆盖ILD 512的顶表面和铜导体520的顶表面。在帽盖层522上形成第二ILD层532,在第二ILD层532上形成通路孔536。在图4B中,ILD层512和532可以均为二氧化硅(SiO2)。但是,适当的低k材料也被描述为可以接受的。在互连500中,整个帽盖层522的第二帽盖层526包括N-BLoK(或BLoK)。
图4B通过正视截面图示出了Wang等人的另一现有技术互连600,其含有两个布线级610和630。铜导体620被示为嵌入到第一ILD层612内的沟槽中。在铜导体620的下面和侧壁上提供阻挡层618。铜导体620的顶表面实质上与ILD 612的表面共平面,其通常是化学机械抛光(CMP)的结果。在器件600上淀积帽盖层622,覆盖ILD 612的顶表面和铜导体620的顶表面。在帽盖层622上形成第二ILD层632,在第二ILD层632内形成通路孔636。图4B的互连结构600与图4A的互连结构500的区别在于,多层帽盖层622包括三个层,而不是两个层。更具体而言,在HDP氮化物(HDP-1)的初始层624上淀积由UVN(等离子体氮化物)构成的层626。之后,在由UVN构成的层626上淀积由HDP氮化物(HDP-2)构成的第二层628。由HDP氮化物(HDP-1)构成的第一层624处于ILD 612和铜导体620的表面上。
Frohberg等人的名为“Nitrogen-free ARC/Capping Layer and Method ofManufacturing the Same”的美国专利申请2006/0024955描述了“低k层叠置结构内的无氮ARC/帽盖层,在具体的实施例中,其由含有碳的二氧化硅构成,其中,将光学特性调整至与193nm光刻一致。此外,直接在低k材料上形成ARC/帽盖层,由此还在蚀刻和化学机械抛光工艺中保持其完整性。”Frohberg等人的申请2006/0024955提供了帽盖电介质(作为硬掩模),但是其与提供Cu阻挡层的帽盖无关。
Frohberg等人的名为“ Technique for Enhancing the Fill Capabilities in anElcctrochemical Deposition Process by Edge Roundi
通常需要利用在作为超大规模集成(ULSI)电路的部分的金属互连内充当金属扩散阻挡的材料来生成可靠的器件,因为低k层间电介质(ILD)无法防止金属扩散。此类金属扩散阻挡材料置于互连中可能存在差别,且膜的质量将取决于淀积和处理方法。
Colburn等人的名为“Nonlithographic Method to Produce Self-AlignedMask,Articles Produced by Same and Compositions for Same”的共同转让的美国专利No.6911400示出了具有层间电介质(ILD)、金属布线、衬阻挡层和帽盖阻挡层的互连。所述互连具有由多个布线级、通孔层和线路级构成的多个级。Colburn等人指出,用于ILD的材料具有低介电常数(k<3),其包括掺碳二氧化硅(硅碳氧化物或SiCOH电介质);掺氟氧化硅(氟硅酸盐玻璃(FSG));旋涂玻璃;倍半硅氧烷(SilSesQuioxane:SSQ),包括氢SSQ(HSSQ)、甲基SSQ(MSSQ)以及HSSQ和MSSQ的混合物或共聚物;以及任何含有硅的低k电介质。ILD可以含有孔隙,从而进一步降低介电常数,并且可以采用其他电介质。或者,修改包括金属布线、衬阻挡层和帽盖阻挡层的结构,使之包括其间具有电介质硬掩模层的多个ILD层。用于ILD的材料具有低介电常数(k<3),例如,有机聚合物热固材料,可以选自由SiLKTM(Dow Chemical公司的产品)、FlareTM(Honeywell的产品)和其他聚亚芳香基醚(polyarylene ether)构成的集合,或者可以是其他有机聚合物热固电介质。用于电介质硬掩模的材料包括硅碳化物、掺碳二氧化硅(硅碳氧化物或者SiCOH电介质);掺氟氧化硅(FSG);旋涂玻璃;以及SSQ。
在微电子器件中通常采用由电介质构成的扩散阻挡层,所述电介质包括例如硅氮化物、硅碳化物、硅碳氮化物。通常通过化学气相淀积(CVD)和PE CVD法淀积这些材料,并且可以将其淀积为连续膜。与金属阻挡层不同,可以将电介质层淀积为毯式膜(blanket film),并且可以将其放置在导电金属线之间。
在各种现有技术结构中,在介电常数处于大约3和3.5之间的低介电常数(k)材料内形成窄(thin)布线(以及可选的宽(fat)布线)。在其他现有技术结构中,在介电常数小于3并且可能低达1.8的超低K(ULK)材料中形成窄布线(以及可选的宽布线),其中K为介电常数。典型地,ULK材料具有处于1.8到3的范围内的介电常数。
采用紫外(UV)光修改SiCOH电介质膜的体(bulk)是公知的。如本领域公知的,可以采用UV辐射穿过具有SiCOH成分的电介质,以强化电介质体,从而提高弹性模量。例如,Harvey的美国专利No.6566278指出采用UV光使SiOH膜的体更加致密。具体地,′278专利教导了将所述膜的体中的SiOH基转换为Si-O-Si链(linkage)。在′278专利中公开的所得到的膜在UV照射之后具有“有序硅氧化物晶格的键特征”。为了实现该目的,UV辐射打开了Si-O和O-H键,并导致了形成更多的Si(O)3和Si(O)4结构(分别具有3或4个与Si之间的键),这些使得材料更加强固,并具有更高的弹性模量。
但是,尽管已经证明UV固化对于超低k ILD/IMD(金属间电介质)集成有好处,但是UV固化也增加/改变了下层帽盖层(即,N-BLoK/氮化物)的应力,从而从压力膜变为高度拉伸膜。所观察到的应力改变提高了帽盖层的电介质(k)值并且不利地影响结构的机械完整性。
对UV固化的影响的已知解决方案是通过从高度压缩应力的(compressive)N-BLok膜开始来补偿应力改变。因此,该改变将可能提高介电常数k并影响其Cu氧化/扩散特性。对于高性能的不足50nm的纳米CMOS器件必须降低帽盖层的电介质(k)值。具有嵌入的纳米阻挡UV膜将使应力变化降至最低,同时保持结构的降低的总电容。
发明内容
本发明涉及互连,其包括用作对金属扩散和空气渗透的阻挡的多重膜扩散帽盖阻挡层,并且能够抵御UV固化变性。本发明的多层电介质扩散帽盖阻挡层由子层构成,其中,至少一个层用作UV阻挡层,其能够实现要求后UV处理的Cu/通过PECVD、旋涂施加的超低k层间电介质(ILD)的互连的集成。
除了其对金属扩散和空气渗透的阻挡特性外,本发明的阻挡层还防止/抑制紫外(UV)光/电子束渗透到衬底和/或帽盖阻挡层的最底部的层。
更具体而言,本发明涉及在后段(BEOL)采用多层帽盖阻挡层。
本发明还涉及在含有至少两种导体或电子感测结构的电子器件中采用具有UV抵御性的多层帽盖阻挡材料。
本发明的主要优点在于,通过在帽盖阻挡膜内嵌入电介质阻挡层,防止了使帽盖层发生UV/电子束变性。还描述了实现阻挡膜的应用方法、材料成分和结构。
可以在采用金属互连的任何微电子器件中采用本发明,例如,所述器件包括高速微处理器、专用集成电路和存储器。本发明的多层电介质扩散阻挡层的使用对于用于采用需要UV固化的低k电介质的集成电路极为有利。
在本发明的实施例中,互连包括由两个或更多子膜构成的多膜电介质扩散阻挡层,所述子膜在UV固化中表现出了最低的材料和电子特性变化。至少一个子膜是具有高C含量的高光学带隙材料,其能够阻止UV使帽盖层的所需特性发生改变。这些子膜中的至少另一个可以是不透气的、并且作为金属扩散阻挡的CVD淀积膜。可以将所述UV阻挡膜置于层叠阻挡膜的顶上和/或下面,和/或处于其间。可选地,可以在多膜电介质扩散层内的任何界面处、或者子层之间的界面处应用粘合层。
本发明的多层电介质扩散阻挡层可以具有可调整的介电常数,其取决于嵌入层的厚度(2到10nm)。复合介电常数值可以处于3<k<7的范围内,同时保持所需的金属扩散和空气阻挡特性,并使通过UV固化导致的应力变化降至最低。此外,所述多层电介质扩散阻挡对于高于400℃的温度具有热稳定性,并且可以是致密(即,非多孔)或多孔的以进一步降低介电常数。
在本发明第二实施例中,描述了一种制造所述多层电介质扩散阻挡层的方法。在具有暴露的金属和电介质特征的互连的顶上形成本发明的多层电介质扩散阻挡层。之后,通过CVD相关方法或者通过基于溶剂的工艺(例如,旋涂)淀积每一子层。可选地,可以在多层电介质扩散阻挡层的任何界面处或者在子层之间的界面处涂覆增粘剂(adhesion promoter)。
在本发明第三实施例中,提供了多层电介质扩散阻挡层及其子层的成分。通过基于CVD的工艺制造至少一个子层,由此使空气和金属扩散阻挡子层由氮化硅、硅碳氮化物或者具有SitNuCvOwHx的一般成分的电介质构成,其中,0.1≤t≤0.8,0≤u≤0.8,0.05≤v≤0.8,0≤w≤0.3,0.05≤x≤0.8,t+u+v+w+x=1。通过基于CVD的工艺制造至少一个其他子层,由此使所述子层由诸如硼氮化物膜、硅硼氮化物膜、碳硼氮化物膜、硅碳硼氮化物膜和碳膜的UV阻挡高带隙膜、或者诸如氮化碳(CNx)和掺硼氮化碳(CBxNy)的具有更好的机械、电和Cu扩散特性的较低带隙膜构成。
根据本发明,提供了一种互连,其包括具有顶表面和下表面的金属导体,以及形成于所述金属导体的顶表面上的帽盖,所述帽盖由层叠膜形成,所述层叠膜包括紫外(UV)阻挡膜和扩散阻挡膜。优选,在所述金属导体的顶表面上形成所述扩散阻挡膜;所述金属导体基本由铜构成;以及在所述扩散阻挡膜之上形成所述UV阻挡膜。
优选在所述扩散阻挡膜和所述UV阻挡膜之间形成中间膜,所述中间膜由选自粘合膜和递变膜的材料构成。所述粘合膜优选自由SiaLbRc构成的集合,并且a+b+c=1;其中,“L”选自由羟基、甲氧基、乙氧基、乙酸基、烷氧基、羧基、胺、卤素构成的集合;“R”选自由氢化物、甲基、乙基、乙烯基和苯基(任何烷基或芳基)构成的集合;其中“a”从大约0.25到大约0.5,“b”从大约0.1到大约0.8,“c”从大约0到大约0.7。
优选地,在所述金属导体的顶表面上形成所述UV阻挡膜;在所述UV阻挡膜上形成所述扩散阻挡膜;在所述金属导体的顶表面上形成所述扩散阻挡膜;在所述扩散阻挡膜上形成所述UV阻挡膜;以及在所述UV阻挡膜上形成第二扩散阻挡膜。
优选在金属导体的顶表面上形成扩散阻挡膜;在所述扩散阻挡膜上形成所述UV阻挡膜;在所述UV阻挡膜上至少形成第二扩散阻挡膜;以及在所述第二扩散阻挡膜上至少形成第二UV阻挡膜。所述互连可以包括形成于所述金属导体的顶表面上的UV阻挡膜;形成有所述UV阻挡膜上的扩散阻挡膜;以及形成有所述扩散阻挡膜上的第二UV阻挡膜。
所述UV阻挡膜可以包括选自由适于在化学气相淀积(CVD)室内淀积的氮化碳(CNx)膜、硼氮化物膜、硅硼氮化物膜、碳硼氮化物膜、硅碳硼氮化物膜和硅碳膜构成的集合的UV阻挡高带隙膜、以及由具有较低带隙但是具有更好的机械、电和Cu扩散特性的氮化碳(CNx)和掺硼氮化碳(CBxNy)构成的膜。
就本发明的另一方面而言,一种互连包括:带有凹槽的第一电介质膜,所述凹槽具有凹槽底部和凹槽侧壁;形成于所述凹槽底部和所述凹槽侧壁上的下部扩散阻挡膜;具有侧表面、下表面和顶表面的金属导体,所述侧表面和下表面与所述下部扩散阻挡接触;形成于所述金属导体的顶表面上的帽盖,所述帽盖由层叠膜形成,所述层叠膜包括紫外(UV)阻挡膜和扩散阻挡膜。优选在所述金属导体的顶表面上形成所述扩散阻挡膜;所述金属导体实质上由铜构成;并且在所述扩散阻挡膜上形成所述UV阻挡膜。优选在所述扩散阻挡膜和所述UV阻挡膜之间形成中间膜,所述中间膜由选自粘合膜和递变膜的材料构成。所述粘合膜优选地选自由SiaLbRc构成的集合,并且a+b+c=1;其中,“L”选自由羟基、甲氧基、乙氧基、乙酸基、烷氧基、羧基、胺、卤素构成的集合;“R”选自由氢化物、甲基、乙基、乙烯基和苯基(任何烷基或芳基)构成的集合;其中“a”从大约0.25到大约0.5,“b”从大约0.1到大约0.8,“c”从大约0到大约0.7。还优选在所述金属导体的顶表面上形成所述UV阻挡膜,在所述UV阻挡膜上形成所述扩散阻挡膜。优选在所述金属导体的顶表面上形成所述扩散阻挡膜,在所述扩散阻挡膜上形成所述UV阻挡膜,在所述UV阻挡膜上形成第二扩散阻挡膜。优选地,在所述金属导体的顶表面上形成所述扩散阻挡膜;在所述扩散阻挡膜上形成所述UV阻挡膜;在所述UV阻挡膜上至少形成第二扩散阻挡膜;以及在所述第二扩散阻挡膜上至少形成第二UV阻挡膜。还优选在所述金属导体的顶表面上形成所述UV阻挡膜,在所述UV阻挡膜上形成所述扩散阻挡膜,并且在所述扩散阻挡膜上形成第二UV阻挡膜。所述UV阻挡膜优选包括选自由适于在化学气相淀积(CVD)室内淀积的氮化碳(CNx)膜、硼氮化物膜、硅硼氮化物膜、碳硼氮化物膜、硅碳硼氮化物膜和硅碳膜构成的集合的UV阻挡高带隙膜、以及由具有较低带隙但是具有更好的机械、电和Cu扩散特性的氮化碳(CNx)和掺硼氮化碳(CBxNy)构成的膜。
就本发明的又一方面而言,一种互连包括:带有凹槽的第一电介质膜,所述凹槽具有凹槽底部和凹槽侧壁;形成于所述凹槽底部和所述凹槽侧壁上的下部扩散阻挡膜;具有侧表面、下表面和顶表面的铜导体,所述侧表面和下表面与所述下部扩散阻挡接触;形成于所述金属导体的顶表面上的帽盖,所述帽盖由层叠膜形成,所述层叠膜包括紫外(UV)阻挡膜和扩散阻挡膜;以及连接至所述铜导体的导电铜通路(via)。
本发明的又一方面包括一种形成互连的方法,其包括:提供具有顶表面和下表面的金属导体,并在所述金属导体的顶表面上形成帽盖,所述帽盖包括层叠膜,所述层叠膜包括紫外(UV)阻挡膜和扩散阻挡膜。
附图说明
图1示出了互连的现有技术实例,所述互连具有多个级,每一级既包括通孔级,又包括线路级。
图2示出了互连的另一现有技术实例,所述互连具有多个级,每一级既包括通孔级,又包括线路级。
图3示出了互连的又一现有技术实例,所述互连具有多个级,每一级既包括通孔级,又包括线路级。
图4A通过正视截面图示出了含有两个布线级的现有技术互连。
图4B通过正视截面图示出了另一含有两个布线级的现有技术互连。
图5示出了在暴露至不同的UV固化时间时,单个SiCN层的应力的显著增加。
图6A示出了在UV固化之后单个SiCN帽盖层提高的介电常数和泄漏值。
图6B示出了在UV固化之后帽盖层提高的介电常数(k)和泄漏值。
图6C是示出了UV固化对Nblok特性的影响的表格。
图7是由于采用了UV固化而具有了折中的机械完整性的BEOL多级互连的截面SEM图像。由UV固化引起的单个SiCN帽盖层应力从压缩到拉伸的改变导致了通过多个级传播的裂纹,因为所述帽盖不再提供对开裂的任何抵抗。
图8A-8L是根据本发明的互连的实施例的示意性截面正视图,所述互连包括由两个或多个膜构成的多层、扩散抑制帽盖,所述两个或多个膜包括在铜导体的顶表面上按该顺序或相反顺序形成的与UV阻挡膜结合的扩散阻挡膜。
图9A-9L是根据本发明用于形成对应于图8A-8L所示的互连的工艺步骤的流程图。
具体实施方式
在本发明的一个实施例中,多层扩散阻挡层在300℃以上是热稳定的,其具有处于10nm和50nm之间的厚度,并且由至少两个层叠膜构成,即,双重膜(双层),其中,所述双重膜中的至少一个膜为空气和金属扩散阻挡且至少另一个为UV阻挡膜。本发明的多膜扩散阻挡层可以具有各种构造,包括例如在层叠帽盖阻挡之上具有UV阻挡膜的双重膜、在UV阻挡膜之上具有帽盖阻挡膜的双重膜、或者在两个帽盖阻挡膜之间放置UV阻挡膜的三重膜(三个层叠膜或者可以将其称为三层)。例如,(a)如果目的在于防止UV穿透,那么将UV阻挡膜淀积在顶部;(b)如果目的是允许部分UV穿透,那么在三重膜的中央插入所述膜;以及(c),如果目的在于允许顶部膜暴露至UV/e束,那么将UV阻挡膜置于底部,以防止UV穿透到衬底内。
图8A是根据本发明的互连10A的实施例的示意性截面正视图,所述互连10A包括扩散抑制帽盖双重膜20A,其可以由形成于铜导体16的顶表面上的扩散阻挡膜140(包括对空气和金属扩散的阻挡)与形成于扩散阻挡膜140的顶表面上的UV阻挡膜130构成。互连10A包括下部ILD层12。下部ILD层12内的凹槽13在该凹槽的底部和侧壁上容置常规的下部金属扩散阻挡衬14,并留下填充铜导体16的空间。在铜导体16之上,形成铜通孔108,其侧壁衬以为铜通孔导体18提供的上部金属扩散阻挡衬24。除了带有衬的铜通孔18、108和上部扩散阻挡衬24之外,ILD层12和铜导体16的顶表面覆盖以帽盖双重膜20A,其目的在于防止对铜造成损害或者铜从容置其的凹槽进行原子扩散。在帽盖双重膜20A上形成上部ILD层15。对上部ILD层15进行平面化处理,使其上表面与通孔18和上部扩散阻挡衬24的顶表面平齐。
换言之,双重膜帽盖20A包括扩散阻挡膜140(作为对空气和金属扩散的阻挡)和嵌入的UV阻挡膜130。在图8A所示的实施例中,扩散阻挡膜位于双重膜20A的下半部,其最接近铜(Cu)导体16。将UV阻挡膜130形成在扩散阻挡膜140的顶部,其目的在于阻挡UV辐射到达扩散阻挡膜140,以防止UV辐射改变其所需特性。
扩散阻挡膜的成分
扩散阻挡膜140优选是由一般成分SiCNH的膜。该子层可以是致密或多孔材料(其可以是电介质),包括共价键的三维网络中的Si、C、N和H元素,介电常数小于6。在本申请中始终采用“三维网络”一词表示包括沿x、y和z方向相互连接及相互关联的硅、碳、氮和氢的SiCNH电介质材料。本发明的SiCNH电介质材料可以包括处于大约5和大约40之间、更优选处于大约10到大约20的原子百分比的Si;处于大约5和大约50之间、更优选处于大约15到大约40的原子百分比的C;处于0和大约20之间、更优选处于大约10到大约30的原子百分比的N;以及处于大约10和大约55之间、更优选处于从大约20到大约45的原子百分比的H。本发明的SiCNH电介质材料可以含有直径处于大约0.3到大约10纳米、最优选直径处于大约0.4和大约5纳米之间的分子级孔隙(void)(即,纳米尺寸的孔隙),其降低了SiCNH电介质材料的介电常数。纳米尺寸的孔隙占据了材料体积中大约0.5%和大约50%之间的体积。
UV阻挡膜的成分
在图8A-8L中示出了作为UV阻挡膜130、130U或130L的纳米级嵌入UV阻挡膜。这些UV阻挡膜130、130U或130L中的每者由能够基于现有技术在CVD室内淀积的UV阻挡高带隙膜(例如,硼氮化物膜、硅硼氮化物膜、碳硼氮化物膜、硅碳硼氮化物膜和硅碳膜)构成。此外,可以采用具有较低带隙,但具有更好的机械、电和Cu扩散特性的UV阻挡膜130,例如氮化碳(CNx)和掺硼氮化碳(CBxNy)。在PECVD设备组(tool cluster)中相应帽盖的多膜淀积能够降低处理时间和设备成本,因为所有的步骤都是在相同的设备组内通过相同工艺流程执行的。本发明的UV阻挡材料包括处于大约原子百分比5和大约原子百分比40之间、更优选从大约10到大约20的原子百分比的Si;处于大约5和大约50之间、更优选从大约15到大约40的原子百分比的C;处于0和大约50之间、更优选从大约10到大约30的原子百分比的B;处于0和50之间、更优选从大约10到大约30的原子百分比的N;处于大约10和大约55之间、更优选从大约20到大约45的原子百分比的H。
在作为对图8A的修改的图8B中,示出了互连10B的示意性截面正视图,互连10B具有三重膜帽盖20B,将后者形成为具有存在于多膜电介质扩散阻挡膜的子膜之间的中间膜150,即,UV阻挡膜130处于顶部,扩散阻挡膜140处于底部,中间膜150处于其间。
中间膜的成分
可以包括粘合膜(graded film)或递变膜(graded film)的图8B的中间膜150可以由选自包括SiaLbRc的集合的材料构成,其中,“L”从由羟基(hydroxyl)、甲氧基(methoxy)、乙氧基(ethoxy)、乙酸基(acetoxy)、烷氧基(alkoxy)、羧基(carboxy)、胺(amines)和卤素(halogens)构成的集合中选择。“R”从由氢化物(hydride)、甲基(methyl)、乙基(ethyl)、乙烯基(vinyl)和苯基(phenyl)(任何烷基alkyl或芳基aryl)构成的集合中选择;“a”从0.25到0.5,“b”从0.1到0.8,“c”从0到0.7,和a+b+c=1。可以通过旋涂或CVD(等离子体、热、蒸发)处理来淀积的粘合膜150可以具有成分递变层的形式。
三重膜结构的形成工艺
出于工艺效率的目的,可以在单个CVD淀积工艺中形成图8B中的三重膜结构,其中,UV阻挡膜130处于顶部,扩散阻挡膜140处于底部,中间膜150处于中间。PECVD设备组中的相应帽盖的多膜淀积能够降低工艺时间和设备成本,因为所有的步骤都是在相同的设备组内通过同一工艺流程执行的。此外,也可以将中间膜150看作是在等离子体增强化学气相淀积工艺中形成的过渡膜。其可在扩散阻挡膜140和UV阻挡膜130的淀积所涉及的化学物质之间的变换中形成。于是,中间膜150将具有该三重膜帽盖20B中另两个子膜130和140的中间成分。
在对作为图8A的修改的图8C中,示出了互连10C的示意性截面正视图,互连10C具有双重膜帽盖20C,后者具有图8A所示的双重膜帽盖20A的逆序。该逆序双重膜帽盖20C包括形成于铜导体16的顶表面上且位于上部扩散阻挡膜140之下的下部UV阻挡膜130。采用该逆序双重膜帽盖20C结构保护下层衬底免于UV变性。该实施例适用于这样的情况,即,其中扩散阻挡膜140不易受到UV辐射的损害,但是位于其下的包括UV阻挡膜130的材料在内的材料易受UV辐射的损害。
图8D示出了作为图8C的变型的本发明的另一实施例,其包括互连10D的示意性截面正视图,互连10D具有三重膜帽盖20D,后者具有存在于扩散阻挡膜140和UV阻挡膜130之间的中间层150,其是图8B的三重膜帽盖20B的逆序。三重膜帽盖20D形成有处于多层电介质扩散阻挡膜的子膜之间的中间层150,即,UV阻挡膜130处于顶部,扩散阻挡膜140处于底部,中间层150处于二者之间。
图8E示出了包括三重膜帽盖20E的、根据本发明作为图8A的变型的互连10E的实施例的示意性截面正视图。三重膜帽盖20E形成有中间UV阻挡膜130,其夹在下部扩散阻挡膜140和上部电介质扩散阻挡膜160之间。该三重膜帽盖20E允许部分UV辐射渗透到下部扩散阻挡膜140内,允许上部扩散阻挡膜160中的完全UV辐射穿透。在三重膜帽盖20E中,上部电介质扩散阻挡膜160可以由与下部扩散阻挡膜140相同的材料构成,或者可以根据具体应用的需要由完全不同的材料构成。
图8F包括作为8D的互连的变型的、包括另一互连10F的本发明的另一实施例的示意性截面正视图,互连10F包括五重膜帽盖20F,后者具有处于图8D的下部扩散阻挡膜140、UV阻挡膜130、和上部扩散阻挡膜160之间的一对粘合或递变膜。从顶部到底部有上部扩散阻挡膜160、第一中间膜150、UV阻挡膜130、第二中间膜150、和处于铜导体16的顶表面上的下部扩散阻挡膜140。
图8G包括根据本发明的作为图8E的变型的互连10G的示意性截面正视图。互连10G包括多重膜帽盖20G,其具有形成于铜导体16的顶表面上的下部UV阻挡膜130L的淀积层。在下部UV阻挡膜130L的顶表面上形成三重扩散阻挡膜140。在扩散阻挡膜140的顶表面上形成上部UV阻挡膜130U,从而使扩散阻挡膜140夹在下部UV阻挡膜130L和上部UV阻挡膜130U之间。上部UV阻挡膜130U和下部UV阻挡膜130L阻挡UV辐射免于穿透到铜导体16、阻挡层14或下部ILD层12内或从其中穿过。
图8H包括根据本发明的、作为图8G的互连的变型的互连10H的示意性截面正视图,互连10H包括多重膜帽盖20H,其具有形成于铜导体16的顶表面上的下部UV阻挡膜130L的淀积层。在下部UV阻挡膜130L的顶表面上形成扩散阻挡膜140。在扩散阻挡膜140的顶表面上形成上部UV阻挡膜130U,从而使扩散阻挡膜140夹在下部UV阻挡膜130L和上部UV阻挡膜130U之间。扩散阻挡膜140阻挡UV辐射免于穿透底部UV阻挡膜130L以及位于扩散阻挡膜140和底部UV阻挡膜130L下面的ILD层12。在下部UV阻挡膜130L、扩散阻挡膜140和上部UV阻挡膜130U之间提供一组中间粘合或递变膜150。从顶部到底部有上部UV阻挡膜130U、第一中间膜150、扩散阻挡膜140、第二中间膜150、和处于铜导体16的顶表面上的下部UV阻挡膜130L。
图8I包括根据本发明的、作为图8A的互连的变型的互连10I的示意性截面正视图,互连10I包括多重膜帽盖20I,其形成有多重膜,即,四个UV阻挡膜130,UV阻挡膜130与多重膜即四个扩散阻挡膜140交替层叠。该组淀积层在UV阻挡膜130和下部扩散阻挡膜140内都提供了对UV辐射的阻挡程度的总体增强。如图8A所示,上部UV阻挡膜130处于顶部,接下来是上部扩散阻挡膜140。这些膜之后接着第二UV阻挡膜130,其后继之以第二扩散阻挡膜140,等等,直到一组四个扩散阻挡膜140与一组四个UV阻挡膜130交错布置。按顺序排列的四组膜140/130只是示范性的,可以设想其任何多重组作为替代。
图8J包括根据本发明的、作为图8D的实施例的变型的互连10J的示意性截面正视图,互连10J包括多重膜帽盖20J,其形成有多重膜,即,三个UV阻挡膜130,其与多重膜即三个扩散阻挡膜140交替层叠,一组中间粘合或递变膜150处于其间。这组淀积层在UV阻挡膜130和下部UV扩散阻挡膜140中提供了对UV辐射的阻挡程度的总体增强。如图9F所示,上部UV阻挡膜130处于顶部,之后接着中间膜150和上部扩散阻挡膜140,随后是中间膜150和第二UV阻挡膜130,之后是中间膜150和第二扩散阻挡膜140等,直到一组三个扩散阻挡膜140与一组三个UV阻挡膜130交错布置。其包括处于其间的一组五个中间粘合或递变膜150。按顺序排列的膜140/150/130/150构成的组只是示范性的,可以设想其任何多重组作为替代。
图8K包括根据本发明的、作为图8C的互连的变型的互连10K的示意性截面正视图,互连10K包括多重膜帽盖20K,其形成以多重膜即四个UV阻挡膜130与多重膜即四个扩散阻挡膜140交替层叠。该组淀积层在下部UV阻挡膜130和上部扩散阻挡膜140内提供了对UV辐射阻挡程度的总体增强。如图8C所示,扩散阻挡膜140处于顶部,继之以上部UV阻挡膜130。这些膜之后接着第二扩散阻挡膜140,其后继之以第二UV阻挡膜130,等等,直到一组四个扩散阻挡膜140与一组四个UV阻挡膜130交错布置。按顺序排列的四组膜130/140只是示范性的,可以设想其任何多重组作为替代。
图8L包括根据本发明的、作为图8D的实施例的变型的互连10L的示意性截面正视图,互连10L包括多重膜帽盖20L,其形成以多重膜即三个扩散阻挡膜140与多重膜即三个UV阻挡膜130交替层叠,一组中间粘合或递变膜150位于其间。该组淀积层在上部扩散阻挡膜140和下部UV阻挡膜130内提供了对UV辐射的阻挡程度的总体增强。如图9H所示,顶部是上部扩散阻挡膜140之后接着上部UV阻挡膜130,随后是第二扩散阻挡膜140之后接着第二UV阻挡膜130,等等,直到一组三个扩散阻挡膜140与一组四个UV阻挡膜130交错布置。其包括处于其间的一组五个中间粘合或递变膜150。按顺序排列的膜130/150/140/150构成的组只是示范性的,可以设想其任何多重组作为替代。
图9A-9L示出了根据本发明的与上述实施例相关的工艺流程的备选顺序的流程图。
图9A示出了与图8A相关的、用于形成如上所述的双重膜帽盖20A的流程图。在图9A的步骤A中,首先形成扩散阻挡膜140。接下来,在步骤B中,优选通过将器件10A放到CVD室中,在扩散阻挡膜140的顶表面上淀积UV阻挡膜130。于是,完成了图8A中的帽盖20A的形成工艺。扩散阻挡膜140和UV阻挡膜130可以由上述材料构成。
图9B示出了与图8B相关的、用于形成如上所述的三层20B的流程图。与在图9A中一样,步骤A是图9B中的第一个步骤。在步骤A中,在铜导体16上淀积阻挡膜140。接下来,在步骤C1中,淀积层由处于阻挡膜140上的中间膜150构成。接下来,继续步骤B,其中,在中间膜150上形成UV阻挡膜130。在该点结束了图8B的三层20B的形成工艺。扩散阻挡膜140、UV阻挡膜130和中间膜150可由如上所述的材料构成。
图9C示出了与图8C相关的,用于形成如上所述的图8C中的双重膜20C的流程图。在作为图9C中的第一步骤的步骤B中,直接在铜导体16的顶表面上形成UV阻挡膜130。之后接着步骤A,其包括在UV阻挡膜130上形成扩散阻挡膜140。于是,完成了图8C中的帽盖20C的形成工艺。扩散阻挡膜140和UV阻挡膜130可以由上述材料构成。
图9D示出了与图8D相关的、用于形成图8D中的三层20D的流程图。在作为图9D中的第一步骤的步骤B中,直接在铜导体16的顶表面上形成UV阻挡膜130。接下来是步骤C1,其中,淀积层由处于UV阻挡膜130上的中间膜150构成。随后是步骤A,其包括在中间膜150上形成扩散阻挡膜140。扩散阻挡膜140、UV阻挡膜130和中间膜150可由如上所述的材料构成。
图9E示出了与图8E中的三重膜帽盖20E的形成相关的流程图。在作为图9E中的第一步骤的步骤A1中,在铜导体16的顶表面上直接形成第一下部扩散阻挡膜140。接下来是步骤B,其包括在第一下部扩散阻挡膜140上形成UV阻挡膜130。接下来,在步骤B2中,在UV阻挡膜130的顶表面上直接形成上部扩散阻挡膜160。于是,完成了图9E中的20E的形成工艺。扩散阻挡膜140/160和UV阻挡膜130可以由上述材料构成。
图9F示出了与图9B相关的、形成图8F中的三层20F的流程图。在作为图9F中的第一步骤的步骤A1中,在铜导体16的顶表面上直接形成第一下部扩散阻挡膜140。接下来,在步骤C1中,淀积层由处于下部扩散阻挡膜140上的第一中间膜150构成。接下来是步骤B,其包括在第一中间膜150上形成UV阻挡膜130。接下来是步骤C2,其中,淀积层由处于UV阻挡膜130上的第二中间膜150构成。接下来,在步骤A2中,在第二中间膜150的顶表面上直接形成第二上部扩散阻挡膜160。于是,完成了图9F中的帽盖20F的形成工艺。扩散阻挡膜140/160和UV阻挡膜130可以由上述材料构成。
图9G示出了与图8G相关的、用于形成如上所述的三重膜帽盖20G的流程图。在图9G的步骤B1中,在铜导体16上形成第一下部UV阻挡膜130L。接下来,在步骤A中,在下部UV阻挡膜130L的顶表面上淀积扩散阻挡膜140。之后,在图9G的步骤B2中,在扩散阻挡膜140上形成上部UV阻挡膜130U。于是,完成了图8G中的帽盖20G的形成工艺。扩散阻挡膜140和UV阻挡膜130L/130U可以由上述材料构成。
图9G示出了与图8G相关的、用于形成如上所述的三重膜帽盖20G的流程图。在图9G的步骤B1中,在铜导体16上形成第一下部UV阻挡膜130L。接下来,在步骤A中,在下部UV阻挡膜130L的顶表面上淀积扩散阻挡膜140。之后,在图9G的步骤B2中,在扩散阻挡膜140上形成上部UV阻挡膜130U。于是,完成了图8G中的帽盖20G的形成工艺。扩散阻挡膜140和UV阻挡膜130L/130U可以由上述材料构成。
图9H示出了与图8H相关的、用于形成如上所述的五重膜帽盖20H的流程图。在图9H的步骤B1中,形成第一下部UV阻挡膜130。接下来,在步骤C1中,淀积层由处于其下的UV阻挡膜130上的第一中间膜150构成。接下来,在步骤A中,将扩散阻挡膜140淀积到第一中间膜150的顶表面上。接下来,在步骤C1中,淀积层由处于扩散阻挡膜140上的第一中间膜150构成。之后,在图9H的步骤B2中,形成第二上部UV阻挡膜130。
图9I示出了与图8I相关的、用于形成上述多重膜帽盖20I的流程图。在图9I的步骤A中,首先形成扩散阻挡膜140。接下来,在步骤B中,将UV阻挡膜130淀积到扩散阻挡膜140上。之后,在步骤D中,测试是否已经形成了所有的膜。如果为NO,那么重复步骤A和B,直到步骤D中的测试答案为YES。步骤D中的测试结果将一直为NO,直到形成若干膜为止。如果步骤D中的测试结果为YES,那么完成了图8H中的帽盖20I的形成工艺。扩散阻挡膜140和UV阻挡膜130可以由上述材料构成。
图9J示出了与图8J相关的、用于形成上述多重膜帽盖20J的流程图。在图9J的步骤A中,首先形成扩散阻挡膜140。接下来,在步骤C1中,淀积层其下扩散阻挡膜140上的第一中间膜150构成。接下来,在步骤B中,将UV阻挡膜130淀积到第一中间膜150的顶表面上。之后,在步骤D中,测试是否已经形成了所有的膜。如果为NO,那么重复步骤A和B,直到步骤D中的测试答案为YES。步骤D中的测试结果将一直为NO,直到形成若干膜为止。如果步骤D中的测试结果为YES,那么完成了图8J中的帽盖20J的形成工艺。扩散阻挡膜140和UV阻挡膜130可以由上述材料构成。
图9K示出了与图8K相关的,用于形成如上所述的图8K中的多重膜20K的流程图。在图9K的步骤B中,在铜导体16的顶表面上直接形成UV阻挡膜130。之后是步骤A,包括在UV阻挡膜130上形成扩散阻挡膜140。之后,在步骤D中,测试所要形成的所有膜是否都已经形成了。如果为NO,那么重复步骤B和步骤A,直到步骤D中的测试答案为YES。步骤D中的测试结果将为NO,直到形成了在上文中参考图8G描述的若干膜为止。如果步骤D中的测试结果为YES,那么就完成了图8K中的帽盖20K的形成工艺。扩散阻挡膜140和UV阻挡膜130可以由上述材料构成。
图9L示出了与图8L相关的、用于形成上述多重膜帽盖20L的流程图。在图9L的步骤B中,首先形成UV阻挡膜130。接下来,在步骤C1中,淀积层由其下扩散阻挡膜140上的第一中间膜150构成。接下来,在步骤A中,将扩散阻挡膜140淀积到第一中间膜150的顶表面上。之后,在步骤D中,测试是否已经形成了所有的膜。如果为NO,那么重复步骤A和B,直到步骤D中的测试答案为YES。步骤D中的测试结果将一直为NO,直到形成若干膜为止。如果步骤D中的测试结果为YES,那么就完成了图8L中的帽盖20L的形成工艺。扩散阻挡膜140和UV阻挡膜130可以由上述材料构成。
出于工艺效率方面的考虑,可以在单个CVD设备内淀积帽盖的多个层叠膜。PECVD设备组中的相应帽盖层的多膜淀积能够降低工艺时间和设备成本,因为所有的步骤都是在相同的设备组内通过相同工艺流程执行的。
或者,可以采用等效的基于溶剂的旋涂工艺形成帽盖的层叠膜。
尽管已经通过上述实施例描述了本发明,但是本领域技术人员将认识到,在权利要求的精神和范围内可以通过各种变型实践本发明,即,在不背离本发明的精神和范围的情况下可以在形式和细节上做出改变。相应地,所有的此类改变均落在本发明的范围内,本发明涵盖权利要求的主题。
Claims (25)
1.一种互连,包括:
金属导体,具有顶表面和下表面,以及
形成于所述金属导体的所述顶表面上的帽盖,所述帽盖由层叠膜形成,所述层叠膜包括紫外UV阻挡膜和扩散阻挡膜,其中所述扩散阻挡膜由电介质材料SiCNH构成,所述电介质材料SiCNH包括以共价键结合的三维网络并且介电常数小于6,并且所述电介质材料SiCNH含有直径处于0.3到10纳米之间的纳米尺寸的孔隙,所述纳米尺寸的孔隙占据所述电介质材料SiCNH体积中0.5%和50%之间的体积。
2.根据权利要求1所述的互连,其中:
所述扩散阻挡膜形成在所述金属导体的所述顶表面上;
所述金属导体由铜构成;以及
所述UV阻挡膜形成在所述扩散阻挡膜之上。
3.根据权利要求2所述的互连,其中,中间膜形成在所述扩散阻挡膜和所述UV阻挡膜之间,所述中间膜由选自粘合膜和递变膜的材料构成。
4.根据权利要求1所述的互连,其中:
所述UV阻挡膜形成在所述金属导体的所述顶表面之上;并且
所述扩散阻挡膜形成在所述UV阻挡膜上。
5.根据权利要求1所述的互连,其中:
所述扩散阻挡膜形成在所述金属导体的所述顶表面上;
所述UV阻挡膜形成在所述扩散阻挡膜之上;以及
第二扩散阻挡膜形成在所述UV阻挡膜上。
6.根据权利要求1所述的互连,其中:
所述扩散阻挡膜形成在所述金属导体的所述顶表面上;
所述UV阻挡膜形成在所述扩散阻挡膜之上;
至少一第二扩散阻挡膜形成在所述UV阻挡膜上;以及
至少一第二UV阻挡膜形成在所述第二扩散阻挡膜之上。
7.根据权利要求1所述的互连,其中:
所述UV阻挡膜形成在所述金属导体的所述顶表面上;
所述扩散阻挡膜形成在所述UV阻挡膜之上;以及
第二UV阻挡膜形成在所述扩散阻挡膜上。
8.根据权利要求1所述的互连,其中,所述UV阻挡膜包括从由适于在化学气相淀积CVD室内淀积的氮化碳CNx膜、硼氮化物膜、硅硼氮化物膜、碳硼氮化物膜、硅碳硼氮化物膜和硅碳膜构成的集合中选择的UV阻挡高带隙膜、以及由具有较低带隙但是具有更好的机械、电和Cu扩散特性的氮化碳CNx或/和掺硼氮化碳CBxNy构成的膜。
9.根据权利要求1所述的互连,其中所述电介质材料SiCNH包括处于5和40之间的原子百分比的Si;处于5和50之间的原子百分比的C;处于0和20之间的原子百分比的N;以及处于10和55之间的原子百分比的H,并且含有直径处于0.3到10纳米之间的分子级孔隙。
10.一种互连,包括:
具有凹槽的第一电介质膜,所述凹槽具有凹槽底部和凹槽侧壁;
形成于所述凹槽底部和所述凹槽侧壁上的下部扩散阻挡膜;
具有侧表面、下表面和顶表面的金属导体,所述侧表面和所述下表面与所述下部扩散阻挡膜接触;
形成于所述金属导体的所述顶表面上的帽盖,所述帽盖由层叠膜形成,所述层叠膜包括紫外UV阻挡膜和扩散阻挡膜,其中所述扩散阻挡膜由电介质材料SiCNH构成,所述电介质材料SiCNH包括以共价键结合的三维网络并且介电常数小于6,并且所述电介质材料SiCNH含有直径处于0.3到10纳米之间的纳米尺寸的孔隙,所述纳米尺寸的孔隙占据所述电介质材料SiCNH体积中0.5%和50%之间的体积。
11.根据权利要求10所述的互连,其中:
所述扩散阻挡膜形成在所述金属导体的所述顶表面上;
所述金属导体由铜构成;以及
所述UV阻挡膜形成在所述扩散阻挡膜上。
12.根据权利要求11所述的互连,其中,中间膜形成在所述扩散阻挡膜和所述UV阻挡膜之间,所述中间膜由选自粘合膜和递变膜的材料构成。
13.根据权利要求10所述的互连,其中:
所述UV阻挡膜形成在所述金属导体的所述顶表面之上;并且
所述扩散阻挡膜形成在所述UV阻挡膜上。
14.根据权利要求10所述的互连,其中:
所述扩散阻挡膜形成在所述金属导体的所述顶表面上;
所述UV阻挡膜形成在所述扩散阻挡膜之上;以及
第二扩散阻挡膜形成在所述UV阻挡膜上。
15.根据权利要求10所述的互连,其中:
所述扩散阻挡膜形成在所述金属导体的所述顶表面上;
所述UV阻挡膜形成在所述扩散阻挡膜上;
至少一第二扩散阻挡膜形成在所述UV阻挡膜上;以及
至少一第二UV阻挡膜形成在所述第二扩散阻挡膜之上。
16.根据权利要求10所述的互连,其中:
所述UV阻挡膜形成在所述金属导体的所述顶表面上;
所述扩散阻挡膜形成在所述UV阻挡膜之上;以及
第二UV阻挡膜形成在所述扩散阻挡膜上。
17.根据权利要求10所述的互连,其中所述UV阻挡膜包括从由适于在化学气相淀积CVD室内淀积的氮化碳CNx膜、硼氮化物膜、硅硼氮化物膜、碳硼氮化物膜、硅碳硼氮化物膜和硅碳膜构成的集合中选择的UV阻挡高带隙膜、以及由具有较低带隙但是具有更好的机械、电和Cu扩散特性的氮化碳CNx或/和掺硼氮化碳CBxNy构成的膜。
18.根据权利要求10所述的互连,其中所述电介质材料SiCNH包括处于5和40之间的原子百分比的Si;处于5和50之间的原子百分比的C;处于0和20之间的原子百分比的N;以及处于10和55之间的原子百分比的H。
19.一种互连,包括:
具有凹槽的第一电介质膜,所述凹槽具有凹槽底部和凹槽侧壁;
在所述凹槽底部和所述凹槽侧壁上形成下部扩散阻挡膜;
具有侧表面、下表面和顶表面的铜导体,所述侧表面和所述下表面与所述下部扩散阻挡膜接触;
形成于所述铜导体的所述顶表面上的帽盖,所述帽盖由层叠膜形成,所述层叠膜包括紫外UV阻挡膜和扩散阻挡膜,其中所述扩散阻挡膜由电介质材料SiCNH构成,所述电介质材料SiCNH包括以共价键结合的三维网络并且介电常数小于6,并且所述电介质材料SiCNH含有直径处于0.3到10纳米之间的纳米尺寸的孔隙,所述纳米尺寸的孔隙占据所述电介质材料SiCNH体积中0.5%和50%之间的体积;以及
连接至所述铜导体的导电铜通路。
20.根据权利要求19所述的互连,其中所述电介质材料SiCNH包括处于5和40之间的原子百分比的Si;处于5和50之间的原子百分比的C;处于0和20之间的原子百分比的N;以及处于10和55之间的原子百分比的H,并且含有直径处于0.3到10纳米之间的分子级孔隙。
21.一种形成互连的方法,包括:
提供具有顶表面和下表面的金属导体,以及
在所述金属导体的所述顶表面上形成帽盖,所述帽盖包括层叠膜,所述层叠膜包括紫外UV阻挡膜和扩散阻挡膜,其中所述扩散阻挡膜由电介质材料SiCNH构成,所述电介质材料SiCNH包括以共价键结合的三维网络并且介电常数小于6,并且所述电介质材料SiCNH含有直径处于0.3到10纳米之间的纳米尺寸的孔隙,所述纳米尺寸的孔隙占据所述电介质材料SiCNH体积中0.5%和50%之间的体积。
22.根据权利要求21所述的方法,其中,在单个CVD设备内淀积所述层叠膜。
23.根据权利要求22所述的方法,其中,在单个设备组内通过PECVD设备组流程淀积所述层叠膜。
24.根据权利要求22所述的方法,其中,采用基于溶剂的旋涂工艺形成所述层叠膜。
25.根据权利要求21所述的方法,其中所述电介质材料SiCNH包括处于5和40之间的原子百分比的Si;处于5和50之间的原子百分比的C;处于0和20之间的原子百分比的N;以及处于10和55之间的原子百分比的H。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/564,358 | 2006-11-29 | ||
US11/564,358 US7749892B2 (en) | 2006-11-29 | 2006-11-29 | Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101197347A CN101197347A (zh) | 2008-06-11 |
CN101197347B true CN101197347B (zh) | 2011-09-21 |
Family
ID=39462828
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2007101867822A Expired - Fee Related CN101197347B (zh) | 2006-11-29 | 2007-11-16 | 互连及其形成方法 |
Country Status (2)
Country | Link |
---|---|
US (1) | US7749892B2 (zh) |
CN (1) | CN101197347B (zh) |
Families Citing this family (38)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6967405B1 (en) | 2003-09-24 | 2005-11-22 | Yongsik Yu | Film for copper diffusion barrier |
US7282438B1 (en) | 2004-06-15 | 2007-10-16 | Novellus Systems, Inc. | Low-k SiC copper diffusion barrier films |
US7915166B1 (en) | 2007-02-22 | 2011-03-29 | Novellus Systems, Inc. | Diffusion barrier and etch stop films |
US8173537B1 (en) * | 2007-03-29 | 2012-05-08 | Novellus Systems, Inc. | Methods for reducing UV and dielectric diffusion barrier interaction |
US8171627B2 (en) * | 2007-12-21 | 2012-05-08 | Spansion Llc | Method of forming an electronic device |
US8212337B2 (en) * | 2008-01-10 | 2012-07-03 | International Business Machines Corporation | Advanced low k cap film formation process for nano electronic devices |
US8124522B1 (en) * | 2008-04-11 | 2012-02-28 | Novellus Systems, Inc. | Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties |
US8026166B2 (en) * | 2008-08-12 | 2011-09-27 | International Business Machines Corporation | Interconnect structures comprising capping layers with low dielectric constants and methods of making the same |
US8362596B2 (en) * | 2009-07-14 | 2013-01-29 | International Business Machines Corporation | Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same |
US8247332B2 (en) | 2009-12-04 | 2012-08-21 | Novellus Systems, Inc. | Hardmask materials |
US8129269B1 (en) * | 2010-09-20 | 2012-03-06 | International Business Machines Corporation | Method of improving mechanical properties of semiconductor interconnects with nanoparticles |
US8988508B2 (en) * | 2010-09-24 | 2015-03-24 | Microsoft Technology Licensing, Llc. | Wide angle field of view active illumination imaging system |
RU2486632C2 (ru) * | 2011-07-20 | 2013-06-27 | Открытое акционерное общество "НИИ молекулярной электроники и завод "Микрон" | Способ изготовления усовершенствованной многоуровневой медной металлизации с применением диэлектриков с очень низкой диэлектрической постоянной (ultra low-k) |
CN102956539B (zh) * | 2011-08-17 | 2015-10-21 | 中芯国际集成电路制造(上海)有限公司 | 铜互连结构及其制造方法 |
KR101690392B1 (ko) | 2011-12-20 | 2016-12-27 | 인텔 코포레이션 | 등각 저온 밀봉 유전체 확산 장벽들 |
CN103258779B (zh) * | 2012-02-17 | 2015-05-20 | 中芯国际集成电路制造(上海)有限公司 | 铜互连结构及其制造方法 |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US10832904B2 (en) | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US10211310B2 (en) | 2012-06-12 | 2019-02-19 | Novellus Systems, Inc. | Remote plasma based deposition of SiOC class of films |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
CN103681596B (zh) * | 2012-09-26 | 2016-08-31 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其制作方法 |
US9373586B2 (en) | 2012-11-14 | 2016-06-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Copper etching integration scheme |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
US8987133B2 (en) * | 2013-01-15 | 2015-03-24 | International Business Machines Corporation | Titanium oxynitride hard mask for lithographic patterning |
US10297442B2 (en) | 2013-05-31 | 2019-05-21 | Lam Research Corporation | Remote plasma based deposition of graded or multi-layered silicon carbide film |
CN103606519B (zh) * | 2013-10-23 | 2016-08-03 | 上海华力微电子有限公司 | 一种形成多层复合式接触孔刻蚀阻挡层的方法 |
US20150357236A1 (en) | 2014-06-08 | 2015-12-10 | International Business Machines Corporation | Ultrathin Multilayer Metal Alloy Liner for Nano Cu Interconnects |
CN105374740B (zh) * | 2014-08-29 | 2018-10-23 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制造方法、电子装置 |
US9305836B1 (en) * | 2014-11-10 | 2016-04-05 | International Business Machines Corporation | Air gap semiconductor structure with selective cap bilayer |
US9685370B2 (en) * | 2014-12-18 | 2017-06-20 | Globalfoundries Inc. | Titanium tungsten liner used with copper interconnects |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
CN106328616B (zh) * | 2015-07-06 | 2019-07-05 | 旺宏电子股份有限公司 | 导体插塞及其制造方法 |
US9536832B1 (en) * | 2015-12-30 | 2017-01-03 | International Business Machines Corporation | Junctionless back end of the line via contact |
US10176999B2 (en) | 2015-12-31 | 2019-01-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device having a multi-layer, metal-containing film |
US10002787B2 (en) | 2016-11-23 | 2018-06-19 | Lam Research Corporation | Staircase encapsulation in 3D NAND fabrication |
US9837270B1 (en) | 2016-12-16 | 2017-12-05 | Lam Research Corporation | Densification of silicon carbide film using remote plasma treatment |
US11450609B2 (en) * | 2020-05-29 | 2022-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Electro-migration reduction |
DE102020126161B4 (de) | 2020-05-29 | 2024-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reduzierung der Elektromigration |
Family Cites Families (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050022839A1 (en) * | 1999-10-20 | 2005-02-03 | Savas Stephen E. | Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing |
US6372661B1 (en) * | 2000-07-14 | 2002-04-16 | Taiwan Semiconductor Manufacturing Company | Method to improve the crack resistance of CVD low-k dielectric constant material |
US6566278B1 (en) * | 2000-08-24 | 2003-05-20 | Applied Materials Inc. | Method for densification of CVD carbon-doped silicon oxide films through UV irradiation |
US6531407B1 (en) * | 2000-08-31 | 2003-03-11 | Micron Technology, Inc. | Method, structure and process flow to reduce line-line capacitance with low-K material |
US6576545B1 (en) * | 2001-03-29 | 2003-06-10 | Advanced Micro Devices, Inc. | Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers |
US20030134499A1 (en) * | 2002-01-15 | 2003-07-17 | International Business Machines Corporation | Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof |
US7144827B2 (en) * | 2002-01-17 | 2006-12-05 | Silecs Oy | Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications |
US20040063308A1 (en) * | 2002-09-27 | 2004-04-01 | Taiwan Semiconductor Manufacturing Company | Method for forming openings in low-k dielectric layers |
US6911400B2 (en) * | 2002-11-05 | 2005-06-28 | International Business Machines Corporation | Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same |
US7081673B2 (en) * | 2003-04-17 | 2006-07-25 | International Business Machines Corporation | Multilayered cap barrier in microelectronic interconnect structures |
US20050238889A1 (en) * | 2003-07-10 | 2005-10-27 | Nancy Iwamoto | Layered components, materials, methods of production and uses thereof |
US6946391B2 (en) * | 2003-09-08 | 2005-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for forming dual damascenes |
US7112534B2 (en) * | 2003-09-25 | 2006-09-26 | Intel Corporation | Process for low k dielectric plasma etching with high selectivity to deep uv photoresist |
US7012022B2 (en) * | 2003-10-30 | 2006-03-14 | Chartered Semiconductor Manufacturing Ltd. | Self-patterning of photo-active dielectric materials for interconnect isolation |
US7081407B2 (en) * | 2003-12-16 | 2006-07-25 | Lam Research Corporation | Method of preventing damage to porous low-k materials during resist stripping |
US7049247B2 (en) * | 2004-05-03 | 2006-05-23 | International Business Machines Corporation | Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made |
US7172964B2 (en) * | 2004-06-21 | 2007-02-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of preventing photoresist poisoning of a low-dielectric-constant insulator |
JP2006013190A (ja) * | 2004-06-28 | 2006-01-12 | Rohm Co Ltd | 半導体装置の製造方法 |
DE102004036753B4 (de) * | 2004-07-29 | 2008-11-06 | Advanced Micro Devices Inc., Sunnyvale | Verfahren zur Herstellung einer stickstofffreien ARC-Deckschicht |
DE102004042169B4 (de) * | 2004-08-31 | 2009-08-20 | Advanced Micro Devices, Inc., Sunnyvale | Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben |
US7138717B2 (en) * | 2004-12-01 | 2006-11-21 | International Business Machines Corporation | HDP-based ILD capping layer |
-
2006
- 2006-11-29 US US11/564,358 patent/US7749892B2/en not_active Expired - Fee Related
-
2007
- 2007-11-16 CN CN2007101867822A patent/CN101197347B/zh not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
US7749892B2 (en) | 2010-07-06 |
US20080122103A1 (en) | 2008-05-29 |
CN101197347A (zh) | 2008-06-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101197347B (zh) | 互连及其形成方法 | |
TWI234231B (en) | Reliable low-k interconnect structure with hybrid dielectric | |
US6914320B2 (en) | Bilayer HDP CVD/PE CVD cap in advanced BEOL interconnect structures and method thereof | |
CN100369247C (zh) | 改进的hdp氮化物基ild盖层 | |
US7834459B2 (en) | Semiconductor device and semiconductor device manufacturing method | |
CN101958311B (zh) | 半导体结构和形成方法 | |
US20060027924A1 (en) | Metallization layers for crack prevention and reduced capacitance | |
US20150318207A1 (en) | Back-end-of-line (beol) interconnect structure | |
US7151315B2 (en) | Method of a non-metal barrier copper damascene integration | |
US20080188074A1 (en) | Peeling-free porous capping material | |
JP2004235548A (ja) | 半導体装置およびその製造方法 | |
US6962870B2 (en) | Method of manufacturing semiconductor device and semiconductor device | |
JP4558272B2 (ja) | 低誘電率技術における銅バイア用のクロム接着層 | |
US6383929B1 (en) | Copper vias in low-k technology | |
TW200522257A (en) | Heterogeneous low k dielectric | |
US20070205516A1 (en) | Low-k dielectric layer, semiconductor device, and method for fabricating the same | |
WO2005024935A1 (ja) | 半導体装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20110921 Termination date: 20181116 |
|
CF01 | Termination of patent right due to non-payment of annual fee |