CN101061587A - Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor - Google Patents

Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor Download PDF

Info

Publication number
CN101061587A
CN101061587A CNA200580035899XA CN200580035899A CN101061587A CN 101061587 A CN101061587 A CN 101061587A CN A200580035899X A CNA200580035899X A CN A200580035899XA CN 200580035899 A CN200580035899 A CN 200580035899A CN 101061587 A CN101061587 A CN 101061587A
Authority
CN
China
Prior art keywords
drain
interval body
concave type
outside
semiconductor layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200580035899XA
Other languages
Chinese (zh)
Other versions
CN101061587B (en
Inventor
相奇
N·苏巴
W·P·麦斯扎拉
Z·克里沃卡皮克
M-R·林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN101061587A publication Critical patent/CN101061587A/en
Application granted granted Critical
Publication of CN101061587B publication Critical patent/CN101061587B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78639Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device with a drain or source connected to a bulk conducting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/938Lattice strain control or utilization

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

A semiconductor substrate is provided having an insulator thereon with a semiconductor layer on the insulator. A deep trench isolation is formed, introducing strain to the semiconductor layer. A gate dielectric and a gate are formed on the semiconductor layer. A spacer is formed around the gate, and the semiconductor layer and the insulator are removed outside the spacer. Recessed source/drain are formed outside the spacer.

Description

Strained fully depleted silicon on insulator semiconductor device and manufacture method thereof
Technical field
The present invention roughly is about silicon-on-insulator (silicon-on-insulator) semiconductor device, refers in particular to exhaust the silicon-on-insulator transistor entirely.
Background technology
Each aspect during electronic product almost is applied to live at present, and the heart of those electronic products promptly is an integrated circuit.Integrated circuit is applied to aircraft, TV to the various products of wrist-watch.
With utmost point complication system, need the coordination of the program of hundreds of or even thousands of accurate controls, make integrated circuit in Silicon Wafer or on the Silicon Wafer, and get a semiconductor crystal wafer of finishing.Each semiconductor crystal wafer of finishing has hundreds to tens thousand of integrated circuits, and the hundreds of or thousands of dollars of each wafer worth.
Integrated circuit is made of hundreds of extremely millions of stand-alone assemblies.A kind of assembly commonly used is semiconductor transistor.The most normal use at present and important semiconductor technology are based on silicon, and the best based on the semiconductor device of silicon be complementary metal oxide semiconductors (CMOS) (complementary metal oxide semiconductor, CMOS).
The primary clustering of CMOS (Complementary Metal Oxide Semiconductor) transistor by having the oxide shallow trench isolation region, is formed in order to the silicon substrate in isolated transistor district usually.On the silicon substrate, transistor area contains compound crystal silicon (polysilicon) grid on silica grid or gate oxide.The silicon substrate of compound crystal silicon grid both sides of mixing a little makes tool conductivity.Those silicon substrates doped regions a little are called " shallow source/drain ", and the channel region below this compound crystal silicon grid separates.The crooked silica of compound crystal silicon grid side or silicon nitride spacer body (spacer), be called " sidewall spacers (sidewallspacer) ", other doping is deposited and the more severe doped region of formation shallow source/drain, be called " deep source/drain (deep S/D) ".
The silicon oxide dielectric layer is deposited to cover compound crystal silicon grid, curved spacer and silicon substrate, to finish transistorized making.For transistorized electric connection is provided, in the silicon oxide dielectric layer etching perforate of compound crystal silicon grid and source/drain.Those perforates are with metal filled and form electrically contact.For finishing the making of integrated circuit, those contacts are connected to other line layer in the other dielectric materials layer, make to reach to this dielectric material outside.
A kind of method of improving CMOS (Complementary Metal Oxide Semiconductor) transistor is used dielectric substrate, be called silicon-on-insulator (silicon on insulator, SOI).Complementary metal oxide semiconductors (CMOS) and high speed field-effect transistor (field effect transistors, FETs) advantage of use dielectric substrate comprises elimination latch-up phenomenon (latch-up immunity), improves radioresistance power (radiationhardness), reduces parasitic junction capacitance, reduction connects the face leakage current and reduce short-channel effect.The many speed abilities that increase field-effect transistor that change into of those advantages.
The silicon-on-insulator field-effect transistor is on the Semiconductor substrate for example on the silicon substrate, makes to have for example insulator of silicon dioxide.Whole field-effect transistor comprises its source junction, raceway groove, drain junction, grid, ohmic contact and wiring raceway groove, is formed on the silicon island of insulator, and isolated with any fixed potential.This can cause so-called " buoyancy aid (floating body) " problem, because the current potential of this buoyancy aid or channel region is floating, and can obtain to disturb the cause of the current potential of field-effect transistor normal function.Because it is floating that Semiconductor substrate presents raceway groove, so the buoyancy aid problem causes high leakage current and parasitic bipolar action.This problem is unfavorable for the control and the circuit operation of threshold voltage (thresholdvoltage).
In order to solve the buoyancy aid problem, the silicon island need be exhausted fully (deplete).This meaning connects face all during ground connection when field-effect transistor is in not on-state (off state) and two, the silicon island is as thin as allows whole floating body region thickness lack the degree of most of carriers.Exhaust silicon-on-insulator entirely (fully depleted silicon on insulator FDSOI), has found to make the silicon island to become extremely thin in order to exhaust this silicon island fully and to produce.
Yet thin silicon island exhausts in the silicon-on-insulator complementary metal oxide semiconductors (CMOS) (FDSOI CMOS) entirely in making, and forms the source electrode during with drain electrode with low parasitic series resistance, can have problems.A solution is that source electrode and drain electrode are promoted on this thin silicon island.(selective epitaxial growth, mode SEG) form the source electrode and the drain electrode that promote with selective epitaxial growth.But unfortunately, on silicon island as thin as a wafer, be difficult to grow equably source electrode and drain electrode high-quality, monocrystalline.In addition, before selective epitaxial growth, when carrying out baking processing procedure, can remove all or the required thin silicon of selective epitaxial growth partly such as oxidation, pre-cleaning (pre-clean) and hydrogen.
Another important topic of making FDSOI CMOS is for improving the mechanism of performance.A kind of method of improving performance is to introduce tensile strain or compressive strain to raceway groove.Along the elongation strain of direction of current flow, increased the mobility in electronics and electric hole.On the other hand, compression strain has increased the mobility in electric hole but has made the movement of electrons reduction.The filling of mat channel separating zone and strain is guided into to raceway groove.Yet the manufacturing of FDSOI CMOS is to use the platform-type isolation that does not have ditch trench etch and filling traditionally.
Therefore, when when raceway groove produces strain, need the method for the even growing high quality of a kind of energy, single crystalline source and drain electrode.
How to overcome above-mentioned those problems and inquire into for a long time for people, but previous exploitation teaching or advise any solution not, so, be familiar with the method that this area person looks forward to having those problems of solution for a long time.
Summary of the invention
The invention provides a kind of Semiconductor substrate that has insulator thereon, and on this insulator semiconductor layer is arranged.Form deep trench isolation, produce strain at this semiconductor layer.On this semiconductor layer, form gate-dielectric and grid.Form interval body around this grid, and this semiconductor layer and this insulator of this interval body outside removed.At outside concave type (recessed) source/drain that forms of this interval body.
Certain embodiments of the invention have except that the above, or substitute above-described other advantage.To being familiar with this area person, read following detailed explanation and with reference to the accompanying drawings after, these advantages will become clearly.
Description of drawings
Fig. 1 is the profile of fully depleted silicon on insulator semiconductor wafer;
Be formed with grid on the structure of Fig. 2 displayed map 1;
Deposit linear zone and interval body on the structure of Fig. 3 displayed map 2;
Fig. 4 shows Fig. 3 structure with concave type source/drain according to embodiments of the invention;
Fig. 5 shows Fig. 4 structure after the silication of carrying out according to embodiments of the invention;
Fig. 6 shows Fig. 5 structure with contact etch stop layer according to another embodiment of the present invention; And
Fig. 7 is the flow chart according to the method for manufacturing strained fully depleted silicon on insulator semiconductor device of the present invention.
Embodiment
Below explanation will provide many clear and definite details, enable fully to understand the present invention.Yet the present invention must not implement in having under those clear and definite details obviously.For fear of fuzzy the present invention, some well-known device configurations and fabrication steps will no longer be described in detail
Similarly, the figure of display unit embodiment is half signal formula but not draw in proportion, and the size among some figure particularly is for making clear excessive amplification of explanation.
Used in this specification " horizontal plane (horizontal) " speech is defined as the plane parallel with substrate or wafer." vertically " speech, refer to as the vertical direction of the defined horizontal plane of preceding paragraph.Other term such as " go up ", " above (above) ", " following (below) ", " bottom ", " top ", " side (as " sidewall "), " higher ", " lower ", " on (over) ", " under (under) " all refer to respect to the horizontal plane.
Used in this specification " processing (processing) " speech, when forming described structure, required step comprises: the deposition of material or photoresistance, patterning, exposure, development, etching, cleaning (cleaning) and/or remove this material or photoresistance.
Fig. 1 shows the profile that exhausts silicon-on-insulator (FDSOI) wafer 100 entirely, comprises such as be the Semiconductor substrate 102 of the material of p doped silicon (Si).Imbed on Semiconductor substrate 102 tops oxide layer (buried oxide layer, BOX) 104, this imbeds oxide layer 104 is silicon dioxide (SiO for example 2) the insulating barrier of material; And the channel layer 106 of silicon thin layer.
In order to control 45 nanometers with 25 nanometers (nm) or littler grid length and 45 nanometers, found that channel layer 106 thickness must be thinner than 100 dusts () with the short-channel effect of lower node.
With the isolated deep trench isolation that is positioned at concave type source/drain 402 outside (see figure 4)s (deep trench isolation, DTI) 108, add to and exhaust silicon-on-insulator wafer 100 entirely.Formation has the deep trench isolation 108 of zanjon etching (etching is passed channel layer 106, imbeds oxide layer 104 and entered substrate 102).Be the isolation of holding device, the degree of depth of deep trench isolation must be greater than concave type source/drain 402 (see figure 4)s.Insert for example SiO at the zanjon that produces 2The dielectric medium of material, to finish the making of deep trench isolation 108.
The structure of Fig. 2 displayed map 1 and forms by such as SiO after commonly using deposition, patterning, photoetching and etch process 2, silicon oxynitride (silicon oxynitride, SiON) or silicon nitride (Si 3N 4) the gate-dielectric that material constituted 202, and by such as may be through mixing or the grid that material constituted 204 of unadulterated compound crystal silicon or amorphous silicon (amorphous silicon).
Fig. 3 shows Fig. 2 structure after further processing.(recess etch) preparation that concavelys etch of deep trench isolation 108 is used to the deep trench isolation 108 interior wafers 100 that form interval body.Material is SiO for example 2 Linear zone 302 be to be deposited on grid 204, channel layer 106 and the deep trench isolation 108.Material is Si for example 3N 4Interval body 304 be around the grid part of linear zone 302 and formation deep trench isolation 108 in.
Make one of important topic of FDSOI CMOS, promptly form source electrode and drain electrode with low parasitic series resistance.A solution is that source electrode and drain electrode are promoted.Can form source electrode and the drain electrode that promotes by selective epitaxial growth.Unfortunately, on silicon island as thin as a wafer, on channel layer 106, be difficult to grow equably source electrode and drain electrode high-quality, monocrystalline.In addition, before selective epitaxial growth, carry out baking processing procedure, can remove all or the required thin silicon of selective epitaxial growth partly such as oxidation, precleaning and hydrogen.
Fig. 4 shows according to the Fig. 3 after the processing of the present invention's embodiment and constructs.Concave type source/drain 402 has added to and has exhausted silicon-on-insulator wafer 100 entirely.Channel layer 106 is etched and form raceway groove 404.
Be to form concave type source/drain 402, and use suitable processing procedure such as etching, to pass the channel layer 106 that is positioned at grid 204 and 108 of deep trench isolation and to imbed oxide layer 104.The thin oxide layer 104 of imbedding of having found 100  to 600  provides best thickness.On the sidewall of the surface of substrate 102 and raceway groove 404, carry out selective epitaxial growth (SEG) then.Even this guarantee when the silicon of channel layer 106 can part or even when all be previous process consumption, the selective epitaxial growth of concave type source/drain 402 has continuous, high-quality silicon face.
During the problem of selective epitaxial growth, the structure of generation is possessed the advantage that promotes source/drain on overcoming thin silicon, for example low parasitic series resistance.In this stage, the modification of the selective epitaxial growth of mat concave type source/drain 402 can make performance improvement.
Fig. 5 shows according to Fig. 4 structure after the further processing of the present invention's embodiment.On grid 204 and source/drain 402, carry out silicification reaction to generate NiSi layer 504.
Should understand that the order system that forms concave type source/drain 402 and deep trench isolation 108 can change, and the above is cause for convenience in proper order.Concave type source/drain 402 can be implanted (in situ) formation on the spot with Rapid Thermal tempering (thermal anneal) during concave type source/drain 402 selective epitaxial growths or by ion.Through the groove filling dielectric medium of strained handling, deep trench isolation 108 leads strain in raceway groove 404, and is fit to as the isolation between transistor.
Elongation strain or compression strain are directed in the raceway groove of FDSOI CMOS device to improve performance.Along the elongation strain of direction of current flow, electronics and electric hole mobility among the NMOS are all increased.On the other hand, the compression strain mat increases electric hole mobility and improves the performance of PMOS.Thus, applying suitable strain significantly increases the raceway groove mobility to raceway groove 404, and the result increases by the mobility of signal portion, and drive current is improved.
Be found in the FDSOI PMOS transistor, (silicon germanium, selective epitaxial growth SiGe) can further improve strain by SiGe.Thus, the SiGe of concave type source/drain 402 produces strain effectively in the transistorized raceway groove 404 of FDSOI PMOS.Because therefore concave type source/drain 402 next-door neighbour's raceway grooves 404, and the more strain of strain in the source/drain of importing specific energy importing lifting also make this should change effectively.
In addition, found in the FDSOI nmos pass transistor that (siliconcarbide, selective epitaxial growth SiC) can further improve strain by carborundum.Thus, the SicC of concave type source/drain produces strain effectively in the raceway groove 404 of FDSOI nmos pass transistor.Because concave type source/drain 402 next-door neighbour raceway grooves 404, and can import specific energy and import the more strain of strain in the source/drain that promotes, therefore this should be changed effectively.
Above strain control can be implemented auxiliary (adjunct) that controls as from the strain of deep trench isolation 108, or as before 402 formation of concave type source/drain, the basic controlling that deep trench isolation 108 forms.
Fig. 6 shows according to Fig. 5 structure after the further processing of another embodiment of the present invention.The dielectric medium charges of interval body 304 (see figure 5)s and deep trench isolation 108 (see figure 5)s are removed through etching step, stay groove 602.After the etching, contact etch stop layer 604 is deposited in the groove 602 and on source/drain 402, linear zone 302 and grid 204.Contact etch stop layer 604 in the groove 602 imports raceway groove 404 with other strain.
Fig. 7 shows the flow chart according to the method 700 of the present invention's manufacturing strained fully depleted silicon on insulator semiconductor device.Method 700 is included in the step 702, and the Semiconductor substrate that has insulator on it is provided, and wherein has semiconductor layer on this insulator; In step 704, on this semiconductor layer, form gate-dielectric and grid; In step 706, form isolated deep trench isolation in the interval body outside, and strain is guided into to this semiconductor layer; In step 708, form interval body around this grid; In step 710, remove this semiconductor layer and this insulator of this interval body outside; And in step 712, at the outside concave type source/drain that forms of this interval body.
So, found semiconductor device of the present invention and manufacture method, to FDSOI CMOS provide important and not known as yet up to now or solution, ability and the function used on advantage.The processing procedure of this gained and configuration system is direct, economical, uncomplicated, high flexibility, accurately, sensitive and effectively, and can be applicable to known assembly and go easily to make and application.
With reference to specific most preferred embodiment, this specification discloses the present invention.Should understand that many changes, modification and variation are for being familiar with this area person, above explanation will make it become clearly.Therefore, all those changes, modification and variation all are covered by in the following claim.The interior accompanying drawing that perhaps shows that is disclosed in this specification is to be used to explain the present invention, but not is used to limit category of the present invention.

Claims (10)

1. a method (700) of making semiconductor device comprises the following steps:
The Semiconductor substrate that has insulator (104) thereon (102) is provided, semiconductor layer (106) is arranged on this insulator (104);
Form deep trench isolation (108), strain is introduced into this semiconductor layer (106);
Go up formation gate-dielectric (202) and grid (204) at this semiconductor layer (106);
Form interval body (304) around this grid (204);
Remove at this interval body (304) outside this semiconductor layer (106) and this insulator (104); And
At the outside concave type source/drain (402) that forms of this interval body (304).
2. the method for claim 1 (700), wherein, further comprise by selective epitaxial growth at the outside concave type source/drain (402) that forms of this interval body (304) in the outside step that forms concave type source/drain (402) of this interval body (304).
3. the method for claim 1 (700), wherein, further be included in the outside silicon source/drain electrode (402) that forms the doping of concave type carbon of this interval body (304) in the outside step that forms concave type source/drain (402) of this interval body (304), strain is introduced into this semiconductor layer (106).
4. the method for claim 1 (700), wherein, further be included in the outside formation of this interval body (304) concave type silicon Germanium source/drain electrode (402) in the outside step that forms concave type source/drain (402) of this interval body (304), strain is introduced into this semiconductor layer (106).
5. the method for claim 1 (700) comprises in addition:
Remove this interval body (304);
Remove this deep trench isolation (108), stay groove (602); And
In this groove (602), this concave type source/drain (402) is gone up and this grid (204) is gone up sedimentary deposit (604), and strain is introduced into this semiconductor layer (106).
6. semiconductor device comprises:
Have the Semiconductor substrate (102) of insulator (104) thereon, semiconductor layer (106) is arranged on this insulator (104);
Gate-dielectric (202) on this semiconductor layer (106) and grid (204);
Optionally center on the interval body (304) of this grid (204);
At the outside concave type source/drain (402) of this interval body (304); And
At the outside isolated groove (602) of this interval body (304), as deep trench isolation (108) strain is introduced into this silicon layer alternatively.
7. semiconductor device as claimed in claim 6 wherein, further comprises the concave type source/drain (402) that is formed on this interval body (304) outside by selective epitaxial growth at this outside concave type source/drain (402) of this interval body (304).
8. semiconductor device as claimed in claim 6, wherein, further comprise the silicon source/drain electrode (402) that strain is introduced into this semiconductor layer (106) at this outside concave type source/drain (402) of this interval body (304) in the outside concave type carbon doping of this interval body (304).
9. semiconductor device as claimed in claim 6, wherein, further comprise the concave type silicon Germanium source/drain electrode (402) that strain is introduced into this semiconductor layer (106) at this outside concave type source/drain (402) of this interval body (304) in this interval body (304) outside.
10. semiconductor device as claimed in claim 6, comprise in addition with strain be introduced into this semiconductor layer (106) in this groove (602), this concave type source/drain (402) is gone up and this grid (204) on layer.
CN200580035899XA 2004-11-10 2005-10-12 Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor Expired - Fee Related CN101061587B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/986,399 2004-11-10
US10/986,399 US7306997B2 (en) 2004-11-10 2004-11-10 Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
PCT/US2005/036894 WO2006052379A1 (en) 2004-11-10 2005-10-12 Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor

Publications (2)

Publication Number Publication Date
CN101061587A true CN101061587A (en) 2007-10-24
CN101061587B CN101061587B (en) 2011-01-12

Family

ID=35658988

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200580035899XA Expired - Fee Related CN101061587B (en) 2004-11-10 2005-10-12 Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor

Country Status (7)

Country Link
US (2) US7306997B2 (en)
EP (1) EP1815531A1 (en)
JP (1) JP2008520097A (en)
KR (1) KR101122753B1 (en)
CN (1) CN101061587B (en)
TW (1) TWI380373B (en)
WO (1) WO2006052379A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102931092A (en) * 2012-10-26 2013-02-13 哈尔滨工程大学 Autocollimation SOI (Silicon On Insulator) FD (focal distanc) MOSFEI (metal-oxide -semiconductor field effect transistor) formation method
CN103779279A (en) * 2012-10-26 2014-05-07 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2872626B1 (en) * 2004-07-05 2008-05-02 Commissariat Energie Atomique METHOD FOR CONTRAINDING A THIN PATTERN
JP2006165335A (en) * 2004-12-08 2006-06-22 Toshiba Corp Semiconductor device
US7091071B2 (en) * 2005-01-03 2006-08-15 Freescale Semiconductor, Inc. Semiconductor fabrication process including recessed source/drain regions in an SOI wafer
US7446350B2 (en) * 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
JP2006332243A (en) * 2005-05-25 2006-12-07 Toshiba Corp Semiconductor device and its manufacturing method
US7384851B2 (en) * 2005-07-15 2008-06-10 International Business Machines Corporation Buried stress isolation for high-performance CMOS technology
DE102005052055B3 (en) 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Transistor and semiconductor components and production process for thin film silicon on insulator transistor has embedded deformed layer
WO2007053382A1 (en) * 2005-10-31 2007-05-10 Advanced Micro Devices, Inc. An embedded strain layer in thin soi transistors and a method of forming the same
US7422950B2 (en) * 2005-12-14 2008-09-09 Intel Corporation Strained silicon MOS device with box layer between the source and drain regions
US7473593B2 (en) * 2006-01-11 2009-01-06 International Business Machines Corporation Semiconductor transistors with expanded top portions of gates
US7569434B2 (en) * 2006-01-19 2009-08-04 International Business Machines Corporation PFETs and methods of manufacturing the same
EP1833094B1 (en) * 2006-03-06 2011-02-02 STMicroelectronics (Crolles 2) SAS Formation of shallow SiGe conduction channel
US7613369B2 (en) * 2006-04-13 2009-11-03 Luxtera, Inc. Design of CMOS integrated germanium photodiodes
JP5182703B2 (en) 2006-06-08 2013-04-17 日本電気株式会社 Semiconductor device
US8154051B2 (en) * 2006-08-29 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. MOS transistor with in-channel and laterally positioned stressors
JP2008071851A (en) * 2006-09-13 2008-03-27 Sony Corp Semiconductor device and manufacturing method thereof
JP2008153515A (en) * 2006-12-19 2008-07-03 Fujitsu Ltd Mos transistor, method for manufacturing the same mos transistor, cmos type semiconductor device using the same mos transistor, and semiconductor device using the same cmos type semiconductor device
US20080157118A1 (en) * 2006-12-29 2008-07-03 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing strained technology
US9640666B2 (en) * 2007-07-23 2017-05-02 GlobalFoundries, Inc. Integrated circuit employing variable thickness film
JP2009212413A (en) * 2008-03-06 2009-09-17 Renesas Technology Corp Semiconductor device and method of manufacturing semiconductor device
US8421050B2 (en) * 2008-10-30 2013-04-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
KR101592505B1 (en) * 2009-02-16 2016-02-05 삼성전자주식회사 Semiconductor memory device and method of manufacturing the same
US8106456B2 (en) * 2009-07-29 2012-01-31 International Business Machines Corporation SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
US7994062B2 (en) * 2009-10-30 2011-08-09 Sachem, Inc. Selective silicon etch process
CN102299092B (en) * 2010-06-22 2013-10-30 中国科学院微电子研究所 Semiconductor device and forming method thereof
CN102376769B (en) * 2010-08-18 2013-06-26 中国科学院微电子研究所 Ultrathin transistor and manufacturing method thereof
CN102487018B (en) * 2010-12-03 2014-03-12 中芯国际集成电路制造(北京)有限公司 MOS transistor and formation method thereof
CN102122669A (en) * 2011-01-27 2011-07-13 上海宏力半导体制造有限公司 Transistor and manufacturing method thereof
US8455308B2 (en) 2011-03-16 2013-06-04 International Business Machines Corporation Fully-depleted SON
US9184214B2 (en) * 2011-04-11 2015-11-10 Globalfoundries Inc. Semiconductor device exhibiting reduced parasitics and method for making same
US20120326230A1 (en) * 2011-06-22 2012-12-27 International Business Machines Corporation Silicon on insulator complementary metal oxide semiconductor with an isolation formed at low temperature
WO2013020576A1 (en) * 2011-08-05 2013-02-14 X-Fab Semiconductor Foundries Ag Semiconductor device
US9136158B2 (en) * 2012-03-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral MOSFET with dielectric isolation trench
US8664050B2 (en) 2012-03-20 2014-03-04 International Business Machines Corporation Structure and method to improve ETSOI MOSFETS with back gate
US9525027B2 (en) * 2014-03-13 2016-12-20 Globalfoundries Inc. Lateral bipolar junction transistor having graded SiGe base
FR3025941A1 (en) * 2014-09-17 2016-03-18 Commissariat Energie Atomique MOS TRANSISTOR WITH RESISTANCE AND REDUCED PARASITE CAPACITY
CN105632909B (en) * 2014-11-07 2019-02-01 中芯国际集成电路制造(上海)有限公司 A kind of semiconductor devices and its manufacturing method, electronic device
US9281305B1 (en) 2014-12-05 2016-03-08 National Applied Research Laboratories Transistor device structure
CN105742248A (en) * 2014-12-09 2016-07-06 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor structure
US20230269061A1 (en) * 2022-02-18 2023-08-24 Psemi Corporation Lna with tx harmonic filter

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2636786B2 (en) * 1995-03-20 1997-07-30 日本電気株式会社 Method for manufacturing semiconductor device
DE19533313A1 (en) * 1995-09-08 1997-03-13 Max Planck Gesellschaft Semiconductor transistor device structure for e.g. CMOS FET
JP3373772B2 (en) * 1997-11-19 2003-02-04 株式会社東芝 Semiconductor device
US6303448B1 (en) * 1998-11-05 2001-10-16 Taiwan Semiconductor Manufacturing Company Method for fabricating raised source/drain structures
US6339244B1 (en) * 2000-02-22 2002-01-15 Advanced Micro Devices, Inc. Fully depleted silicon on insulator semiconductor device and manufacturing method therefor
US6323104B1 (en) * 2000-03-01 2001-11-27 Micron Technology, Inc. Method of forming an integrated circuitry isolation trench, method of forming integrated circuitry, and integrated circuitry
JP2002083972A (en) * 2000-09-11 2002-03-22 Hitachi Ltd Semiconductor integrated circuit device
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
JP2002237590A (en) * 2001-02-09 2002-08-23 Univ Tohoku Mos field effect transistor
US6558994B2 (en) * 2001-03-01 2003-05-06 Chartered Semiconductors Maufacturing Ltd. Dual silicon-on-insulator device wafer die
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US6660598B2 (en) * 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4173672B2 (en) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US6605498B1 (en) * 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
FR2838237B1 (en) * 2002-04-03 2005-02-25 St Microelectronics Sa METHOD FOR MANUFACTURING AN INSULATED GRID FIELD FIELD EFFECT TRANSISTOR WITH A CONSTANT CHANNEL AND INTEGRATED CIRCUIT COMPRISING SUCH A TRANSISTOR
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
KR100416627B1 (en) * 2002-06-18 2004-01-31 삼성전자주식회사 Semiconductor device and Method for manufacturing the same
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
US20040033677A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
JP4546021B2 (en) * 2002-10-02 2010-09-15 ルネサスエレクトロニクス株式会社 Insulated gate field effect transistor and semiconductor device
US6902991B2 (en) * 2002-10-24 2005-06-07 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
AU2002368388A1 (en) 2002-11-25 2004-06-18 International Business Machines Corporation Strained finfet cmos device structures
US6909186B2 (en) * 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US8097924B2 (en) * 2003-10-31 2012-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra-shallow junction MOSFET having a high-k gate dielectric and in-situ doped selective epitaxy source/drain extensions and a method of making same
US7037795B1 (en) * 2004-10-15 2006-05-02 Freescale Semiconductor, Inc. Low RC product transistors in SOI semiconductor process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102931092A (en) * 2012-10-26 2013-02-13 哈尔滨工程大学 Autocollimation SOI (Silicon On Insulator) FD (focal distanc) MOSFEI (metal-oxide -semiconductor field effect transistor) formation method
CN103779279A (en) * 2012-10-26 2014-05-07 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor device
CN103779279B (en) * 2012-10-26 2017-09-01 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of semiconductor devices

Also Published As

Publication number Publication date
US20080054316A1 (en) 2008-03-06
US8502283B2 (en) 2013-08-06
CN101061587B (en) 2011-01-12
KR101122753B1 (en) 2012-03-23
JP2008520097A (en) 2008-06-12
TWI380373B (en) 2012-12-21
US20060099752A1 (en) 2006-05-11
EP1815531A1 (en) 2007-08-08
WO2006052379A1 (en) 2006-05-18
US7306997B2 (en) 2007-12-11
KR20070084008A (en) 2007-08-24
TW200620489A (en) 2006-06-16

Similar Documents

Publication Publication Date Title
CN101061587B (en) Strained fully depleted silicon on insulator semiconductor device and manufacturing method therefor
KR101020811B1 (en) Finfet having improved carrier mobility and method of its formation
KR100246602B1 (en) A mosfet and method for fabricating the same
US6855982B1 (en) Self aligned double gate transistor having a strained channel region and process therefor
US6989570B2 (en) Strained-channel isolated-gate field effect transistor, process for making same and resulting integrated circuit
KR100212693B1 (en) Si/sige mos fet transistor and its fabrication process
KR100429869B1 (en) CMOS Integrated circuit devices and substrates having buried silicon germanium layers therein and methods of forming same
US6605514B1 (en) Planar finFET patterning using amorphous carbon
US7018882B2 (en) Method to form local “silicon-on-nothing” or “silicon-on-insulator” wafers with tensile-strained silicon
KR100281110B1 (en) Semiconductor device and method for fabricating the same
KR20010090454A (en) Semiconductor device and method for manufacturing the same
CN1805151A (en) Mosfet device with localized stressor
CN101060134A (en) MOSFET device and its making method
CN1967793A (en) Structure and method of field effect transistors
CN1897308A (en) Semiconductor device and method for manufacturing the same
US7238567B2 (en) System and method for integrating low schottky barrier metal source/drain
JP2002246601A (en) Semiconductor device and its manufacturing method
US7470573B2 (en) Method of making CMOS devices on strained silicon on glass
KR100242379B1 (en) Vertical channel mosfet and manufacturing method thereof
US20080200017A1 (en) Method of producing semiconductor device
US20060157784A1 (en) MOS field effect transistor and manufacture method therefor
JP2002299590A (en) Method of manufacturing semiconductor substrate and semiconductor device
JP2925007B2 (en) Method for manufacturing thin film transistor
KR100618796B1 (en) Method for fabricating SOI MOS transistor
KR100219073B1 (en) Mosfet and method of manufacturing the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: GLOBALFOUNDRIES INC.

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES INC.

Effective date: 20100730

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: CALIFORNIA STATE, USA TO: CAYMAN ISLANDS GRAND CAYMAN ISLAND

TA01 Transfer of patent application right

Effective date of registration: 20100730

Address after: Grand Cayman, Cayman Islands

Applicant after: Globalfoundries Semiconductor Inc.

Address before: American California

Applicant before: Advanced Micro Devices Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110112

Termination date: 20161012