CN101042992A - Vertical plasma processing apparatus for semiconductor process - Google Patents

Vertical plasma processing apparatus for semiconductor process Download PDF

Info

Publication number
CN101042992A
CN101042992A CNA2007100894644A CN200710089464A CN101042992A CN 101042992 A CN101042992 A CN 101042992A CN A2007100894644 A CNA2007100894644 A CN A2007100894644A CN 200710089464 A CN200710089464 A CN 200710089464A CN 101042992 A CN101042992 A CN 101042992A
Authority
CN
China
Prior art keywords
gas
processing region
container handling
plasma generation
generation area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007100894644A
Other languages
Chinese (zh)
Other versions
CN101042992B (en
Inventor
松浦广行
高桥俊树
佐藤润
相川胜芳
石井胜利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2006089210A external-priority patent/JP4983063B2/en
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101042992A publication Critical patent/CN101042992A/en
Application granted granted Critical
Publication of CN101042992B publication Critical patent/CN101042992B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

A vertical plasma processing apparatus for a semiconductor process includes an airtight auxiliary chamber defined by a casing having an insulative inner surface and integrated with a process container. The auxiliary chamber includes a plasma generation area extending over a length corresponding to a plurality of target substrates in a vertical direction. A partition plate having an insulative surface is located between a process field and the plasma generation. The partition plate includes a gas passage disposed over a length corresponding to the plurality of target substrates in a vertical direction. A process gas is exited while passing through the plasma generation area, and is then supplied through the gas passage to the process field.

Description

The vertical plasma processing apparatus that semiconductor processes is used
Cross reference with related application
The application is based on the Japanese patent application of submitting on March 24th, 2006 formerly 2006-083579 number and the Japanese patent application formerly submitted on March 28th, 2006 2006-089210 number, and requires its priority, to its full content in this combination for your guidance.
Technical field
The present invention relates to the vertical plasma processing apparatus that semiconductor processes is used, for example relate on processed substrates such as semiconductor wafer the vertical plasma film formation device of the film that forms the dielectric film that contains silicon etc.So-called semiconductor processes is meant: by in wafer or LCD (LCD: such FPD (flat-panel monitor: Flat Panel Display) on the processed substrates such as glass substrate of usefulness Liquid Crystal Display), pattern with regulation forms semiconductor layer, insulating barrier, conductive layer etc., in order to make semiconductor device or comprise the works of the distribution that is connected with semiconductor device, electrode etc. and the various processing implemented on this processed substrate.
Background technology
In the manufacturing of the semiconductor device that constitutes semiconductor integrated circuit, on processed substrate, for example semiconductor (for example silicon) wafer, implement various processing such as removing of film forming, etching, oxidation, diffusion, modification, annealing, natural oxide film.US 2003/0224618 A1 discloses this semiconductor processing of vertical (so-called batch (-type)) annealing device.In this method, at first with semiconductor wafer from brilliant box transfer to vertical brilliant boat, divide multilayer to support.Brilliant box can be accommodated for example 25 wafers, and brilliant boat can be placed 30~150 wafers.Secondly, pack brilliant boat into it from the below of container handling inner the time, seal container handling airtightly.Secondly, under the state of various treatment conditions such as the flow of control and treatment gas, processing pressure, treatment temperature, the heat treatment of stipulating.
In recent years, be accompanied by the requirement of the more highly integrated and high miniaturization of semiconductor integrated circuit, wish to alleviate the hot resume in the semiconductor device manufacturing process, improve Devices Characteristics.In vertical processing unit, also wish according to this requirement the improvement semiconductor processing.For example, a kind of CVD (chemical vapour deposition (CVD): Chemical VaporDeposition) of handling as film forming is arranged, base feed gas etc. off and on one side, on one side the method for the layer of the thickness of 1 layer or several layers atom of film forming or molecular level is (for example repeatedly, Te Kaiping 6-45256 communique, the spy opens flat 11-87341 communique).Generally, this film build method is called ALD (ald: Atomic layer Deposition), thus, even wafer is not exposed under such high temperature, also can carries out purpose and handle.
In addition, WO2004/066377 (on December 15th, 2004) (with US 7,094,708 B2 correspondences) discloses in carrying out the vertical processing unit of ALD, utilizes the assistance (assist) of plasma, reduces the structure of treatment temperature more.Adopt this device, for example using as the dichlorosilane (DCS) of silane-based gas with as the NH of nitriding gas 3Form under the situation of silicon nitride film (SiN), carry out following processing.That is: during carrying cleaning (purge) secretly, alternatively off and on DCS and NH 3Gas is supplied with in the container handling.When supplying with NH 3During gas,, generate plasma, promote nitridation reaction by applying RF (high frequency).At first, by DCS is supplied with in the container handling, on wafer surface, adsorb one or more layers DCS with molecular level.Remaining DCS is excluded in during cleaning.Secondly, by supplying with NH 3Generate plasma, utilize nitrogenize at low temperatures, form silicon nitride film.Carry out this a series of operation repeatedly, finish the film of specific thickness.
Summary of the invention
The vertical plasma processing apparatus that the object of the present invention is to provide a kind of semiconductor processes that does not have adverse effect also can improve the luminous efficiency of plasma to processing region to use.
The vertical plasma processing apparatus that first viewpoint of the present invention provides a kind of semiconductor processes to use, it comprises:
Has the container handling of accommodating across the processing region of a plurality of processed substrates of piling up at interval;
In above-mentioned processing region, support the support component of above-mentioned processed substrate;
Discharge the gas extraction system of the gas in the above-mentioned processing region;
The airtight subsidiary chamber that is attached to integratedly on the above-mentioned container handling and forms by housing with insulating properties inner face, wherein, above-mentioned subsidiary chamber has plasma generation area across the length of the above-below direction corresponding with above-mentioned a plurality of processed substrates;
Be used for forming high-frequency electric field, and the electric field that is attached on the above-mentioned container handling forms mechanism in above-mentioned plasma generation area;
Be provided between above-mentioned processing region and the above-mentioned plasma generation area and have the demarcation strip on insulating properties surface, wherein, above-mentioned demarcation strip has the gas flow path that forms across the length of the above-below direction corresponding with above-mentioned a plurality of processed substrates; With
Supply with treating-gas supply system in the above-mentioned subsidiary chamber with handling gas, wherein, above-mentioned processing gas is excited by above-mentioned plasma generation area the time, is supplied to above-mentioned processing region by the above-mentioned gas stream.
The vertical plasma processing apparatus that second viewpoint of the present invention provides a kind of semiconductor processes to use, it comprises:
Has the container handling of accommodating across the processing region of a plurality of processed substrates of piling up at interval;
In above-mentioned processing region, support the support component of above-mentioned processed substrate;
The heater that above-mentioned processed substrate in the above-mentioned processing region is heated:
Discharge the gas extraction system of the gas in the above-mentioned processing region;
The airtight subsidiary chamber that is attached to integratedly on the above-mentioned container handling and forms by housing with insulating properties inner face, wherein, above-mentioned subsidiary chamber has plasma generation area across the length of the above-below direction corresponding with above-mentioned a plurality of processed substrates;
Be used for forming high-frequency electric field, and the electric field that is attached on the above-mentioned container handling forms mechanism in above-mentioned plasma generation area;
Be configured between above-mentioned processing region and the above-mentioned plasma generation area and have the demarcation strip on insulating properties surface, wherein, above-mentioned demarcation strip has the gas flow path that forms across the length of the above-below direction corresponding with above-mentioned a plurality of processed substrates;
Be used for build-up film on above-mentioned processed substrate, and will provide selectively above-mentioned film main material first handle gas and with above-mentioned first handle second of gas reaction and handle the treating-gas supply system that gas is supplied with above-mentioned processing region, wherein, above-mentioned first and second at least one that handle gases are excited in supplying with above-mentioned subsidiary chamber and by above-mentioned plasma generation area the time, are supplied to above-mentioned processing region by the above-mentioned gas stream; With
The control part of the running of control said apparatus, wherein, above-mentioned control part repeatedly repeats in order to form above-mentioned film on above-mentioned processed substrate the above-mentioned first processing gas is supplied with above-mentioned processing region and the above-mentioned second processing gas is supplied with above-mentioned processing region.
Other purposes of the present invention and advantage will illustrate in the following description, by following declaratives become obviously, maybe can be by enforcement of the present invention is known.Objects and advantages of the present invention can realize and obtain by following means of specifically noting and combination.
Description of drawings
In conjunction with in this manual and constitute its a part of accompanying drawing, diagram has shown the preferred embodiment that the present invention is present, with above general description that provides and the following DETAILED DESCRIPTION OF THE PREFERRED that provides, is used to explain essence of the present invention.
Fig. 1 is the sectional view of the vertical plasma processing apparatus (vertical plasma film formation device) of expression first execution mode of the present invention.
Fig. 2 is the transversal plane figure of the part of presentation graphs 1 shown device.
Fig. 3 is the figure that is illustrated in the demarcation strip that uses in the device shown in Figure 1.
Fig. 4 is illustrated in the figure that the gas that uses in the device shown in Figure 1 excites the relation of portion and demarcation strip.
Fig. 5 is the figure that the gas that is illustrated in the variation of using in the device shown in Figure 1 excites the relation of portion and demarcation strip.
Fig. 6 is the figure that the gas that is illustrated in another variation of using in the device shown in Figure 1 excites the relation of portion and demarcation strip.
Fig. 7 NH that supplies with from the second gas dispersion nozzle of handling gas that to be expression drawn by experiment 3The curve chart of the relation of throughput and the pressure that each is regional.
Fig. 8 is the curve chart of the relation of the pressure of the plasma generation area that drawn by experiment of expression and plasma density.
Fig. 9 is the sectional view of the vertical plasma processing apparatus (vertical plasma film formation device) of expression second execution mode of the present invention.
Figure 10 is illustrated in the figure of the gas dispersion nozzle of the second processing gas in the device shown in Figure 9 with respect to the installment state of the flange of container handling.
Figure 11 NH that supplies with from the second gas dispersion nozzle of handling gas that to be expression drawn by experiment 3The curve chart of the relation of throughput and the pressure that each is regional.
Figure 12 is the curve chart of the relation of the RF power source voltage that drawn by experiment of expression and plasma density.
Figure 13 is the NH that expression is drawn by experiment 3The curve chart of the relation of the pressure of throughput, plasma generation area and gas conduction (conductance).
Figure 14 is a curve chart of drawing the part of the data among Figure 13.
Figure 15 is the curve chart of pressure dependence of the etch quantity of the quartz wall that drawn by experiment of expression.
Embodiment
Present inventors etc. have studied the problem points that produces in the disclosed vertical plasma processing apparatus in above-mentioned WO2004/066377 in exploitation process of the present invention.As a result, the present inventor waits the opinion that draws the following stated.
In WO2004/066377 number disclosed plasma processing apparatus, processing region and the gas conduction between the plasma generation area of taking in wafer are very big, and the pressure in two zones is roughly the same.The pressure of processing region is set at low value, makes that uniformity is all high between the inner evenness of the thickness of piling up on the wafer and face.Because like this, in this plasma processing unit, the pressure that the pressure of plasma generation area is subordinated to processing region is low value.But the luminous efficiency of plasma exists with ... the pressure of plasma generation area, and when hypotony, luminous efficiency reduces.In addition, when the pressure of plasma generation area is low, divides the plasma ion sputter that the wall of the quartz etc. of plasma generation area quickened by electric field and damage.
By increasing as the NH of plasma with gas 3The quantity delivered of gas can improve the pressure (pressure that plasma is indoor) of plasma generation area.Yet, in above-mentioned plasma processing apparatus, when increasing NH 3During the quantity delivered of gas, the pressure of processing region also rises, and is deposited in that uniformity may reduce between the inner evenness of the thickness on the wafer and face.In addition, when increasing NH 3During the quantity delivered of gas, the gas consumption figure of waste increases, and operating cost rises significantly.
Below, with reference to accompanying drawing, the embodiments of the present invention that constitute according to such opinion are described.The composed component that has roughly the same function and formation in the following description only carries out repeat specification in the case of necessary with identical symbolic representation.
(first execution mode)
Fig. 1 is the sectional view of the vertical plasma processing apparatus (vertical plasma film formation device) of expression first embodiment of the invention.Fig. 2 is the transversal plane figure of the part of expression device shown in Figure 1.This film formation device 2 has processing region, and this processing unit can selectively be supplied with first of dichlorosilane (DCS) gas that contains as silane-based gas and handle gas, contains the ammonia (NH as nitriding gas 3) gas second handle gas and by N 2The purge gas that inactive gass such as gas constitute.Film formation device 2 utilizes CVD to form silicon nitride film on processed substrate in this processing region.
Film formation device 2 has container handling 4, and this container handling 4 is at film formation device internal rules processing region 5, and its lower ending opening, has courtyard, is cylinder.Described processing region 5 is accommodated across a plurality of semiconductor wafers (processed substrate) of piling up at interval and is handled.All forming of container handling 4 by for example quartz.Courtyard seal arrangement in container handling 4 has the courtyard plate 6 of quartzy system.The menifold (manifold) 8 that forms cylinder is connected with the lower ending opening of container handling 4 by seal members 10 such as O shape rings.Menifold 8 can be set in addition, constitute all with quartzy system container handling cylindraceous.
Menifold 8 is made by for example stainless steel, supports the lower end of container handling 4.By the lower ending opening of menifold 8, the brilliant boat 12 of quartzy system carries out lifting, and thus, brilliant boat 12 carries out load/unload with respect to container handling 4.On brilliant boat 12,, divide multilayer mounting polylith semiconductor wafer W as processed substrate.For example, under the situation of present embodiment, on the pillar 12A of brilliant boat 12, can be with spacing about equally, the branch multilayer support for example diameter about 50~100 is the wafer W of 300mm.
Brilliant boat 12 is placed on the workbench 16 by the heat-preservation cylinder 14 of quartz system.Workbench 16 is supported on the rotating shaft 20, and this rotating shaft 20 connects the lid 18 of for example stainless steel of the lower ending opening that opens and closes menifold 8.
For example magnetic fluid seal 22 is set, sealing and rotatably supporting rotating shaft 20 airtightly on the breakthrough part of rotating shaft 20.On the bottom of the periphery of lid 18 and menifold 8, be provided with by for example O shape ring and wait the seal member 24 that constitutes, keep the sealing in the container.
Rotating shaft 20 for example is installed on the front end of the arm 26 that is supported by elevating mechanisms such as boat elevator 25.Utilize brilliant boat 12 of elevating mechanism 25 lifting integratedly and lid 18 etc.In addition, workbench 16 can be fixedly installed to lid 18 sides, not make brilliant boat 12 rotations carry out the processing of wafer W.
Be used for the gas supply part of the processing region 5 in the predetermined process gas supply container handling 4 is connected with the sidepiece of menifold 8.Gas supply part comprises second treating-gas supply system 28, first treating-gas supply system 30 and purge gas feed system 32.First treating-gas supply system 30 is supplied with and is contained the first processing gas of DCS (dichlorosilane) gas as silane-based gas.Second treating-gas supply system 28 is supplied with and is contained ammonia (NH 3) gas handles gas as second of nitriding gas.Purge gas feed system 32 is supplied with for example N of inactive gas 2Gas is as purge gas.Handle gas and second first and handle in the gas and can mix the carrier gas of appropriate amount as required, but following for easy explanation, do not mention carrier gas.
Particularly, second treating-gas supply system 28, first treating-gas supply system 30 and purge gas feed system 32 have the sidewall that connects menifold 8 to the inside respectively, and upward to the gas dispersion nozzle 34,36,38 (with reference to Fig. 2) that constitutes by quartz ampoule of bending extension.On each gas dispersion nozzle 34,36,38,, form a plurality of gas jetting hole 34A, 36A, 38A across the interval of regulation with along its length direction (above-below direction) and across all modes of the wafer W on the brilliant boat 12.
Nozzle 34,36,38 is by gas supply pipe road (gas passage) 42,44,46, respectively with NH 3Gas, DCS gas and N 2The gas source 28S of gas, 30S, 32S connect.Configuration open and close valve 42A, 44A, 46A and such flow controller 42B, 44B, the 46B of mass flow controller on gas supply pipe road 42,44,46.Like this, can control NH respectively 3Gas, DCS gas and N 2The flow of gas is supplied with.
On the part of the sidewall of container handling 4, set gas along its short transverse and excite portion 50.Exciting the opposition side of the relative container handling 4 of portion 50 with gas, for its internal atmosphere of vacuum exhaust, configuration is by the elongated exhaust outlet 52 that the sidewall of container handling 4 forms of pruning of direction up and down.
Particularly, gas excites portion 50 to have by along above-below direction, with the width of the regulation elongated opening up and down that the sidewall of container handling 4 forms of pruning.This opening is sealed by the demarcation strip 54 with gas flow path 55, and is covered by the lid 56 of the quartz system that engages with the outer wall of container handling 4 airtightly.Lid 56 makes cross section recess shape and has elongated shape up and down, so that outstanding in the outside of container handling 4.
Utilize this formation, form from the sidewall of container handling 4 that an outstanding and side excites portion 50 with the container handling 4 interior gases that are connected.That is: the gas inner space that excites portion 50 is by the gas flow path 55 of demarcation strip 54, is communicated with processing region 5 in the container handling 4.It is fully long that demarcation strip 54 forms above-below direction, so that can cover the whole wafer W that remain on the brilliant boat 12 in short transverse.
On the lateral surface of lid 56 two side, set elongated pair of electrodes 58 opposite one another along its length direction (above-below direction).The high frequency electric source 60 of plasma generation usefulness is connected with electrode 58 by supply line 62.Be applied on the electrode 58 by for example high frequency voltage of 13.56MHz, between pair of electrodes 58, be formed for the high-frequency electric field of activated plasma.In addition, the frequency of high frequency voltage is not limited to 13.56MHz, and for example 400kHz etc. is also passable to use other frequencies.
On the position below the wafer W of the next stage of the second gas dispersion nozzle 34 of handling gas on brilliant boat 12,, connect demarcation strip 54 to the radial direction foreign side bending of container handling 4.Then, on the position of the inside (part that departs from the center of container handling 4 most) of gas dispersion nozzle 34 in gas excites portion 50, vertically erect.As shown in Figure 2, gas dispersion nozzle 34 is arranged on the zone (position that high-frequency electric field is the strongest) by a pair of electrode of opposite 58 clampings, promptly on the position of departing to the outside of the plasma generation area PS of the actual generation of main plasma.Contain the NH that sprays from the gas jetting hole 34A of gas dispersion nozzle 34 3Second of gas is handled gas, sprays towards plasma generation area PS, here is excited (decomposing or activation), in this state, by the gas flow path 55 of demarcation strip 54, is fed into the wafer W on the brilliant boat 12.
Cover it and cover 64 in the installation of the outside of lid 56 by for example quartzy insulation protection of making.On the promptly relative part in the inboard of insulation protection lid 64, set the cooling body (not illustrating among the figure) that constitutes by refrigerant passage with electrode 58.By the nitrogen that for example cools off as cold-producing medium is flowed in refrigerant passage, electrode 58 is cooled off.In addition, in the outside of insulation protection lid 64, in order to cover it and to prevent that HF leakage from setting screen (not illustrating among the figure).
Near gas excites the outside of demarcation strip 54 of portion 50, be that the both sides in the outside (in the container handling 4) of demarcation strip 54 vertically erect, set the first gas dispersion nozzle 36,38 of handling gas and purge gas opposite one another.From being formed on gas jetting hole 36A, the 38A on the gas dispersion nozzle 36,38,, spray respectively and contain that first of DCS gas is handled gas and by N to the center position of container handling 4 2The purge gas that gas constitutes. Gas jetting hole 36A, 38A are configured between each of a plurality of wafer W on the brilliant boat 12, to form the mode of the gas stream parallel with wafer W, roughly supply with first processing gas (containing DCS) and the purge gas (N in the horizontal direction equably respectively 2).
On the other hand, exciting on the exhaust outlet 52 that portion 50 relatively is provided with the vent cap parts 66 that form cross section " コ " font that utilize welded and installed to cover this exhaust outlet and constitute with gas by quartz.Vent cap parts 66 extend upward along the sidewall of container handling 4, form gas vent 68 above container handling 4.The vacuum pumping system GE that disposes vacuum pump etc. is connected with gas vent 68.Vacuum pumping system GE has the exhaust channel 84 that is connected with gas vent 68, swims side from it and sets valve cell (aperture adjustment valve) 86, vacuum pump 88 successively, removes the unit 89 of removing the evil that does not need material.
Surrounding the mode of container handling 4, the heater 70 that configuration is heated the atmosphere in the container handling 4 and wafer W.Near the exhaust outlet 52 in container handling 4, configuration is used for the thermocouple (figure does not illustrate) of control heater 70.
In addition, film formation device 2 has the master control part 48 that computer by all runnings of control device etc. constitutes.The processing scheme that master control part 48 is handled according to the film forming that is stored in advance in its incidental storage part, for example thickness or the composition of formed film carry out film forming described later and handle.In this storage part as control data in advance also flow and the thickness of film or the relation of composition of stores processor gas.Therefore, master control part 48, according to the processing scheme or the control data of these storages, control elevating mechanism 25, gas supply system 28,30,32, gas extraction system GE (comprising valve cell 86), gas excites portion 50 and heater 70 etc.
Fig. 3 is the figure of expression demarcation strip 54.Fig. 4 is the figure that expression gas excites the relation of portion 50 and demarcation strip 54.In Fig. 4, represent that with transversal plane figure gas excites portion 50, represents demarcation strip 54 with front elevation.In the present embodiment, container handling 4, demarcation strip 54, gas excite the lid 56 of portion 50 to be made by identical insulating properties material (here for quartzy), are connected to each other by welding.Demarcation strip 54 is fixed on the division wall of the opening that is formed in the container handling 4, and lid 56 is fixed on the outside of container handling 4.
On demarcation strip 54, form the gas flow path 55 that is communicated with plasma generation area SP and processing region 5.As shown in Figure 3 and Figure 4, gas flow path 55 is made of the gas diffusion hole 55A of a plurality of circles.Gas diffusion hole 55A is along the length direction (above-below direction) of demarcation strip 54, and in all modes across the wafer W on the brilliant boat 12, across the interval of regulation, carries out assortment along two vertical row.Gas diffusion hole 55A with brilliant boat 12 on the identical spacing P1 of spacing of a plurality of wafer W, between each of wafer W and form.Gas diffusion hole 55A roughly makes equably in the horizontal direction by the second plasma-activated processing gas and (contains NH 3) pass through, to form the gas stream parallel with wafer W.
By demarcation strip 54 gas conduction between plasma generation area SP and the processing region 5 is reduced.Like this, pressure can not have adverse effect to processing region 5, can set the pressure of plasma generation area SP high.For this purpose, the aperture opening ratio of gas flow path 55 is set at below 7.3%, is preferably below 2.0%.So-called aperture opening ratio excites the ratio definition of portion's (subsidiary chamber) 50 with respect to the area (roughly the same with the area of demarcation strip 54) of the face of container handling 4 with the area and the gas of gas flow path 55 summations.
Specifically, exciting the width L1 of portion's (subsidiary chamber) 50 to be set at about 25~35mm gas, degree of depth L2 is set at about 45~60mm, is about 500~1000mm with the length setting of above-below direction.The diameter D1 of gas diffusion hole 55A decides according to hole count, for example is below the 5mm, to be preferably about 1mm.As this diameter D1 during less than 1mm, owing to take place easily to concentrate the hollow cathode discharge that causes by electric field, so not preferred.
By setting the pressure of plasma generation area SP than higher, can improve the luminous efficiency of plasma.In addition, owing to can keep the pressure of plasma generation area SP than the highland, like this, the mean free path of molecule is short.Thus, can suppress to divide gas excites the wall of portion 50 by the plasma ion sputter.
In addition, demarcation strip 54 plays the ion etc. of processing region 5 is invaded in minimizing from plasma generation area SP the effect of amount of charged particle.Like this, can make spike more preferably act on the film that is formed on the wafer W.From this viewpoint, the second gas jetting hole 34A that handles the gas dispersion nozzle 34 of gas can be configured in vertical direction between each of gas diffusion hole 55A of demarcation strip 54.Gas jetting hole 34A towards demarcation strip 54, roughly supplies with the second processing gas in the horizontal direction equably and (contains NH on the height and position that deviates from gas diffusion hole 55A 3).
Fig. 5 is the figure that the gas of expression variation excites the relation of portion 50 and demarcation strip 54.Fig. 6 is the figure that the gas of another variation of expression excites the relation of portion 50 and demarcation strip 54.In Fig. 5, Fig. 6, represent that with transversal plane figure gas excites portion 50, represents demarcation strip 54 with front elevation.In the variation of Fig. 5, gas flow path 55 is with along the length direction (above-below direction) of demarcation strip 54 and across all modes of the wafer W on the brilliant boat 12, and by across the interval of regulation, the gas diffusion hole 55A that forms along a vertical row constitutes.In the variation of Fig. 6, gas flow path 55 is with along the length direction (above-below direction) of demarcation strip 54 and across all modes of the wafer W on the brilliant boat 12, by across the interval of regulation, groove (slit) 55B formation that forms along a vertical row, that above-below direction is long.
Secondly, the film build method (so-called ALD (ald: film forming Atomic Layer Deposition)) that utilizes device shown in Figure 1 to carry out is described.Roughly say, in this film build method, will contain the first processing gas and the ammonia (NH that contains as nitriding gas of dichlorosilane (DCS) gas as silane-based gas 3) second the handling gas and supply with selectively in the processing region 5 of accommodating wafer W of gas, utilize CVD, on wafer W, form silicon nitride film.
At first, with maintain polylith for example 50~100 the brilliant boat 12 of normal temperature of wafer W of 300mm size pack in the container handling 4 that is set at set point of temperature.Secondly, with vacuum exhausts in the container handling 8, be maintained until predetermined process pressure, simultaneously, chip temperature risen, standby is till be stabilized in the treatment temperature that film forming uses.Secondly, handle gas, contain NH containing first of DCS gas respectively 3Second of gas is handled gas and by N 2The purge gas that gas constitutes carries out flow control, and supplies with from gas dispersion nozzle 36,34,38 off and on.
Specifically,, supply with and to contain first of DCS gas and handle gas from the gas jetting hole 36A of gas dispersion nozzle 36, make form with brilliant boat 12 on the parallel gas stream of polylith wafer W.At this moment, the molecule of DCS gas or by the molecule of its decomposition product that decompose to produce or atom sorption on wafer.
On the other hand, the gas jetting hole 34A supply from gas dispersion nozzle 34 contains NH 3Second of gas is handled gas, makes towards the gas stream of dividing plate 54 formation levels.Second handles gas by the plasma generation area PS between the pair of electrodes 58 time, is excited selectively, and a part becomes plasma.At this moment, generate for example N *, NH *, NH 2 *, NH 3 *Deng free radical (spike) (mark " * " expression free radical).These free radicals excite the gas flow path 55 of the demarcation strip 54 of portion 50 to flow out towards the center of container handling 4 from gas, supply with wafer W each other under laminar condition.
Above-mentioned free radical with attached to the reactions such as molecule of the lip-deep DCS gas of wafer W, thus, on wafer W, form silicon nitride film.In contrast, in the surface attachment of wafer W the place of free radical is arranged, the DCS gas flow in this case, also produces same reaction, forms silicon nitride film on wafer W.
In addition, contain first of DCS gas in supply and contain NH with supply after handling the operation of gas 3Second of gas is handled after the operation of gas, will be by N 2The purge gas that gas constitutes is supplied with in the processing region 5.From the gas jetting hole 38A of gas dispersion nozzle 38,, supply with purge gas to form the mode of the gas stream parallel with the polylith wafer W on the brilliant boat 12.Utilize this cleaned gas stream to remove to remain in DCS gas in the processing region 5 or its to decompose composition, perhaps NH 3Gas or its decompose composition.
Particularly, in the film build method of this execution mode, alternatively repeat first~the 4th operation T1~T4.That is: repeatedly repeat the circulation that constitutes by first~the 4th operation T1~T4,, obtain the silicon nitride film of final thickness by the film of the silicon nitride film that forms in stacked each circulation.
In the first operation T1, handle gas (containing DCS) with first and supply with processing region 5, on the other hand, stop to handle gas and (contain NH second 3) and purge gas (N 2) supply processing region 5.In the second operation T2, purge gas is supplied with processing region 5, on the other hand, stop to handle gas and supply with processing region 5 first and second, in the 3rd operation T3, handle gas with second and supply with processing region 5, on the other hand, stop to handle gas and purge gas supply processing region 5 first.In addition, in the 3rd operation T3, from connecting RF power supply 60 midway, excite at gas to make second to handle gaseous plasmaization in the portion 50, only during sub-operation T3b,, supply with processing region 5 thus exciting second to handle under the state of gas.In the 4th operation T4, purge gas is supplied with processing region 5, on the other hand, stop to handle gas and supply with processing region 5 first and second.In first operation to the, four operations, processing region 5 utilizes vacuum pumping system GE to continue vacuum exhaust by exhaust channel 84.
The first operation T1 sets about 1~120 second, for example about 5 seconds, the second operation T2 sets about 1~30 second, for example about 5 seconds, the 3rd operation T3 sets about 1~120 second, for example about 10 seconds, sub-operation T3b sets about 1~120 second, for example about 8 seconds, the 4th operation T4 sets about 1~30 second, for example about 5 seconds.In addition, the common thickness that is formed by the circulation of first~the 4th operation T1~T4 is about 0.05~0.11nm.Therefore, if target film thickness for example is 70nm, then to repeat circulation such about 600.But these times or thickness are only represented to be not limited to this numerical value by an example simply.
The flow of DCS gas in the scope of 50~2000sccm, for example be 1000sccm (1slm:standard liter per minute).NH 3The flow of gas in the scope of 100~5000sccm, for example be 3000sccm.N 2The flow of gas in the scope of 300~5000sccm, for example 3000sccm.Treatment temperature is to be lower than the temperature that common CVD handles, specifically, and in 250~700 ℃ scope, preferably in 350~600 ℃ scope.When treatment temperature is lower than 250 ℃, do not react, almost there is not membrane stack long-pending.When treatment temperature is higher than 700 ℃, form the accumulating film that produces by CVD of membranous difference, simultaneously, the metal film that formed etc. there is fire damage.
Processing pressure (pressure of processing region 5) is set in 0.2~1Torr (27~133Pa (1Torr=133.3Pa)) scope.Like this, can improve uniformity between the inner evenness of the thickness that forms by plasma deposition and face.When processing pressure surpassed 1.0Torr, the inactivation of spike increased sharp.On the other hand, under the situation of processing pressure less than 0.2Torr, film forming speed is below realistic scale.
Relative therewith, the pressure of plasma generation area SP (gas excites the pressure of portion's (subsidiary chamber) 50) for example is set in 0.7~5.0Torr (in 93~667Pa) scopes.Higher by the pressure of plasma generation area SP is kept, can improve the plasma luminous efficiency, improve plasma density.When the pressure of plasma generation area SP surpassed 5.0Torr, the burning of plasma worsened sharp.On the other hand, under the situation of this pressure less than 0.7Torr, the luminous efficiency of plasma reduces sharp.
Utilize demarcation strip 54, the gas conduction step-down between plasma generation area SP and the processing region 5, so pressure can not have adverse effect to processing region 5, can set the pressure of plasma generation area SP high.In other words, plasma generation area SP can be maintained the pressure of the high efficiency generation that is fit to plasma, simultaneously, processing region 5 be maintained the productivity ratio height and is fit to obtain between good face and the pressure of inner evenness.
(pressure of plasma generation area and processing region)
Use device shown in Figure 1, the relation about the pressure of plasma generation area SP and processing region 5 experimentizes.As shown in Figure 3, in this experiment, as the gas flow path 55 of demarcation strip 54, all across wafer W across the interval of regulation, forms gas diffusion hole 55A along two vertical row.The diameter D1 of diffusion hole 55A is set at 0.7mm, is 0.4% with respect to the aperture opening ratio of the diffusion hole 55A of demarcation strip 54.Exhaust velocity with maximum 120 liters/second in the container handling 4 vacuumizes.
Fig. 7 NH that supplies with from the second gas dispersion nozzle 34 of handling gas that to be expression obtained by this experiment 3The curve chart of the relation of throughput and the pressure that each is regional.In Fig. 7, transverse axis is represented NH 3Throughput (slm), the longitudinal axis are represented pressure (Torr).In addition, characteristic line A represents the pressure of processing region 5, and characteristic line B represents the pressure of plasma generation area SP.As shown in Figure 7, the pressure of the pressure ratio processing region 5 of plasma generation area SP is high a lot.In addition, increase the quantity delivered of gas more, the pressure differential of the two is big more.In addition, under the situation that demarcation strip 54 is not set (existing apparatus), the pressure of plasma generation area SP and processing region 5 is roughly the same, as characteristic line A.
(plasma luminous efficiency)
Use device shown in Figure 1,, experimentize about the pressure of plasma generation area SP and the relation of plasma density.In this experiment, the heating-up temperature of setting heater 70 is 450 ℃.The RF power supply 60 of plasma generation usefulness is set at 150,200,250 watts of three different values.As reference, under the situation that demarcation strip 54 is not set (existing apparatus), the pressure of plasma generation area is identical with processing region, is 0.6Torr.The density of plasma converts with plasma pressure and measures.
Fig. 8 is the curve chart of the relation of the pressure of the plasma generation area that drawn by this experiment of expression and plasma density.In Fig. 8, transverse axis is represented the pressure (Torr) of plasma generation area SP, and the longitudinal axis is represented plasma density (cm -3: Torr converts).In addition, characteristic line C1 represents 150 watts situation, and characteristic line C2 represents 200 watts situation, and characteristic line C3 represents 250 watts situation.As shown in Figure 8, the peakedness ratio of each characteristic line C1, C2, C3 is not established the situation (existing apparatus: Ref=0.6Torr) high a lot of of demarcation strip 54.Particularly under the situation of characteristic line C3, plasma density is roughly 2 times of the situation of not establishing demarcation strip 54.Therefore, can confirm,, can improve the plasma luminous efficiency by demarcation strip 54 is set.
Under the situation of characteristic line C1, C2, when the pressure of plasma generation area is roughly 0.9Torr, the plasma density peak value display.In this case, when pressure was lower than 0.9Torr, plasma density sharply reduced, and when pressure was higher than 0.9Torr, plasma density slowly reduced.Under the situation of characteristic line C3, when the pressure of plasma generation area is roughly 1.1Torr, the plasma density peak value display.In this case, when pressure was lower than 1.1Torr, plasma density sharply reduced than previous characteristic line C1, C2, and when pressure was higher than 1.1Torr, plasma density was than previous slower the reducing of characteristic line C1, C2.
When with 80% plasma density of each peak value during as lower limit, the pressure limit of plasma generation area is as follows.That is: under the situation of the characteristic line C1 of 150 watts of electric power, the scope of pressure is preferably 0.7~2.0Torr, more preferably 0.8~1.4Torr.Be that the scope of pressure is preferably 0.7~2.2Torr, more preferably 0.8~1.5Torr under 200 watts the situation of characteristic line C2 at electric power.Be that the scope of pressure is preferably 0.7~4Torr, more preferably 0.8~2.5Torr under 250 watts the situation of characteristic line C3 at electric power.In addition, when pressure surpasses 5.0Torr, the burning difficulty of plasma.
From The above results as can be known, according to the RF electric power that drops into, plasma density, be that the plasma luminous efficiency changes as peak value with near the of 1Torr.In addition, confirm to keep high plasma luminous efficiency by the pressure in the plasma generation area SP being set in the scope of 0.7~5.0Torr.
(aperture opening ratio of demarcation strip 54)
Use device shown in Figure 1, the relation about the pressure differential between aperture opening ratio, plasma generation area SP and the processing region 5 of demarcation strip 54 experimentizes.So-called aperture opening ratio is meant the ratio of the area of the summation of area of gas flow path 55 and demarcation strip.In this experiment, make on demarcation strip 54 size etc. of the gas flow path 55 that forms do various variations, set different aperture opening ratios.To the demarcation strip 54 that is set at each aperture opening ratio,, measure the pressure differential between plasma generation area SP and the processing region 5 from gas dispersion nozzle 34 supply gas.
Its result, aperture opening ratio reaches about 100% (not having demarcation strip)~7.3% and produces pressure differential hardly.Yet, when aperture opening ratio is 7.3% to produce pressure differential when following gradually.Therefore, the aperture opening ratio of wishing gas flow path 55 (diffusion hole 55A) is below 7.3%.In addition, when aperture opening ratio is too small,, be limited to about 0.3% under it because the spike (containing gas) that flows in the processing region 5 is few.
(second execution mode)
Fig. 9 is the sectional view of the vertical plasma processing apparatus (vertical plasma film formation device) of expression second execution mode of the present invention.The film formation device 2X of second execution mode handles the collocating structure difference of gas dispersion nozzle 34X of gas except second, and is identical with film formation device 2 structures of first execution mode.Therefore, below, be the center with the difference, the film formation device 2X of second execution mode is described.
The container handling 4X of film formation device 2X does not have the menifold 8 of stainless steel shown in Figure 1, and all the container by the quartz system of cylinder constitutes.Lower ending opening portion at container handling 4X is formed on the thick ring shape flange 92 that radial direction foreign side extends.The for example circular reinforcing member 94 of stainless steel is installed around flange 92.The second gas dispersion nozzle 34X that handles gas utilizes this flange 92 to install.The form of a plurality of gas jetting hole 34A of gas dispersion nozzle 34X is identical with the film formation device 2 of first execution mode.
Figure 10 is that the figure of the gas dispersion nozzle of gas with respect to the installment state of the flange of container handling handled in expression second.As shown in figure 10, gas dispersion nozzle 34X is made of the nozzle body 100 of the straight tube-like of quartz system and the container-like gas header 102 of the quartz system of the bottom that is installed in nozzle body 100.On the sidepiece of gas header 102, form peristome 104.In the bottom of the flange 92 of container handling 4X with the mode of the through hole coupling of reinforcing member 94, form recess 106 be installed.
In the courtyard portion that recess 106 is installed, be formed centrally peristome 107, also form peristome 108 dividing on the bottom 56A of lid 56 that gas excites portion 50 simultaneously.The location matches of these peristomes 107,108.The auxiliary siphunculus 110 of inserting vertically is connected and fixed, and makes peristome 107,108 be communicated with.In the present embodiment, container handling 4X, gas excite the lid 56 of portion 50, the auxiliary siphunculus 110 of inserting to be made by identical insulating properties material (here for quartzy), utilize welding to be connected to each other.
The auxiliary internal diameter of inserting siphunculus 110 is set for bigger than the external diameter of nozzle body 100.Nozzle body 100 is inserted from flange 92 belows and is led to auxiliary inserting in the siphunculus 110, is configured in gas and excites in the portion 50.Accommodate under the state of installing in the recess 106 in gas header 102,,, utilize screw component 114 to be fixed from its below by baffle plate (retainer) 112.Registered trade mark) and the elastic construction made of stainless steel baffle plate 112 has by for example fluororesin (teflon:.
That is: gas dispersion nozzle 34X from the below of flange 92, excites portion 50 to insert or to deviate from respect to gas.In order to keep installing the air-tightness in the recess 106, the seal member 116,118 that is made of O shape ring etc. is set in suitable places such as the upside of gas header 102 and downsides.Utilize this structure, gas dispersion nozzle 34X can directly insert gas and excite in the portion 50 or from it not by in the container handling 4X.
At the sidepiece of flange 92 and the sidepiece of reinforcing member 94, form through hole 120 to be communicated with the mode that recess 106 is installed.Be formed with end difference 120A in the way of through hole 120, the leading section of the gas piping 42 of the stainless steel of second treating-gas supply system 28 is inserted into end difference 120A.Front end at gas piping 42 sets seal members 122 such as O shape ring, will install in the recess 106 and remain airtight conditions.The NH of circulation in gas piping 42 3Gas is by in the gas header 102 flow nozzle bodies 100.In reinforcing member 94, along its all direction be formed for circulating two refrigerant passage 124 of cold-producing medium.By cold-producing medium being supplied with refrigerant passage 124, can be when wafer heat treatment near the cooling flange 92.
As mentioned above, gas dispersion nozzle 34X does not excite in the portion 50 via directly inserting the ventilation body from the lower side of flange 92 in the container handling 4X.Because like this, the nozzle that needn't be set to increase the reason of conductance on demarcation strip 54 is inserted general opening.Because like this, pressure does not have adverse effect to processing region 5, can set the pressure of plasma generation area SP high.
(pressure of plasma generation area and processing region)
Use device shown in Figure 9, the relation about the pressure of plasma generation area SP and processing region 5 experimentizes.In this experiment, as shown in Figure 5, as the gas flow path 55 of demarcation strip 54, all across wafer W across the interval of regulation, along a vertical row, forms gas diffusion hole 55A.The diameter D1 of diffusion hole 55A is set at 1mm, is 0.3% with respect to the aperture opening ratio of the diffusion hole 55A of demarcation strip 54.Be set at 25 ℃ in the container handling 4X, vacuumize continuously with 30 liters/second exhaust velocities.
Figure 11 NH that supplies with from the second gas dispersion nozzle 34X that handles gas that to be expression drawn by this experiment 3The curve chart of the relation of throughput and the pressure that each is regional.In Figure 11, transverse axis is represented NH 3Throughput (slm), the longitudinal axis are represented pressure (Torr).In addition, characteristic line A represents the pressure of processing region 5, and characteristic line B represents the pressure of plasma generation area SP.As shown in figure 11, the pressure of the pressure ratio processing region 5 of plasma generation area SP is high a lot.In addition, the quantity delivered of gas is many more, and pressure differential between the two is big more.Under the situation that demarcation strip 54 is not set (existing apparatus), the pressure of plasma generation area SP and processing region 5 is roughly the same, as characteristic line A.
(plasma luminous efficiency)
Use device shown in Figure 9,, experimentize about the voltage of the RF power supply 60 of plasma generation usefulness and the relation of plasma density.In this experiment, the heating-up temperature of heater 70 is set at 450 ℃.The pressure (gas excites the pressure in the portion 50) of plasma generation area SP is set at different three values 0.58,0.99,3.16Torr.Plasma density converts with plasma pressure and measures.
Figure 12 is the curve chart of the relation of the RF power source voltage that drawn by this experiment of expression and plasma density.In Figure 12, transverse axis is represented the voltage (kV) of RF power supply 60, and the longitudinal axis is represented plasma density (cm -3: Torr converts).In addition, characteristic line C1 represents the situation of 0.58Torr, and characteristic line C2 represents the situation of 0.99Torr, and characteristic line C3 represents the situation of 3.16Torr.As shown in figure 12, in each characteristic line C1, C2, C3, increase according to RF voltage, the plasma density of each characteristic line C1, C2, C3 is roughly quadratic function ground to be increased.That is: pressure is high more, and the rising degree of plasma density is big more.Therefore, can confirm that the pressure of plasma generation area SP is set highly more, plasma density increases more, and the plasma luminous efficiency is high more.
(NH 3The pressure of flow, plasma generation area and gas conduction)
Use device shown in Figure 9, about NH 3The relation of the conductance of the gas flow path 55 of the pressure of throughput, plasma generation area SP and demarcation strip 54 experimentizes.In this experiment, the pressure of processing region 5 is maintained 0.1Torr, and simultaneously, temperature maintenance is 450 ℃.The aperture opening ratio of the gas flow path 55 of demarcation strip 54 is set at different three values 0.85%, 0.54%, 0.31%, and (conductance is respectively with 15.2 * 10 -5, 9.26 * 10 -5, 4.96 * 10 -5m 3/ sec correspondence).In addition, NH 3Throughput is set at different two values 2.0,4.0slm.
Figure 13 is the NH that expression is drawn by this experiment 3The curve chart of the pressure of throughput, plasma generation area SP and the relation of gas conduction.In Figure 13, transverse axis is represented NH 3Throughput (slm), the longitudinal axis are represented the pressure (Torr) of plasma generation area SP.In addition, characteristic line E1 represents that aperture opening ratio is 0.85% (15.2 * 10 -5m 3/ sec) situation, characteristic line E2 represents that aperture opening ratio is 0.54% (15.2 * 10 -5m 3/ sec) situation, characteristic line E3 represents that aperture opening ratio is 0.31% (4.96 * 10 -5m 3/ sec) situation.Figure 14 is a curve chart of drawing the part of the data among Figure 13.In Figure 14, transverse axis is represented the gas conduction (m between plasma generation area SP and the processing region 5 3/ sec), the longitudinal axis is represented the pressure (Torr) of plasma generation area SP.In addition, characteristic line F1 represents NH 3Flow is the situation of 2.0slm, and characteristic line F2 represents NH 3Flow is the situation of 4.0slm.
As shown in figure 13, when increasing NH 3During the flow of gas, the pressure of plasma generation area SP roughly rises point-blank.For the pressure with plasma generation area SP maintains in 2~5Torr scope, this flow, under the situation of characteristic line E1, in the scope of preferred settings about 1.5~6slm, under the situation of characteristic line E2, in the scope of preferred settings about 0.5~3slm, under the situation of characteristic line E3, preferably set in the scope about 0.2~1.2slm.
(pressure dependence of quartz wall etch quantity)
Use the device of Fig. 9, excite the pressure dependence of etch quantity of lid 56 of the quartz system of portion 50, experimentize about dividing gas.In this experiment, set RF (high frequency) power respectively and be 500W (watt), N 2The gas quantity delivered (replaces NH 3Supply with) be 4slm, the processing time is 10min.Gas excites the pressure (pressure of plasma generation area SP) in the portion 50 to be set at different three values 0.5,2.0,5.0,10.0Torr.
Figure 15 is the curve chart of the pressure dependence of the quartz wall etch quantity that drawn by this experiment of expression.In Figure 15, the longitudinal axis is represented the etched thickness of quartz wall (nm).As shown in figure 15, increase gas more and excite pressure in the portion 50, etch quantity is few more.Particularly, in the scope of pressure 2~5Torr, etch quantity shows good result below 30nm.
(variation)
In the above-described 2nd embodiment, the second gas dispersion nozzle 34X that handles gas excites the below of portion 50 releasably to insert from gas.Replace like this, gas dispersion nozzle 34X can excite the top of portion 50 releasably to insert from gas.
In above-mentioned first and second execution modes, do not make first processing gas (the containing DCS) plasmaization of the main material that film is provided, make with the first second processing gas of handling gas reaction and (contain NH 3) plasmaization.But, according to the difference of CVD type the situation of the gaseous plasmaization that only makes the main material that film is provided is arranged, perhaps make the main material that film is provided gas and with the situation of the gas plasmaization of its reaction.All be set in the suitableeest pressure limit pressure of plasma generation area SP and processing region 5 also passable respectively.
In addition, the present invention handles in plasma treatment in addition, for example plasma etch process, plasma oxidation DIFFUSION TREATMENT, the plasma modification processing etc. at above-mentioned plasma deposition and also can utilize.In addition, also can utilize in the processed substrate of the present invention beyond the above-mentioned semiconductor wafer, for example glass substrate, the ceramic substrate etc.
Other advantages and remodeling will be conspicuous for those skilled in the art.Therefore, execution mode widely of the present invention is not limited to detail and the representational embodiment in this demonstration and explanation.Therefore, different remodeling be can carry out, and essence and scope do not broken away from by claims and the determined present general inventive concept of equivalent thereof.

Claims (20)

1. the vertical plasma processing apparatus that semiconductor processes is used is characterized in that, comprising:
Has the container handling of accommodating across the processing region of a plurality of processed substrates of piling up at interval;
In described processing region, support the support component of described processed substrate;
Discharge the gas extraction system of the gas in the described processing region;
The airtight subsidiary chamber that is attached to integratedly on the described container handling and forms by housing with insulating properties inner face, wherein, described subsidiary chamber has plasma generation area across the length of the above-below direction corresponding with described a plurality of processed substrates;
Be used for forming high-frequency electric field, and the electric field that is attached on the described container handling forms mechanism in described plasma generation area;
Be provided between described processing region and the described plasma generation area and have the demarcation strip on insulating properties surface, wherein, described demarcation strip has the gas flow path that forms across the length of the above-below direction corresponding with described a plurality of processed substrates; With
Supply with treating-gas supply system in the described subsidiary chamber with handling gas, wherein, described processing gas is excited by described plasma generation area the time, is supplied to described processing region by described gas flow path.
2. device as claimed in claim 1 is characterized in that:
The housing of described container handling, described subsidiary chamber is made by identical insulating properties material with described demarcation strip, and utilizes welding to be connected to each other.
3. device as claimed in claim 1 is characterized in that:
Described gas flow path has across described a plurality of processed substrates at a plurality of gas diffusion holes of above-below direction across the interval assortment, makes to form and the parallel gas stream of described a plurality of processed substrates.
4. device as claimed in claim 3 is characterized in that:
Each hole of described a plurality of gas diffusion holes is between adjacent two substrates of described a plurality of processed substrates.
5. device as claimed in claim 3 is characterized in that:
Described a plurality of gas diffusion hole carries out assortment along a plurality of vertical row.
6. device as claimed in claim 1 is characterized in that:
Described gas flow path has the long groove of above-below direction.
7. device as claimed in claim 1 is characterized in that:
Described treating-gas supply system has in order to supply with the nozzle that described processing gas releasably is connected with described subsidiary chamber.
8. device as claimed in claim 7 is characterized in that:
Described nozzle has in described subsidiary chamber along the vertical direction and extends, simultaneously, across the length of the above-below direction corresponding with described a plurality of processed substrates across a plurality of gas jetting holes that form at interval.
9. device as claimed in claim 7 is characterized in that:
Described subsidiary chamber is given prominence to the side from described container handling and is provided with, described container handling has flange in the bottom, the auxiliary siphunculus of inserting is connected between described subsidiary chamber and the described flange airtightly, and described nozzle inserts in the described subsidiary chamber by the described auxiliary siphunculus of inserting from the below of described flange.
10. device as claimed in claim 9 is characterized in that:
The housing of described flange, described subsidiary chamber is made by identical insulating properties material with the described auxiliary siphunculus of inserting, and utilizes welding to be connected to each other.
11. the vertical plasma processing apparatus that semiconductor processes is used is characterized in that, comprising:
Has the container handling of accommodating across the processing region of a plurality of processed substrates of piling up at interval;
In described processing region, support the support component of described processed substrate;
The heater that described processed substrate in the described processing region is heated:
Discharge the gas extraction system of the gas in the described processing region;
The airtight subsidiary chamber that is attached to integratedly on the described container handling and forms by housing with insulating properties inner face, wherein, described subsidiary chamber has plasma generation area across the length of the above-below direction corresponding with described a plurality of processed substrates;
Be used for forming high-frequency electric field, and the electric field that is attached on the described container handling forms mechanism in described plasma generation area;
Be provided between described processing region and the described plasma generation area and have the demarcation strip on insulating properties surface, wherein, described demarcation strip has the gas flow path that forms across the length of the above-below direction corresponding with described a plurality of processed substrates;
Be used for build-up film on described processed substrate, and will provide selectively described film main material first handle gas and with described first handle second of gas reaction and handle the treating-gas supply system that gas is supplied with described processing region, wherein, described first and second at least one that handle gases are excited in supplying with described subsidiary chamber and by described plasma generation area the time, and are supplied to described processing region by described gas flow path; With
Control the control part of the running of described device, wherein, described control part repeatedly repeats in order to pile up described film on described processed substrate the described first processing gas is supplied with described processing region and the described second processing gas is supplied with described processing region.
12. device as claimed in claim 11 is characterized in that:
The housing of described container handling, described subsidiary chamber is made by identical insulating properties material with described demarcation strip, and utilizes welding to be connected to each other.
13. device as claimed in claim 11 is characterized in that:
Described gas flow path has across described a plurality of processed substrates at a plurality of gas diffusion holes of above-below direction across the interval assortment, makes to form and the parallel gas stream of described a plurality of processed substrates.
14. device as claimed in claim 13 is characterized in that:
Each hole of described a plurality of gas diffusion holes is between adjacent two substrates of described a plurality of processed substrates.
15. device as claimed in claim 11 is characterized in that:
Described gas flow path has the long groove of above-below direction.
16. device as claimed in claim 11 is characterized in that:
Described control part in the accumulation of described film, is set at 0.2~1Torr with the pressure of described processing region, and the pressure of described plasma generation area is set at 0.7~5Torr and is higher than described processing region.
17. device as claimed in claim 11 is characterized in that:
Described treating-gas supply system has in order to supply with the described first and second at least a nozzles of handling in the gas that releasably are connected with described subsidiary chamber.
18. device as claimed in claim 17 is characterized in that:
Described nozzle has in described subsidiary chamber along the vertical direction and extends, simultaneously, across the length of the above-below direction corresponding with described a plurality of processed substrates across at interval and a plurality of gas jetting holes that form.
19. device as claimed in claim 17 is characterized in that:
Described subsidiary chamber is given prominence to the side from described container handling and is provided with, described container handling has flange in the bottom, the auxiliary siphunculus of inserting is connected between described subsidiary chamber and the described flange airtightly, and described nozzle inserts in the described subsidiary chamber by the described auxiliary siphunculus of inserting from the below of described flange.
20. device as claimed in claim 19 is characterized in that:
The housing of described flange, described subsidiary chamber is made by identical insulating properties material with the described auxiliary siphunculus of inserting, and utilizes welding to be connected to each other.
CN2007100894644A 2006-03-24 2007-03-23 Vertical plasma processing apparatus for semiconductor process Active CN101042992B (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2006-083579 2006-03-24
JP2006083579A JP4857849B2 (en) 2006-03-24 2006-03-24 Plasma processing apparatus and plasma processing method
JP2006083579 2006-03-24
JP2006-089210 2006-03-28
JP2006089210A JP4983063B2 (en) 2006-03-28 2006-03-28 Plasma processing equipment
JP2006089210 2006-03-28

Publications (2)

Publication Number Publication Date
CN101042992A true CN101042992A (en) 2007-09-26
CN101042992B CN101042992B (en) 2011-07-20

Family

ID=38632495

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007100894644A Active CN101042992B (en) 2006-03-24 2007-03-23 Vertical plasma processing apparatus for semiconductor process

Country Status (2)

Country Link
JP (1) JP4857849B2 (en)
CN (1) CN101042992B (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101877304A (en) * 2009-05-01 2010-11-03 东京毅力科创株式会社 Plasma process apparatus and plasma process method
CN101909803A (en) * 2008-01-09 2010-12-08 三菱重工业株式会社 Cold jointing apparatus, and cold jointing method
CN101413113B (en) * 2007-10-19 2012-05-16 东京毅力科创株式会社 Vertical plasma processing apparatus and use method thereof
CN103866294A (en) * 2014-04-03 2014-06-18 江西沃格光电股份有限公司 Film coating gas charging device
TWI568320B (en) * 2013-10-21 2017-01-21 東京威力科創股份有限公司 Plasma processing apparatus
CN107995998A (en) * 2015-04-02 2018-05-04 商先创国际股份有限公司 Cassette and wafer plasma processing apparatus
CN112753286A (en) * 2018-10-26 2021-05-04 株式会社富士 Plasma generator
CN113557797A (en) * 2019-03-22 2021-10-26 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5237133B2 (en) * 2008-02-20 2013-07-17 株式会社日立国際電気 Substrate processing equipment
JP6176732B2 (en) * 2014-03-20 2017-08-09 株式会社日立国際電気 Gas supply unit, substrate processing apparatus, and semiconductor device manufacturing method
JP6706901B2 (en) 2015-11-13 2020-06-10 東京エレクトロン株式会社 Processor
JP6550029B2 (en) * 2016-09-28 2019-07-24 株式会社Kokusai Electric Substrate processing apparatus, nozzle base and method of manufacturing semiconductor device
JP7130014B2 (en) 2019-05-28 2022-09-02 東京エレクトロン株式会社 Plasma processing equipment
CN112017936A (en) 2019-05-28 2020-12-01 东京毅力科创株式会社 Plasma processing apparatus
WO2021181450A1 (en) * 2020-03-09 2021-09-16 株式会社Kokusai Electric Substrate treatment device, production method for semiconductor device, and program
JP7455013B2 (en) 2020-07-10 2024-03-25 東京エレクトロン株式会社 Plasma processing equipment and plasma processing method
JP2024011357A (en) 2022-07-14 2024-01-25 東京エレクトロン株式会社 Plasma processing device and plasma processing method

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3589388A (en) * 1970-03-30 1971-06-29 Bryan L Haneline Jr Injector nozzle retriever and insertion apparatus
JPH05251391A (en) * 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk Plasma processing device for semiconductor wafer
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP4045689B2 (en) * 1999-04-14 2008-02-13 東京エレクトロン株式会社 Heat treatment equipment
KR100829327B1 (en) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and reaction tube
JP2005277264A (en) * 2004-03-26 2005-10-06 Hitachi Kokusai Electric Inc Substrate processing apparatus
CN101381861B (en) * 2004-06-28 2011-04-13 东京毅力科创株式会社 Film formation method

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101413113B (en) * 2007-10-19 2012-05-16 东京毅力科创株式会社 Vertical plasma processing apparatus and use method thereof
US8985175B2 (en) 2008-01-09 2015-03-24 Mitsubishi Heavy Industries, Ltd. Room temperature bonding machine and room temperature bonding method
CN101909803A (en) * 2008-01-09 2010-12-08 三菱重工业株式会社 Cold jointing apparatus, and cold jointing method
CN101909803B (en) * 2008-01-09 2013-06-19 三菱重工业株式会社 Cold jointing apparatus, and cold jointing method
CN101877304B (en) * 2009-05-01 2014-06-25 东京毅力科创株式会社 Plasma process apparatus and plasma process method
CN101877304A (en) * 2009-05-01 2010-11-03 东京毅力科创株式会社 Plasma process apparatus and plasma process method
US8683943B2 (en) 2009-05-01 2014-04-01 Tokyo Electron Limited Plasma process apparatus and plasma process method
US9447926B2 (en) 2009-05-01 2016-09-20 Tokyo Electron Limited Plasma process method
TWI568320B (en) * 2013-10-21 2017-01-21 東京威力科創股份有限公司 Plasma processing apparatus
CN103866294A (en) * 2014-04-03 2014-06-18 江西沃格光电股份有限公司 Film coating gas charging device
CN103866294B (en) * 2014-04-03 2017-01-11 江西沃格光电股份有限公司 Film coating gas charging device
CN107995998A (en) * 2015-04-02 2018-05-04 商先创国际股份有限公司 Cassette and wafer plasma processing apparatus
CN112753286A (en) * 2018-10-26 2021-05-04 株式会社富士 Plasma generator
CN112753286B (en) * 2018-10-26 2023-09-05 株式会社富士 Plasma generating device
CN113557797A (en) * 2019-03-22 2021-10-26 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
JP4857849B2 (en) 2012-01-18
JP2007258580A (en) 2007-10-04
CN101042992B (en) 2011-07-20

Similar Documents

Publication Publication Date Title
CN101042992A (en) Vertical plasma processing apparatus for semiconductor process
CN101051606A (en) Vertical plasma processing apparatus and method for semiconductor process
JP5274229B2 (en) Plasma CVD apparatus and method
JP4228150B2 (en) Film forming apparatus, film forming method, and storage medium
JP4382750B2 (en) CVD method for forming a silicon nitride film on a substrate to be processed
US8461059B2 (en) Batch CVD method and apparatus for semiconductor process
CN100524641C (en) Plasma processing device
KR101160788B1 (en) Vertical plasma processing apparatus for semiconductor process
JP5812606B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
TWI433610B (en) Plasma processing apparatus
US10312076B2 (en) Application of bottom purge to increase clean efficiency
CN1831192A (en) Film formation method and apparatus for semiconductor process, and storage medium
CN1891859A (en) Method and apparatus for forming silicon oxynitride film and program thereof
US9062376B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer readable recording medium
KR101749434B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and program
CN1881541A (en) Film formation method and apparatus for semiconductor process
KR20080001646A (en) Film formation apparatus and method of using the same
CN1881544A (en) Method and apparatus for forming silicon nitride film
JP6719415B2 (en) Etching method and etching apparatus
CN1716538A (en) Film formation apparatus and film forming device
JP2018186221A (en) Substrate processing device
JP2013135126A (en) Manufacturing method of semiconductor device, substrate processing method, and substrate processing apparatus
TWI826683B (en) Methods of reducing chamber residues when forming film
CN114267579A (en) Processing apparatus and processing method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant