BR112012021102A2 - aparelho de processamento de dados, método para operar um aparelho de processamento de dados. - Google Patents

aparelho de processamento de dados, método para operar um aparelho de processamento de dados.

Info

Publication number
BR112012021102A2
BR112012021102A2 BR112012021102A BR112012021102A BR112012021102A2 BR 112012021102 A2 BR112012021102 A2 BR 112012021102A2 BR 112012021102 A BR112012021102 A BR 112012021102A BR 112012021102 A BR112012021102 A BR 112012021102A BR 112012021102 A2 BR112012021102 A2 BR 112012021102A2
Authority
BR
Brazil
Prior art keywords
processing circuit
circuit system
processing
workload
processing apparatus
Prior art date
Application number
BR112012021102A
Other languages
English (en)
Other versions
BR112012021102B1 (pt
Inventor
Richard Greenhalgh Peter
Roy Grisenthwaite Richard
Original Assignee
Advanced Risc Mach Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Risc Mach Ltd filed Critical Advanced Risc Mach Ltd
Publication of BR112012021102A2 publication Critical patent/BR112012021102A2/pt
Publication of BR112012021102B1 publication Critical patent/BR112012021102B1/pt

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/167Interprocessor communication using a common memory, e.g. mailbox
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5083Techniques for rebalancing the load in a distributed system
    • G06F9/5088Techniques for rebalancing the load in a distributed system involving task migration
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3293Power saving characterised by the action undertaken by switching to a less power-consuming processor, e.g. sub-CPU
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5094Allocation of resources, e.g. of the central processing unit [CPU] where the allocation takes into account power or heat criteria
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/50Reducing energy consumption in communication networks in wire-line communication networks, e.g. low power modes or reduced link rate

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Sources (AREA)
  • Hardware Redundancy (AREA)
  • Multi Processors (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

aparelho de processamento de dados, método para operar um aparelho de processamento de dados. são providos um aparelho de processamento de dados e um método para comutação do desempenho de uma carga de trabalho entre dois circuitos de processamento. o aparelho de processamento de dados tem o primeiro sistema de circuitos de processamento que é arquiteturalmente compatível com segundo sistema de circuitos de processamento, mas com o primeiro sistema de circuitos de processamento sendo microarquiteturalmente diferente do segundo sistema de circuitos de processamento. em qualquer momento, uma carga de trabalho que consiste em pelo menos uma aplicação e pelo menos um sistema operacional para executar esta aplicação é realizada por um do primeiro sistema de circuitos de processamento e do segundo sistema de circuitos de processamento. um controlador de comutação é responsivo a um estímulo de transferência para realizar uma operação de trasferência de passagem para transferir o desempenho da carga de trabalho do sistema de circuitos de processamento de origem para o sistema de circuitos de processamento de destino, com o sistema de circuitos de processamento de origem sendo um do primeiro e do segundo sistemas de circuitos de processamento e o sistema de circuitos de processamento de destino sendo o outro do primeiro e do segundo sistemas de circuitos de processamento. durante a operação de transferência de passagem, o controlador de comutação faz com que o sistema de circuitos de processamento de origem torne seu estado de arquitetura atual disponível ao sistema de circuitos de processamento de destino, o estado de arquitetura atual sendo aquele estado não disponível a partir da memória compartilhada no momento em que a operação de transferência for iniciada e que é necessário para que o sistema de circuitos de processamento de destino assuma o controle do desempenho da carga de trabalho do sistema de circuitos de processamento de origem com sucesso. além do mais, ocontrolador de comutação mascara a informação de configuração específica do processador predetrminada do pelo menos um sistema operacional, de modo que a transferência da carga de trabalho seja transparente a este sistema operacional. verificou-se que uma abordagem como esta produz significativos benefícios ao consumo de energia, ainda evitando complexidades associadas com a provisão de sistemas operacionais com a capacidade de comutação de aplicações entre circuitos de processamento.
BR112012021102-1A 2010-03-01 2011-02-17 Aparelho de processamento de dados, metodo para operar um aparelho de processamento de dados BR112012021102B1 (pt)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/659,234 US8418187B2 (en) 2010-03-01 2010-03-01 Virtualization software migrating workload between processing circuitries while making architectural states available transparent to operating system
US12/659234 2010-03-01
PCT/GB2011/050317 WO2011107776A1 (en) 2010-03-01 2011-02-17 A data processing apparatus and method for switching a workload between first and second processing circuitry

Publications (2)

Publication Number Publication Date
BR112012021102A2 true BR112012021102A2 (pt) 2017-07-11
BR112012021102B1 BR112012021102B1 (pt) 2020-11-24

Family

ID=44202159

Family Applications (1)

Application Number Title Priority Date Filing Date
BR112012021102-1A BR112012021102B1 (pt) 2010-03-01 2011-02-17 Aparelho de processamento de dados, metodo para operar um aparelho de processamento de dados

Country Status (10)

Country Link
US (1) US8418187B2 (pt)
JP (1) JP5823987B2 (pt)
KR (1) KR101802140B1 (pt)
CN (1) CN102782671B (pt)
BR (1) BR112012021102B1 (pt)
DE (1) DE112011100744T5 (pt)
GB (1) GB2490823B (pt)
IL (1) IL221270A (pt)
RU (1) RU2520411C2 (pt)
WO (1) WO2011107776A1 (pt)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8533505B2 (en) 2010-03-01 2013-09-10 Arm Limited Data processing apparatus and method for transferring workload between source and destination processing circuitry
TWI480738B (zh) * 2010-07-01 2015-04-11 Neodana Inc 藉由最佳化叢集特定組態之使用的處理種類來分割叢集間之處理
US8782645B2 (en) * 2011-05-11 2014-07-15 Advanced Micro Devices, Inc. Automatic load balancing for heterogeneous cores
US8683468B2 (en) * 2011-05-16 2014-03-25 Advanced Micro Devices, Inc. Automatic kernel migration for heterogeneous cores
US20130007376A1 (en) * 2011-07-01 2013-01-03 Sailesh Kottapalli Opportunistic snoop broadcast (osb) in directory enabled home snoopy systems
KR101624061B1 (ko) 2011-09-06 2016-05-24 인텔 코포레이션 전력 효율적 프로세서 아키텍처
GB2536825B (en) * 2011-09-06 2017-08-16 Intel Corp Power efficient processor architecture
US9727388B2 (en) * 2011-12-29 2017-08-08 Intel Corporation Migrating threads between asymmetric cores in a multiple core processor
KR101975288B1 (ko) * 2012-06-15 2019-05-07 삼성전자 주식회사 멀티 클러스터 프로세싱 시스템 및 그 구동 방법
US9195285B2 (en) * 2012-12-27 2015-11-24 Intel Corporation Techniques for platform duty cycling
US10162687B2 (en) * 2012-12-28 2018-12-25 Intel Corporation Selective migration of workloads between heterogeneous compute elements based on evaluation of migration performance benefit and available energy and thermal budgets
US9569223B2 (en) * 2013-02-13 2017-02-14 Red Hat Israel, Ltd. Mixed shared/non-shared memory transport for virtual machines
US20140269611A1 (en) * 2013-03-14 2014-09-18 T-Mobile Usa, Inc. Communication Handovers from Networks Using Unlicensed Spectrum to Circuit-Switched Networks
JP6244771B2 (ja) * 2013-09-24 2017-12-13 日本電気株式会社 情報処理システム、処理装置、分散処理方法、及び、プログラム
US20150095614A1 (en) * 2013-09-27 2015-04-02 Bret L. Toll Apparatus and method for efficient migration of architectural state between processor cores
KR20150050135A (ko) * 2013-10-31 2015-05-08 삼성전자주식회사 복수의 이종 코어들을 포함하는 전자 시스템 및 이의 동작 방법
US9665372B2 (en) * 2014-05-12 2017-05-30 International Business Machines Corporation Parallel slice processor with dynamic instruction stream mapping
US20150355946A1 (en) * 2014-06-10 2015-12-10 Dan-Chyi Kang “Systems of System” and method for Virtualization and Cloud Computing System
US9870226B2 (en) * 2014-07-03 2018-01-16 The Regents Of The University Of Michigan Control of switching between executed mechanisms
US9720696B2 (en) 2014-09-30 2017-08-01 International Business Machines Corporation Independent mapping of threads
US9582052B2 (en) 2014-10-30 2017-02-28 Qualcomm Incorporated Thermal mitigation of multi-core processor
US9958932B2 (en) 2014-11-20 2018-05-01 Apple Inc. Processor including multiple dissimilar processor cores that implement different portions of instruction set architecture
US9898071B2 (en) * 2014-11-20 2018-02-20 Apple Inc. Processor including multiple dissimilar processor cores
US9977678B2 (en) 2015-01-12 2018-05-22 International Business Machines Corporation Reconfigurable parallel execution and load-store slice processor
US10133576B2 (en) 2015-01-13 2018-11-20 International Business Machines Corporation Parallel slice processor having a recirculating load-store queue for fast deallocation of issue queue entries
JP6478762B2 (ja) 2015-03-30 2019-03-06 ルネサスエレクトロニクス株式会社 半導体装置及びその制御方法
US10628214B2 (en) 2015-06-01 2020-04-21 Samsung Electronics Co., Ltd. Method for scheduling entity in multicore processor system
US9928115B2 (en) 2015-09-03 2018-03-27 Apple Inc. Hardware migration between dissimilar cores
US10775859B2 (en) * 2016-09-23 2020-09-15 Hewlett Packard Enterprise Development Lp Assignment of core identifier
JP2018101256A (ja) * 2016-12-20 2018-06-28 ルネサスエレクトロニクス株式会社 データ処理システム及びデータ処理方法
US10579575B2 (en) * 2017-02-24 2020-03-03 Dell Products L.P. Systems and methods of management console user interface pluggability
US10628223B2 (en) * 2017-08-22 2020-04-21 Amrita Vishwa Vidyapeetham Optimized allocation of tasks in heterogeneous computing systems
US10491524B2 (en) 2017-11-07 2019-11-26 Advanced Micro Devices, Inc. Load balancing scheme
US11188379B2 (en) 2018-09-21 2021-11-30 International Business Machines Corporation Thermal capacity optimization for maximized single core performance
TWI705377B (zh) * 2019-02-01 2020-09-21 緯創資通股份有限公司 硬體加速方法及硬體加速系統
CN110413098B (zh) * 2019-07-31 2021-11-16 联想(北京)有限公司 一种控制方法及装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US288748A (en) * 1883-11-20 John watson
US3309A (en) * 1843-10-18 Weaver s loom for working any number of heddles
JPH09138716A (ja) 1995-11-14 1997-05-27 Toshiba Corp 電子計算機
GB2318194B (en) * 1996-10-08 2000-12-27 Advanced Risc Mach Ltd Asynchronous data processing apparatus
JP3459056B2 (ja) * 1996-11-08 2003-10-20 株式会社日立製作所 データ転送システム
JP3864509B2 (ja) 1997-08-19 2007-01-10 株式会社日立製作所 マルチプロセッサシステム
JPH11203254A (ja) 1998-01-14 1999-07-30 Nec Corp 共有プロセス制御装置及びプログラムを記録した機械読み取り可能な記録媒体
US6501999B1 (en) 1999-12-22 2002-12-31 Intel Corporation Multi-processor mobile computer system having one processor integrated with a chipset
US6631474B1 (en) 1999-12-31 2003-10-07 Intel Corporation System to coordinate switching between first and second processors and to coordinate cache coherency between first and second processors during switching
JP2002215597A (ja) 2001-01-15 2002-08-02 Mitsubishi Electric Corp マルチプロセッサ装置
US7100060B2 (en) 2002-06-26 2006-08-29 Intel Corporation Techniques for utilization of asymmetric secondary processing resources
US20040225840A1 (en) 2003-05-09 2004-11-11 O'connor Dennis M. Apparatus and method to provide multithreaded computer processing
US20050132239A1 (en) 2003-12-16 2005-06-16 Athas William C. Almost-symmetric multiprocessor that supports high-performance and energy-efficient execution
US20060064606A1 (en) 2004-09-21 2006-03-23 International Business Machines Corporation A method and apparatus for controlling power consumption in an integrated circuit
US7437581B2 (en) * 2004-09-28 2008-10-14 Intel Corporation Method and apparatus for varying energy per instruction according to the amount of available parallelism
JP4982971B2 (ja) * 2004-09-29 2012-07-25 ソニー株式会社 情報処理装置、プロセス制御方法、並びにコンピュータ・プログラム
US7275124B2 (en) 2005-02-24 2007-09-25 International Business Machines Corporation Method and system for controlling forwarding or terminating of a request at a bus interface based on buffer availability
US7461275B2 (en) 2005-09-30 2008-12-02 Intel Corporation Dynamic core swapping
US20080263324A1 (en) 2006-08-10 2008-10-23 Sehat Sutardja Dynamic core switching
US7624253B2 (en) 2006-10-25 2009-11-24 Arm Limited Determining register availability for register renaming
US7590826B2 (en) 2006-11-06 2009-09-15 Arm Limited Speculative data value usage
US7996663B2 (en) 2007-12-27 2011-08-09 Intel Corporation Saving and restoring architectural state for processor cores
US20110213947A1 (en) * 2008-06-11 2011-09-01 John George Mathieson System and Method for Power Optimization
JP4951034B2 (ja) * 2009-06-25 2012-06-13 株式会社日立製作所 計算機システムとその稼働情報管理方法
US9367462B2 (en) 2009-12-29 2016-06-14 Empire Technology Development Llc Shared memories for energy efficient multi-core processors

Also Published As

Publication number Publication date
RU2012141606A (ru) 2014-04-10
BR112012021102B1 (pt) 2020-11-24
IL221270A0 (en) 2012-10-31
WO2011107776A1 (en) 2011-09-09
CN102782671A (zh) 2012-11-14
GB2490823B (en) 2017-04-12
US8418187B2 (en) 2013-04-09
GB2490823A (en) 2012-11-14
KR101802140B1 (ko) 2017-12-28
JP5823987B2 (ja) 2015-11-25
DE112011100744T5 (de) 2013-06-27
US20110213934A1 (en) 2011-09-01
GB201214368D0 (en) 2012-09-26
CN102782671B (zh) 2015-04-22
JP2013521557A (ja) 2013-06-10
KR20130044211A (ko) 2013-05-02
IL221270A (en) 2016-07-31
RU2520411C2 (ru) 2014-06-27

Similar Documents

Publication Publication Date Title
BR112012021102A2 (pt) aparelho de processamento de dados, método para operar um aparelho de processamento de dados.
JP2013235576A5 (pt)
BR112019008484A2 (pt) circuito, estação base, método realizado por um processador, e, mídia de gravação.
ES2543360T3 (es) Sistema electrónico de baja potencia que usa memoria magnética no volátil
BR112017018355A2 (pt) circuitos e métodos de regulagem de comutação de múltiplos níveis com o controle de máquina de estado finito
BR112013017176A2 (pt) sistemas e métodos para fornecer recursos e interatividade em sistemas de computador
BR112014022518A2 (pt) Sistema de administração de energia elétrica, e método de controle da distribuição de energia elétrica dentre uma pluralidade de circuitos em um sistema elétrico
BR112017024301A2 (pt) janela de instruções e buffer de operandos de processador dissociados
BR112015022873A2 (pt) sistema de seleção de parâmetros de módulo de exibição residente em sistema operacional
EP2674856A3 (en) Zero cycle load instruction
BR112014007798A2 (pt) implementação de comunicações seguras em um sistema de suporte
WO2013177310A3 (en) Offloading of computation for rack level servers and corresponding methods and systems
GB2523280A (en) Controlling access to groups of memory pages in a virtualized environment
BR112013023844A2 (pt) sistema de armazenamento modular em massa
WO2011109613A3 (en) Method, system, and apparatus for processing video and/or graphics data using multiple processors without losing state information
TW201229742A (en) Power-optimized interrupt delivery
JP2012256834A5 (pt)
BR112014018145A8 (pt) Dispositivo de computação móvel, e respectivo sistema
US20140164669A1 (en) Disaggregation of server components in a data center
BR112014010182A8 (pt) comutação entre contextos operacionais
US20190094926A1 (en) Multi-criteria power management scheme for pooled accelerator architectures
BR112014002949A2 (pt) método e sistema para transição de um estado de prontidão conectado para um estado de execução
HK1165586A1 (en) Methods and systems for modifying disk images to provide network interface card teaming capabilities
EP3240238A1 (en) System and method for reducing management ports of a multiple node chassis system
BR112017010328A2 (pt) transferência de dados sem uso de fios com eficiência de energia

Legal Events

Date Code Title Description
B06F Objections, documents and/or translations needed after an examination request according [chapter 6.6 patent gazette]
B06U Preliminary requirement: requests with searches performed by other patent offices: procedure suspended [chapter 6.21 patent gazette]
B09A Decision: intention to grant [chapter 9.1 patent gazette]
B16A Patent or certificate of addition of invention granted [chapter 16.1 patent gazette]

Free format text: PRAZO DE VALIDADE: 20 (VINTE) ANOS CONTADOS A PARTIR DE 17/02/2011, OBSERVADAS AS CONDICOES LEGAIS.