KR20090122460A - 3-층 패터닝 적용용 조성물, 코팅 및 필름 및 이의 제조방법 - Google Patents

3-층 패터닝 적용용 조성물, 코팅 및 필름 및 이의 제조방법 Download PDF

Info

Publication number
KR20090122460A
KR20090122460A KR1020097020181A KR20097020181A KR20090122460A KR 20090122460 A KR20090122460 A KR 20090122460A KR 1020097020181 A KR1020097020181 A KR 1020097020181A KR 20097020181 A KR20097020181 A KR 20097020181A KR 20090122460 A KR20090122460 A KR 20090122460A
Authority
KR
South Korea
Prior art keywords
composition
polymer
layer
film
matrix
Prior art date
Application number
KR1020097020181A
Other languages
English (en)
Inventor
조셉 케네디
송유엔 시에
김 도
수딥 무코파드야이
Original Assignee
허니웰 인터내셔널 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 허니웰 인터내셔널 인코포레이티드 filed Critical 허니웰 인터내셔널 인코포레이티드
Publication of KR20090122460A publication Critical patent/KR20090122460A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • C08G77/18Polysiloxanes containing silicon bound to oxygen-containing groups to alkoxy or aryloxy groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/20Polysiloxanes containing silicon bound to unsaturated aliphatic groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/18Manufacture of films or sheets
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/70Siloxanes defined by use of the MDTQ nomenclature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Manufacturing & Machinery (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)

Abstract

3층 적용에 사용되는 조성물이 본 명세서에 기술되며, 상기 조성물은 매트릭스를 가지며, 중합체의 매트릭스를 형성하는 최소 일 타입의 실리콘-베이스 부분, 상기 중합체의 매트릭스에 연결된 다수의 비닐 그룹 및 상기 중합체의 매트릭스에 연결된 다수의 페닐 그룹을 포함하는 배합된 중합체, 최소 하나의 축합 촉매 및 최소 하나의 용매를 포함한다. 3-층 구조가 또한 본 명세서에서 의도되며, 이는 서로 연결된, 유기 하부층(제 1층), 본 명세서에서 의도되는 무반사 조성물 및/또는 필름(제2층) 및 포토레지스트 재료(제3층)를 포함한다. 3-층 패터닝 적용용 조성물 제조방법은 중합체의 매트릭스를 형성하는 최소 일 타입의 실리콘-베이스 부분, 상기 중합체의 매트릭스에 연결된 다수의 비닐 그룹 및 상기 중합체의 매트릭스에 연결된 다수의 페닐 그룹을 포함하는 배합된 중합체를 제공하는 단계, 최소 하나의 축합 촉매를 제공하는 단계, 최소 하나의 용매를 제공하는 단계, 최소 하나의 pH 개질제를 제공하는 단계, 반응 혼합물을 형성하도록 상기 배합된 중합체 및 상기 최소 하나의 용매의 일부를 반응용기에서 혼합하는 단계; 및 조성물을 형성하도록 최소 하나의 pH 개질제, 최소 하나의 축합촉매 및 나머지 최소 하나의 용매를 상기 반응 혼합물에 편입하는 단계를 포함한다.
포토리소그래피, 3-층 패터닝 조성물, 무반사조성물, 실리콘 베이스, 비닐그룹, 페닐그룹, 축합촉매

Description

3-층 패터닝 적용용 조성물, 코팅 및 필름 및 이의 제조방법{Compositions, Coatings and Films for Tri-Layer Patterning Applications and Methods of Preparation Thereof}
본 특허출원은 2007.2.26일자로 출원된 US 가특허출원 60/903466호 및 2007.7.12일자로 출원된 US 가특허출원 60/949392호에 대한 우선권을 주장한 미국특허출원이며, 상기 두 가특허출원은 모두 공동으로-소유되며, 본 명세서에 참고로 포함된다.
본 발명은 일반적으로 3-층 패터닝 적용에 사용되는 조성물, 코팅 및 필름 및 상기 재료의 제조방법에 관한 것이다.
보다 빠른 성능을 위한 요건을 만족시키기 위해서, 집적 회로장치의 치수 특징은 소형화되어 왔다. 작은 특징의 크기를 갖는 장치의 제조는 반도체 제조에 통상적으로 사용되는 많은 공정에서 새로운 도전을 제시한다. 이러한 제조 공정중 가장 중요한 공정중 하나는 포토리소그래피이다.
효과적인 포토리소그래피는 기판상의 직접성인 이미지(imaging) 패턴 뿐만 아니라, 이러한 이미지에 전형적으로 사용되는 마스크의 제조와 관련하여 미세 구조의 제조에 영향을 준다. 전형적인 리소그래피 공정은 감광성(radiation-sensitive) 레지스트를 이미지 이미징 레디에이션(imaging radiation)에 패턴와이즈 노출(patternwise exposing)하여 패턴화된 레지스트 층을 형성하는 것을 포함한다. 상기 이미지는 원하는 패턴을 드러나도록 레지스트층의 일부를 선택적으로 제거하기 위해 노출된 레지스트층과 물질(전형적으로 수성 알칼리 현상액)을 접촉시키므로써 후속적으로 현상된다. 상기 패턴은 패턴화된 레지스트층의 개구부에서 상기 재료를 에칭하므로써 하부(underlying) 재료에 후속적으로 전사(transfer)된다. 전사완료 후에, 남아있는 레지스트 층은 제거된다.
일부 리소그래피 이미지형성 공정에서, 사용된 레지스트는 상기 레지스트 하부의 층에 원하는 패턴을 효과적으로 전사할 수 있도록 하기 위한 후속 에칭단계에 대한 저항성이 충분하지 않다. 많은 경우에 (예를들어, 매우얇은 레지스트층이 요구되는 경우에, 에칭하고자 하는 하부 재료(underlying materials)가 두꺼운 경우에, 실질적인 에칭 깊이가 요구되는 경우에 및/또는 주어진 하부 재료에 대하여 특정한 부식액(etchants)의 사용이 요구되는 경우), 하드마스크 층이 레지스트층과 패턴화된 레지스트로 부터 전사되어 패턴화되는 하부 재료(underlying material) 사이에 중간층으로 사용될 수 있다. 상기 하드마스크층은 상기 패턴화된 레지스트 층으로 부터 패턴을 수취하고 상기 패턴을 하부 재료에 전사하는데 요구되는 에칭공정을 견딜 수 있어야 한다.
또한, 하부재료층이 상기 레지스트층을 패턴화하는데 사용되는 이미징 레ㄷ디에이션(imaging radiation)을 과도하게 반사하는 경우에는, 얇은 무반사 코팅(antireflective coating)이 상기 바닥층(underlying layer)과 상기 레지스트층 사이에 전형적으로 적용된다. 몇몇 경우에, 상기 무반사(antireflection)/흡수 및 하드마스크 작용은 동일한 재료에 의해 제공될 수 있다. 그러나, 일부 경우에, 무반사층 및 하드마스크층의 화학적 특징은 상기 층을 바닥 유기층 및 상부의 포토레지스트 사이에 통합(integrating)하는 것이 어려울 수 있으므로 충분히 다를 필요가 있을 수 있다.
더욱이, 디바이스의 제작은 차세대 칩에 대하여 노드(node) 이하로 바뀌었다. 레지스트 두께는 이미지 붕괴 문제, 높은 NA 장치(tool)의 낮은 포커스 래티튜드(focus latitude) 및 193 및 157㎚ 리소그래피에서 레지스트 배합물의 높은 OD로 인하여 300㎚ 보다 얇아야 한다. 통상의 얇은 레지스트 필름은 에칭 공정에 충분하지 않다. 바닥층(underlying layer)을 패턴화하는데 필요한 에칭공정에 대한 저항성을 가지며, 상기 상부(overlying) 포토레지스트에 대하여 선택적으로 쉽게 에칭될 수 있는 하드마스크 조성물이 바람직하게 요구된다. 통상의 다층(multi-layer) 레지스트 방법에서, 두꺼운 유기판료 필름으로 구성되는 바닥층 필름(bottom layer film)은 평평한 표면을 형성하도록 공정처리되는 필름상에 코팅하여 형성되며, 얇은 무기 재료필름으로 구성되는 마스크 패턴은 예를들어, 종래 기술에 관한 도 1에 도시한 바와 같이 일반적인 포토패터닝 기술에 의해 상기한 평평한 표면상에 형성된다. 상기 바닥층 필름의 노광된 부분(exposed portions)은 예를들어, 반응성 스퍼터-에칭(reactive sputter-etching)과 같은 이방성 에칭(anisotropic etching)으로 제거되며, 에칭에 의해 노출되는 이러한 부분으로 공정처리되는 필름은 에칭되며, 이에 따라 패턴이 형성된다.
우수한 정확도를 갖는 패턴을 형성하기 위해서, 높은 정확도를 갖는 중간 필름으로 구성되는 마스크패턴의 형성이 필요하다. 이를 위해, 상기한 포토패터닝 단계(photopatterning step)에서, 바닥층 필름 및 중간 필름에서 다른-수준 부분(different-level portions)등에서 공정처리되는 필름의 표면으로 부터 반사된 빛을 흡수하므로써 반사된 빛이 최상부층 필름(상기 포토레지스트 필름)에 도달하는 것을 방지하는 것이 중요하다.
그러나, 상기 중간 필름이 상기한 바와 같이, 상기 두꺼운 바닥층 필름을 에칭하기 위한 마스크로 사용되므로, 상기 중간 필름이 반응성 스퍼터-에칭 등과 같은 이방성 에칭에 대하여 충분한 저항성을 가질 것이 요구된다. 통상의 중간필름에서, 건식 에칭 저항성만이 심각하게 의도되지만, 광흡수에 대하여는 의도되지 않았다.
반면에, 통상의 다층 레지스트법에서, 바닥층 필름에 의한 빛의 흡수를 증가시키므로써 공정처리되는 필름 표면에서 반사되는 빛의 감소만이 심각하게 의도되며, 바닥층 필름의 보다 큰 흡광계수(light extinction coefficient)가 보다 바람직한 것으로 의도된다.
그러나, 바닥층 필름의 과다하게 큰 흡광계수는 바닥층 필름의 표면으로 부터 반사되는 빛의 양을 증가시키며, 따라서, 바닥층 필름을 통해 통과하여 공정처리되는 층 표면에서 반사되는 빛 및 바닥층 필름의 표면에서 반사되는 빛의 합이 증가한다. 따라서, 결과물인 패턴의 정확한 크기(dimensions)가 감소되는 것으로 밝혀졌다. 더욱이, 바닥층 필름 표면으로 부터의 반사광 뿐만 아니라 중간필름의 표면으로 부터의 반사광이 패턴 크기의 정확성을 감소시키는 것으로 밝혀졌다.
다른 일반적인 3층 시도에서, 하부층(underlayer)은 화학증착(chemical vapor deposition), 스핀-온 코팅, 증발(evaporation), 플라즈마-보조 화학증착 혹은 물리적 증착과 같은 통상의 디포지션(deposition) 공정을 사용하여 기판의 표면에 먼저 적용된다. 하부층의 두께는 전형적으로 약 80 내지 약 8000 ㎚이다. 다음에, 무반사 코팅(antireflective coating)(BARC)/하드마스크가 스핀-온 코팅, 증발(evaporation), 화학증착, 플라즈마-보조 화학증착, 물리적 증착 등과 같은 통상의 디포지션 공정을 사용하여 상기 하부층의 상부표면에 적용된다. 상기 무반사 코 팅/하드마스크의 두께는 전형적으로 약 10 내지 약 500㎚이며, 약 20내지 약 200 ㎚의 두께인 것이 더욱 전형적이다.
3층 구조를 패턴화하기 위해서, 통상의 포토레지스트는 상기 무반사 코팅(anti-reflective coating)/하드마스크의 상부 표면에 적용되고 그 후, 상기 포토레지스트는 상기 포토레지스트를 레디에이션(radiation) 패턴에 노츨시키는 단계 및 통상의 레지스트 현상액을 사용하여 상기 패턴을 노출된 포토레지스트로 현상하는 단계를 포함하는 통상의 리소그래피처리된다. 상기 리소그래피 단계 후에, 상기 패턴이 상기 레지스트로 부터 상기 무반사 코팅/하드마스크로 전사하고 이어서, 상기 패턴이 무반사 코팅/하드마스크로 부터 상기 하부층으로 전사되고 그 후 기판으로 전사되어 상기 패턴은 3층 구조로 전사된다.
상기 제 1 패턴 전사단계는 전형적으로 반응성-이온 에칭, 이온 빔 에칭, 플라즈마 에칭 혹은 레이져 절제(ablation)과 같은 드라이 에칭공정의 사용을 포함한다. 반응성-이온 에칭이 패턴화된 포토레지스트로 부터 무반사 코팅/하드마스트로의 패턴을 전사하는 바람직한 에칭기술이다.
상기한 바와 같이, 제 1 패턴 전사단계 후에, 반응성-이온 에칭, 이온 빔 에칭, 플라즈마 에칭 혹은 레이져 절제(ablation)과 같은 하나 또는 그 이상의 에칭단계를 사용하여, 상기 패턴은 잔류 레지스트 및 무반사 코팅/하드마스크로 부터 하부층으로 그 후에, 기판(기재, substrate)로 전사된다. 상기 기판은 또한, 패턴화된 구조를 형성하도록 전기도금, 금속 디포지트(metal deposite) 혹은 이온 임플란트될 수 있다. 바람직하게, 하부층은 에칭제(etchant) 가스 혹은 플라스마로서 산소를 사용하여 에칭된다. 패턴을 상기 기판으로 전사하는 도중에 혹은 전사한 후에, 상기 무반사 코팅/하드마스크 및 하부층은 이들 층들을 제거할 수 있는 하나 이상의 패터닝/에칭 공정을 사용하여 제거된다. 상기 공정 결과는 패턴화된 기판이다.
디바이스/회로(circuit) 및 패터닝/에칭 공정의 목적 뿐만 아니라, 층 적용에 사용되는 재료의 다른 화학적 성질에 따라서, 바닥 유기 평탄화층(bottom organic planarizing layer) 및 상부 포토레지스트층 모두와 혼화성(compatible)있는 중간 재료를 제조 및 통합(integrate)하는 것이 원래 생각보다 어려울 수 있음이 명백하다. 따라서, a) 자외선 스펙드럼 영역에서 균일하게 흡수하고, b) 포커스 매트릭스(focus matrix) 및 노광 래터튜드(exposure latitude)를 크게하여 개선된 포토레지스트 패터닝에 기여하고, c) 3-층 적용 및/또는 3-층 패터닝 공정에서 무반사 코팅층 및 유기 평탄화 사이에 개선된 부착(adhesion)을 제공하고; d) 높은 에칭 선택성을 가지며; e) 안전하고 우수한 저장기간을 갖는 용액을 형성하고; f) 스핀-온 코팅 혹은 화학 증기증착(CVD)와 같은 어떠한 적합한 적용방법으로 표면에 적용될 수 있으며; 및 g) 로직 적용(logic applications) 및 프래쉬 적용(flash applications)을 포함하는 다수의 적용, 구성요소 및 재료에 사용될 수 있는 흡수/ 무반사 코팅 및 포토레지스트 재료의 개발이 요구된다. 의도되는 무반사 코팅/하드마스크 조합, 첨가제, 코팅 및/또는 재료는 무반사 코팅 및 유기 평탄화 층 사이에 위치하는 상기 가운데 무기층을 대체 및/또는 제거하도록 디자인된다.
3-층 적용에 사용되는 조성물이 본 명세서에서 기술되며, 여기서, 상기 조성물은 매트릭스를 가지며 중합체의 매트릭스를 형성하는 최소 한가지 타입의 실리콘-베이스 부분(silicon-based moiety), 상기 중합체의 매트릭스에 연결된(coupled) 다수의 비닐 그룹 및 상기 중합체의 매트릭스에 연결된 다수의 페닐그룹을 포함하는 배합된 중합체(formulated polymer), 최소 하나의 축합 촉매 및 최소 하나의 용매를 포함한다.
3-층 구조가 또한 본 명세서에서 의도되며, 이는 서로 연결되는 유기 하부층(organic underlayer, 제1층), 본 명세서에서 의도되는(contemplated) 무반사 조성물 및/또는 필름(제2층) 및 포토레지스트 재료(제3층)을 포함한다.
3-층 패터닝 적용용 조성물을 제조하는 방법은 상기 중합체의 매트릭스를 형성하는 최소 일 타입의 실리콘-베이스 부분, 상기 중합체의 매트릭스에 연결된 다수의 비닐 그룹, 및 상기 중합체의 매트릭스에 연결된 다수의 페닐 그룹을 포함하는 배합된 중합체를 제공하는 단계, 최소 하나의 축합촉매를 제공하는 단계, 최소 하나의 용매를 제공하는 단계, 최소 하나의 pH 조절제를 제공하는 단계, 반응 혼합물을 형성하도록 반응용기에서 상기 배합된 중합체 및 상기 최소 하나의 용매의 일부를 혼합하는 단계; 및 상기 조성물을 형성하도록 최소 하나의 pH 조절제, 최소 하나의 축합 촉매 및 잔류 최소 하나의 용매를 상기 반응 혼합물을 편입하는 단계를 포함한다.
이하, 본 발명에 대하여 상세히 설명한다.
3-레블 포토레지스트 패터닝 및 관련된 적용은 높은 수치의 높은 개구수 패터닝(high numerical aperture patterning)을 달성하기 위해 중요하다. 피쳐 분해능(feature resolution)을 증가시키기 위해, 파장을 낮게 하거나(193㎚ 리소그래피로 이동시켜서) 및/또는 개구수(numerical aperture)를 증가시켜야 한다. 더욱이, 이중-레블(dual-level) 바닥 무반사 코팅 혹은 BARC는 기판의 반사 혹은 포토레지스트로의 다시 반사되는 빛을 감소시킨다. 3-레블 혹은 3-층 패터닝 스킴(scheme)을 이용하는 것은 또한 이중 패터닝 스킴(dual patterning scheme, DPS)을 용이하게하며, 이는 공격적인 특징(aggressive features)의 패터닝을 두개의 구별되는 패터닝 단계로 분리한다. 상기 DPS는 더 높은 개구수 혹은 차세대 파장 시스템으로 이동시키기 위한 대안이다. 이들 3-층 패터닝 공정은 또한, 현재 가운데 혹은 중간 층 및 유기 하부층상에 놓여지는 보다 얇은 ArF 포토레지스트-플라즈마 에칭 로드(load)의 사용을 또한 용이하게 한다. ArF 포토레지스트는 패터닝 성능 및 플라즈마 에칭 레질리언스(resiliance)에 맞서지 않도록 디자인 될 수 있다.
종래기술에 관한 도 1은 3-층 포토리소그래피를 사용하고 패터닝하여 의도하는 트렌치(trench)를 형성하는 것을 나타낸다. 상기 스킴(scheme)에서, 유기 평탄화층 105(즉, 또한, UV 흡수)는 단계 110에서 상당히 거친 표면 혹은 기판 103상에 스핀-코팅된다. 후속 단계에서 생성되는 트렌치 피쳐(trench feature) 180은 참고로 도시되었다. 단계 120에서, 얇은 실리콘-베이스 층 125는 상기 유기층 105상에 스핀-코팅된다. 상기 실리콘-베이스 층 125는 패턴 전사를 돕도록 디자인되며, 일부의 흡수특성을 갖는다. 단계 130에서, 포토레지스트 135는 상기 층 재료(layered material)에 적용된다. 그 후, 상기 포토레지스트는 그 후, 137로 팬턴화되고 현상된다. 그 후, 패턴 145는 단계 140에서 실리콘-베이스층으로 에칭된다. 단계 150에서, 상기 패턴 155는 O2/N2 에칭 혹은 N2/H2 화학물질을 포함할 수 있는 에칭단계, 화학물질의 산화 혹은 환원에 의해 유기 하부층으로 전사된다. 상기 패턴 165는 단계 160에서 기판으로 에칭되며, 상기 실리콘-베이스 층은 제거된다. 마지막으로, 단계 170에서, 유기 충진재료(fill material)는 기판 103의 표면 175에서 세척되어 상기 표면 및 새로운 트렌치 피쳐(trench feature)가 완정히 노출된다. 단계 120에서 첨가되는 얇은 실리콘-베이스 층은 상기한 바와 같이, 제조하여 층화(layering) 및 트렌치-빌딩(trech-building) 공정에 편입하기에 가장 어려울 수 있는 구성요소이며, 이는 바람직한 에칭 선택성을 가짐과 동시에 유기 평탄화층(organic planarizing layer) 및 상기 포토레지스트 모두와 양립(compatible)할 수 있어야 한다.
상기한 목적 및 통상의 다층(multi-layer) 혹은 3-층 패터닝 적용에 대한 설명에 기초하여, 조성물, 흡수/무반사 코팅 및 리소그래피 재료가 a) 자외선 스펙트럼 영역에서 균일하게 흡수하고, b) 포커스 매트릭스(focus matrix) 및 상기 노광 래터튜드(exposure latitude)의 확장에 의해 개선된 포토레지스트 패터닝에 기여하고, c) 3-층 적용 및/또는 3-층 패터닝 공정에서 상기 무반사 코팅층과 상기 유기 평탄화층 사이에 개선된 부착(adhesion)을 제공하며; d) 높은 에칭 선택성을 가지며; e) 안정하고 우수한 저장기간을 갖는 용액을 형성하고; f) 스핀-온-코팅 혹은 화학적 증기증착(CVD)과 같은 어떠한 적합한 적용방법으로 표면에 적용될 수 있으며; g) 로직 적용(logic applications) 및 플래쉬 적용(flash applications)을 포함하는 다수의 적용, 구성요소 및 재료에 사용될 수 있는 상기 적용에 대한 개발이 진행되어 왔다. 이들 첨가제, 코팅 및/또는 재료는 상기 무반사 코팅 및 상기 유기 평탄화층 사이에 위치하는 중간 무기층을 대체 및/또는 제거하도록 디자인된다.
3-층 적용에 사용되는 조성물에 대하여 기술한다. 상기 조성물은 매트릭스를 가지며, 상기 중합체의 매트릭스를 형성하는 최소한 한가지 타입의 실리콘-베이스 부분(silicon-based moiety), 상기 중합체의 매트릭스에 연결된 다수의 비닐그룹 및 상기 중합체의 매트릭스에 연결된 다수의 페닐그룹을 포함하는 배합된 중합체, 최소 하나의 축합촉매 및 최소 하나의 용매를 포함한다.
본 명세서에서 3-층 구조가 또한 의도되며, 이는 서로 연결된 유기 하부층(제1층), 본 명세서에서 의도되는 조성물, 무반사 조성물 및/또는 필름(제2층) 및 포토레지스트 재료(제3층)을 포함한다.
본 명세서에서 사용된, 용어 "연결된(coupled)"은 다수의 단량체, 부분(moieties) 혹은 구성요소, 표면과 층(layer) 혹은 두 층이 서로 물리적으로 혹은 화학적으로 부착되거나 혹은 재료 혹은 구성성분의 2 부분 사이에 공유결합 및 이온결합과 같은 결합력 및 반데르발스, 정전기력, 쿨롱힘, 수소결합 및/또는 자기력(magnetic attraction)과 같은 비-결합력을 포함하는 물리적 인력이 있음을 의미한다. 본 명세서에서 사용된 용어 "연결된(coupled)"은 또한, 상기 매트릭스에 물리적으로 끌리거나(draw), 접착되거나, 트랩(trap)되거나 혹은 화학적으로 결속(bond)된 부분 혹은 치환체를 의미한다. 또한, 본 명세서에서 사용된 용어, "연결된(coupled)"은 두 층 혹은 재료가 서로 직접적으로 부착된 경우를 포함하는 것으로 의미되지만, 상기 용어는 또한, 두 층 또는 재료가 두 다른 층 사이에 접착 촉진층이 있는 경우와 같이 서로 간접적으로 연결되는 경우를 또한 포함하는 것으로 의미된다.
3-층 패터닝 적용에 대한 조성물 제조방법은 중합체의 매트릭스를 형성하는 최소 한 타입의 실리콘-베이스 부분(silicon-based moiety), 중합체의 매트릭스에 연결되는 다수의 비닐 그룹 및 상기 중합체의 매트릭스에 연결되는 다수의 페닐그룹을 포함하는 배합된 중합체를 제공하는 단계, 최소 하나의 축합촉매를 제공하는 단계, 최소 하나의 용매를 제공하는 단계, 최소 하나의 pH 개질제를 제공하는 단계, 반응성 혼합물이 형성되도록 상기 배합된 중합체 및 상기 최소 하나의 용매의 일부를 혼합하는 단계; 및 조성물을 형성하도록 상기 최소 하나의 pH 개질제, 상기 최소 하나의 축합 촉매 및 나머지 최소 하나의 용매를 상기 반응성 혼합물에 편입(incorporating)하는 단계를 포함한다.
"고비율(high ratio)" 무기 재료
고비율 무기 재료 및/또는 화합물은 본 명세서에서 의도하는 조성물 및 코팅제에 구성성분으로서 의도되며, 상기 최소 하나의 용해 이외에, 상기 조성물 또는 재료에 어떠한 성분의 가장 많은 농도로 존재한다. 의도되는 구현에서, 이들 무기 화합물 및/또는 재료는 다른 구성요소 혹은 원자에 대하여 높은 무기 원자-산소 결합 몰비(high molar ratio)("고비율(high ratio)")를 가지며, 이는 보다 많은 탄소원자를 함유할 수 있는 화합물에 비하여 화합물의 "무기 특성"을 증가시킨다. 이들 무기재료는 일부 파장에서 일반적으로 비-흡수이거나 혹은 약한 흡수를 가지며, 조성물 혹은 결과물인 코팅 혹은 필름의 흡수 혹은 부착(adhesion) 특성에 영향을 미치지 않고 조성물에 무기 특성을 제공하도록 디자인된다. 일부 구현에서, 고비율의 무기 재료 및/또는 화합물은 보다 많은 실리콘을 상기 조성물에 편입하므로써 궁극적인 조성물의 무기 특성을 증가시키도록 돕는 실리콘을 포함한다.
고비율의 무기 화합물 및/또는 재료의 특정한 예로는 조성물 혹은 재료에 보다 많은 Si-O 결합(linkage)이 생기도록 하는 중합체, 화합물, 부분(moities), 치환체 및/또는 단량체와 같은 실리콘-베이스 부분을 포함한다. 의도되는 실리콘-베이스 화합물 및/또는 단량체는 테트라메톡시실란, 테트라에톡시실란, 테트라부톡시실란과 같은 알콕시실란 화합물, 메틸실록산, 메틸실세스퀴녹산, 일부 실라잔 중합체, 디메틸실록산, 실리케이트 중합체, 실실릭산 유도체(silsilic acid derivaties), 아세톡시-베이스 단량체 및 이들의 혼합물과 같은 실록산 화합물을 포함한다. 의도되는 실라잔 중합체는 퍼하이드로실라잔(perhydrosilazane)이며, 이는 "투명한" 중합체 백본을 갖는다.
흡수 재료(Absorbing Materials)
의도되는 조성물, 물질, 코팅 및 필름은 또한 최소 하나의 흡수 화합물을 포함한다. 상기한 고비율 무기 화합물 및 본 명세서에서 개시된 다른 구성성분과 달리, 이들 의도되는 흡수 화합물은 상기 화합물내에 특정한 파장에서 빛을 흡수하도록 하는 그리고 일부 경우에는 특정한 파장에서 매우 강하게 빛을 흡수하도록 하는 부분(moiety)를 포함한다. 많은 나프탈렌-, 페난트렌- 및 안트라센-기초 화합물은 248㎚ 이하에서 현저한 흡수를 갖는다. 벤젠-베이스(본 명세서에서 페닐-베이스와 동일하게 사용됨) 화합물은 200㎚ 보다 짧은 파장에서 현저한 흡수를 갖는다. 이들 나프탈렌-, 안트라센-, 페난트렌- 및 페닐-베이스 화합물이 염료로 종종 칭하여지지만, 이들 화합물의 흡수는 스펙트럼의 가시영역 파장에 제한되지 않으므로, 본 명세서서는 용어 흡수 화합물이 사용된다.
그러나, 이러한 흡수 화합물이 모두 무반사 코팅재료로 사용되도록 무기-베이스 재료에 편입될 수 있는 것은 아니다. 사용하기에 적합한 의도되는 흡수 화합물은 248㎚, 193㎚, 157㎚ 혹은 365㎚와 같은 다른 자외선 파장과 같은 파장 주위에 한정가능한 흡수 피크(definalbe absorption peak)를 가지며, 이는 포토리소그래피에 사용될 수 있다. 적합한 "한정가능한 흡수 피크(definable absorption peak)"는 폭이 최소 0.5㎚인 피크로 의도되며, 여기서 폭은 포토리소그래피 기술분야에서 통상적으로 알려져 있는 방법으로 계산된다. 다른 구현에서, 한정가능한 흡수 피크는 폭이 최소 1㎚이다. 다른 구현에서, 한정가능한 흡수 피크는 폭이 최소 5㎚이다. 일부 의도되는 구현에서, 상기 한정가능한 흡수 피크는 폭이 최소 10㎚이다.
적합한 흡수 화합물의 발색단(chromophores)는 전형적으로 최소 하나의 벤젠고리를 가지며, 2이상의 벤젠고리가 있는 경우에, 상기 고리들은 융합될 수 있거나 혹은 융합되지 않을 수 있다. 다른 발색단은 화합물을 흡수 화합물로 변환시키는 비닐 그룹과 같은 "방향족-타입" 결합(linkages)를 말단에 혹은 화합물 내에 갖는다. 편입가능한 흡수 화합물은 상기 발색단에 부착된 접근하기 쉬운(accessible) 반응성 그룹을 가지며, 여기서, 상기 반응성 그룹은 히드록시 그룹, 아민 그룹, 카르복시산 그룹 및 알콕시 그룹, 아세톡시 그룹 혹은 할로겐 원자와 같은 하나, 둘 또는 세개의 "이탈기(leaving groups)"에 결합된 실리콘을 갖는 치환된 실릴그룹을 포함한다. 에톡시 혹은 메톡시 그룹 혹은 염소 원자가 이탈기로 주로 사용된다. 의도되는 반응성 그룹은 실리콘에톡시, 실리콘디에톡시, 실리콘트리에톡시, 실리콘메톡시, 실리콘디메톡시 및 실리콘트리메톡시 그룹과 같은 실리콘알콕시, 실리콘디알콕시 및 실리콘트리알콕시 그룹 및 클로로실릴, 디클로로실릴 및 트리클로로실릴과 같은 할로실릴 그룹 및 메틸트리아세톡시실란, 테트라아세톡시실란과 같은 아세톡시 그룹을 포함한다.
상기 반응성 그룹은 예를들어, 페닐트리에톡시실란에서 발색단에 직접 결합될 수 있거나 혹은 상기 반응성 그룹은 예를들어, 9-안트라센 카르복시-알킬 트리알콕시실란에 에스테르, 케톤 및/또는 산소 결합을 통해 혹은 탄화수소 브리지(bridge)를 통해 발색단에 부착될 수 있다. 발색단에 실리콘트리알콕시 그룹을 포함시키는 것은 흡수 스핀-온 글라스(spin-on glass) 혹은 "SOG" 필름의 안정성을 증진시키는 점에서 특히 이로운 것으로 발견하였다. 특히, 특정한 적용에서 약 365㎚에서의 흡수가 요구되는 경우에, 다른 유용한 흡수 화합물은 아조 그룹, -N=N- 및 접근하기 쉬운 반응성 그룹을 포함하는 것을 화합물, 특히, 아조 그룹이 결합된 벤젠 고리를 함유하는 화합물이다. 아조 그룹은 직쇄 분자, 고리형 분자 혹은 혼성 직쇄/고리 분자의 일부로 포함될 수 있다.
상기 흡수 화합물은 무기-베이스 재료 매트릭스의 격자사이에(interstitial)에 편입될 수 있다. 상기 흡수 화합물은 또한, 교차결합 반응을 통해 상기 무기-베이스 재료 혹은 중합체에 화학적으로 결합될 수 있다. 몇몇 의도되는 구현에서, 편입가능한 흡수 화합물은 접근하기 쉬운 반응성 그룹을 경유하여 무기-베이스 재료백본 혹은 중합체 백본과 결합(bodns)을 형성한다.
사용하기에 적합한 흡수 화합물의 예는 365㎚, 248㎚, 193㎚ 및 157㎚와 같은 약 375㎚ 미만의 파장 주위에서 한정가능한 흡수 피크를 갖는 흡수 화합물을 포함하며, 이로는 안트라플라브산(1), 9-안트라센 카르복시산 (2), 9-안트라센 메탄올(3), 9-안트라센 에탄올(4), 9-안트라센 프로판올(5), 9-안트라센 부탄올(6), 알리자린(7), 퀴니자린(quinizarin)(8), 프리뮬린(primuline)(9), 2-히드록시-4-(3-트리에톡시실릴프로폭시)-디페닐케톤(10), 2-히드록시-4-(3-트리메톡시실릴프로폭시)-디페닐케톤(11), 2-히드록시-4-(3-트리부톡시실릴프로폭시)-디페닐케톤(12), 2-히드록시-4-(3-트리프로폭시실릴프로폭시)-디페닐케톤(13), 로졸산(rosolic acid)(14), 트리에톡시실릴프로필 1,8-나프탈이미드(15), 트리메톡시실릴프로필-1,8-나프탈이미드(16), 트리프로폭시실릴프로필-1,8-나프탈이미드(17), 9-안트라센 카르복시-메틸 트리에톡시실란(18), 9-안트라센 카르복시-에틸 트리에톡시실란(19), 9-안트라센 카르복시-부틸 트리에톡시실란(20), 9-안트라센 카르복시-프로필 트리에톡시실란(21), 9-안트라센 카르복시-메틸 트리메톡시실란(22), 9-안트라센 카르복시-에틸 트리부톡시실란(23), 9-안트라센 카르복시-메틸 트리프로폭시실란(24), 9-안트라센 카르복시-프로필 트리메톡시실란(25), 페닐트리에톡시실란(26), 페닐트리메톡시실란(27), 페닐트리프로폭시실란(28), 10-페난트렌 카르복시-메틸 트리에톡시실란(29), 10-페난트렌 카르복시-에틸 트리에톡시실란(30), 10-페난트렌 카르복시-메틸 트리메톡시실란(31), 10-페난트렌 카르복시-프로필 트리에톡시실란(32), 4-페닐아조페놀(33), 4-에톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란(34), 4-메톡시페닐아조벤젠-4-카르복시-에틸 트리에톡시실란(35), 4-에톡시페닐아조벤젠-4-카르복시-프로필 트리에톡시실란(36), 4-부톡시페닐아조벤젠-4-카르복시-프로필 트리에톡시실란(37), 4-메톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란(38), 4-에톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란(39), 4-메톡시페닐아조벤젠-4-카르복시-에틸 트리에톡시실란(40), 4-메톡시페닐아조벤젠-4-카르복시-프로필 트리에톡시실란(41), 비닐트리에톡시실란(42) 및 이들의 조합을 포함한다. 그러나, 상기 특정한 화합물의 리스트가 모든 리스트는 아니며, 의도되는 화합물은 이들 특정한 화합물을 포함하는 더 넓은 범위의 화학적 화합물로 부터 선택될 수 있다.
흡수 화합물 1-25 및 29-41은 예를들어, Aldrich Chemical Company(Milwaukee, WI)로 부터 상업적으로 이용할 수 있다. 9-안트라센 카르복시-알킬 트리알콕시실란은 모든 관련된 그리고 공동으로 소유된 외국 및 국내 등록된 특허 및 특허 출원을 포함하는 전체가 참고로 본 명세서에 포함되며, 공동으로 소유된 2002. 11. 12일자로 출원된 PCT 특허출원 제 PCT/US02/36327에 상세하게 기술되어 있는 에스테르화 방법을 사용하여 합성된다. 흡수 화합물 26-28은 Gelest. Inc. (Tullytown, PA)로 부터 상업적으로 이용할 수 있다. 흡수 화합물 (26-28) 뿐만 아니라, Gelest. Inc.로 부터 또한, 상업적으로 이용할 수 있는 많은 페닐-베이스 흡수 화합물의 예는 메틸페닐, 클로로페닐 및 클로로메틸페틸과 같은 페닐고리 혹은 치환된 페닐에 부착된 실리콘-기초 반응성 그룹을 갖는 구조를 포함한다. 특정한 페닐-베이스(based) 흡수 화합물로는 단지 몇몇 예의 명칭으로, 페닐트리메톡시실란, 벤질트리클로로실란, 클로로메틸페닐트리메톡시실란, 페닐트리플루오로실란을 포함한다. 단지 몇몇 예의 명칭으로서, 디페닐메틸에톡시실란, 디페닐디에톡시실란 및 디페닐디클로로실란과 같은 하나 또는 두개의 "이탈기"를 포함하는 디페닐 실란이 또한, 적합한 편입가능한 흡수 화합물을 포함한다. 알콕시벤조산(alkoxybenzoic acids)은 또한, 메톡시 벤조산을 포함하는 흡수 화합물로 사용될 수 있다.
부착 촉진제(Adhesion Promoters)
일부 의도되는 구현예에서, 최소 하나의 부착 촉진제는 a) 전자 및 반도체 구성요소 제조에 일반적으로 사용되는 온도에서 베이킹(baking)과 같은 열처리 후에 열적으로 안정하고, b)부착촉진제가 첨가되는 조성물에서 도너가 교차결합 활성을 현저하게 개시하지 않는, 비교적 낮은 촉매 활성을 가지며; c) 조성물이 낮은 pH를 유지하도록 비교적 중성이며; d) 조성물의 pH가 더욱 낮아질 수 있도록 산성이며; e) 부착촉진제가 첨가되는 조성물에서 종류(species)의 분자량을 증가시키는 반응의 개시 혹은 전달이 없으며; f) 일반적으로 반데르 발스 상호작용으로 이해되는 것과 반대로, 재료의 층들 사이에서의 정전기 및 쿨롱 상호작용을 촉진하여 놀랍게도 부착 촉진제 작용하는 특징중 최소 하나를 포함한다.
UV에 대한 낮은 흡수를 갖도록 디자인된 유기 레지스트 중합체에 대한 부착은 이러한 레지스트가 낮은 극성을 갖도록 디자인되고 적은 작용기가 부착되도록 상호반응하므로 본질적으로 어렵다. 특히, 상기 유기 레지스트 중합체에 대한 실리카-베이스 베합물의 부착 메카니즘은 다음의 2가지 경로중 하나를 따른다: a) 실란올 함량 감소 및 반데르 발스 상호작용의 증가로 인한 부착 촉진 및 b) 정전기 및 쿨롱 상호작용과 같은 이온 기여의 증가에 기인한 부착 촉진.
일부 구현에서, 부착 촉진제는 비닐트리에톡시실란(VTEOS), 아크릴화된 실란 단량체 혹은 실릴 하이드리드(silyl hydrides)와 같은 폴리디메틸실록산 재료, 에톡시 혹은 히드록시-함유 실란 단량체, 비닐-함유 실란 단량체를 포함할 수 있다. 예를들어, VTEOS는 실시예 부분에서 기술한 바와 같이, 코팅 및 조성물에 개선된 부착 증진을 부여함을 나타낸다. VTEOS는 부착 촉진제 및 흡수 화합물 모두로서 작용할 수 있다. 놀랍게도, 실시예 부분에 나타낸 바와 같이, VTEOS의 첨가는 필름의 노광 래티튜드(exposure latitude) 및 포커스 심도(depth of focus)를 또한 개선한다. 달리 말하면, VTEOS의 존재는 포토레지스트 부착 및 패터닝 공정 마진(patterning process margine)을 현저하게 증가시킨다.
의도되는 구현에서, 최소 하나의 약산/약염기, 최소 하나의 약산/강염기, 최소 하나의 강산/강염기, 최소 하나의 강산/약염기, 최소 하나의 아민 염기, 최소 하나의 아민염 혹은 이들의 조합과 같은 최소 하나의 부착 촉진제의 첨가는 정전기 및 쿨롱 상호작용을 증가시킨다. 두 모델 시험 결과는 아민의 중성(비-이온)형태가 아니라 아민염이 리소그래피로 한정되는 레지스트 라인의 붕괴가 방지되도록 레지스트와의 부착을 충분히 증가시킴을 나타낸다. 부착 증진은 높은 pH 아민 배합물(예를들어, pH 5.5 배합물)의 성공적인 사용 및 APTEOS 질산염의 형성으로 실증된다. 상기 메카니즘은 또한, APTEOS 아세테이트, APTEOS 술포네이트, APTEOS 메탄술포네이트, APTEOS 트리플레이트(triflate), APTEOS 토실레이트, APTEOS 노나플루오로부탄-1-술포네이트(nfbs), 테트라메틸암모늄 히드록사이드, 테트라메틸암모늄 아세테이트, 테트라메틸암모늄 니트레이트, 테트라메틸암모늄 술페이트, 테트라메틸암모늄 메탄술포네이트, 테트라메틸암모늄 트리플레이트, 테트라메틸암모늄 토실레이트, 테트라메틸암모늄 nfbs, 테트라메틸암모늄 트리플레이트, 암모늄 니트레이트, 암모늄 아세테이트, 암모늄 트리플레이트, 암모늄 토실레이트, 암모늄 술포네이트, 암모늄 메탄술포네이트 혹은 어떠한 다른 아민 염 혹은 아민염의 조합과 같은 다른 아민염을 사용하는 경우에 또한 발견될 수 있다. 적합한 아민 염기로는 암모늄, 피리딘, 아닐린, TMAH, CTAH, TBAH, APTEOS 혹은 이들의 조합을 포함한다. 상기 모델 부착 에너지는 더 높은 이온 염(더 높은 전하를 띈 중심(higher charged centers))이 큰 R 그룹을 갖는 암모늄 중심과 같이 전하가 더 분포될 수 있는 것에 비하여 더 우수하게 부착을 증가시킴을 나타낸다.(하기 표 1). 모델 시험에 사용되는 메카니즘 및 장치는 하니웰 인터내셔널 인코포레이티드와 공동으로 소유되고 전체가 본 명세서에 참고로 포함된 Nancy Iwamoto등의 미국특허 제 6,544,650 및 미국 특허출원 제 09/543,628; 10/113,461; 10/326,233 및 PCT/US03/07607과 같은 관련된 PCT출원에서 찾아볼 수 있다.
[표 1]
부착 J/㎡ Lo j/㎡ 노르보르넨-베이스 레지스트에 대한 고실리콘 함량 DUV193의 부착 3.74 노르보르넨-베이스 레지스트에 대한 저실리콘 함량 DUV193의 부착 5.66 고실리콘 함량 DUV 193에 대한 접착제 부착 J/㎡ 트리비닐실란 4.05 세틸트리메틸암모늄 히드록사이드 2.57 첨가된 tmah (tmah 니트레이트(nitrate)) 5.18 py 니트레이트 5.72 트리메틸술포늄 메틸술페이트 4.70 테트라메틸암모늄 메탄술포네이트 5.50 테트라메틸암모늄 벤젠술포네이트 5.20 테트라메틸암모늄 톨루엔술포네이트 3.9 테트라메틸암모늄 트리플레이트(트리플루오로메탄 술포네이트) 4.5 애프테오스 트리플레이트(apteos triflate)(트리플루오로메탄술포네이트) 5.2 애프테오스 메탄술포네이트 5.2 NH4 트리플레이트 6 NH4 니트레이트 6 NH4 톨루엔술포네이트 4.8 NH4 메탄술포네이트 5.3 애프테오스 니트레이트 4.9 애프테오스 톨루엔 술포네이트 2.9 NH4OH 3.8 애프테오스 트리플레이트(트리플루오로메탄술포네이트) 5 분자 물 3.82 NH4 트리플레이트(5분자 물) 3.88 NH4 트리플레이트(2분자 물) 5.85 첨가되지만 결합되지 않은 애프테오스 니트레이트 3.85 염이 아니며 결합된 애프테오스(4.9에서 애프테오스 니트레이트 염에 비하여) 3.08 암모늄 트리플레이트 + 암모늄 트리플레이트 8.3 애프테오스 트리플레이트 + 암모늄 트리플레이트 6.1 애프테오스 트리플레이트 + 애프테오스 트리플레이트 5.1
본 명세서에서 사용된 구 "부착 촉진제(adhesion prompter)"는 타켓 조성물에 사용되는 경우에 타겟 조성물을 단독으로 사용한 경우에 비하여 기판 및/또는 표면에 대한 타켓표 조성물의 부착을 증진시키는 어떠한 성분 혹은 성분들의 조합을 의미한다. 타겟 조성물은 배합된 중합체(formulated polymer), 무반사 조성물(antireflective composition), 코팅 재료 및/또는 열 분해성 중합체를 포함하는 기판, 표면, 층 표면(layered surface), 전자 혹은 반도체 구성요소일 수 있거나 혹은 이들에 적용될 수 있는 어떠한 조성물을 포함할 수 있다. 상기 부착 촉진제는 열 분해가능한 전구체와 반응되는 공-단량체 혹은 열분해 가능한 중합체에 대한 첨가제일 수 있다. 몇몇 유용한 부착 촉진제는 전체가 본 명세서에 포함되고 공동으로 양도되고 게류중인 2002.5.30일자로 출원된 미국특허 출원 10/158513에 개시되어 있다.
일부 구현예에서, 부착의 증진은 농도 조절이며, 따라서, 실리카-레지스트와 같은 인접한 층의 경계면에서 아민염과 같은 부착 촉진제가 농축되도록 돕는 어떠한 과정이 부착을 도울 수 있다. 단순한 용액은 배합물에 도입되는 염 종류(salt species)의 양을 증가시킨다. 이러한 다른 과정은 용매를 조절함에 의한 염의 용매화 조절; 스핀 코우트(spin coat) 혹은 베이크(bake) 도중에 상기 용매의 증발 조절; 염의 용해도를 조절하는 용해도 조절제의 첨가 및 상기 레지스트에 대한 암모늄 종류(species)의 첨가를 포함한다.
모델링(modeling)은 염 혼합물이 단일 성분과 같이 마찬가지로 효과적으로 사용될 수 있음을 나타낸다. 이들 혼합된 염 부착 촉진 스킴(scheme)은 용매의 혼화성(compatibility)을 위해 유기 아민의 증가가 요구되는 경우에 사용될 수 있다. 상기 경우에, 치환된 암모늄 중심상의 보다 큰 R 그룹이 사용되지만, 부착의 손실은 더욱 큰 전하를 띄는 중심의 암모늄등을 첨가하므로써 보상될 수 있다.
상기한 바와 같이, 의도되는 부착 촉진제는 질소, 인 혹은 어떠한 다른 유사한 특징을 갖는 원자를 포함할 수 있다. 의도되는 부착 촉진제는 아민염, 메틸암모늄 니트레이트(nitrate), 테트라메틸암모늄 아세테이트(TMAA), 테트라부틸암모늄 아세테이트(TBAA), 세틸트리메틸암모늄 아세테이트(CTAA) 및 테트라메틸암모늄 니트레이트(TMAN)과 같은 중성 혹은 산성 화합물 혹은 분자를 포함할 수 있다. TMAN은 TMAN을 물에 용해시키거나 혹은 질산을 사용하여 TMAA 혹은 TMAH를 TMAN으로 전화시켜서 얻을 수 있다. 의도되는 염은 강산에 의한 염 및 1차, 2차, 3차 혹은 4차 아민의 염을 포함한다.
본 명세서에서 의도되는 다른 적합한 부착 촉진제는 상기한 바와 같은 아민염, 노노플루오로부탄-1-술폰산(nfbs) 혹은 도데실벤젠술폰산(dbs)와 같은 긴 꼬리 혹은 벌키한(bulky) 그룹을 갖는 최소 하나의 산을 이용하여 합성된 것을 이용하거나 혹은 산-TEOS와 같은 반응성 작용기를 갖는 실란에 산이 결합된 것을 이용하는 것이다.
더욱이, 부착 증진은 보다 높은 pH 아민 배합물(예를들어, pH 5.5 배합물)의 성공적인 사용 및 APTEOS 니트레이트 염이 형성되는 경우로 확인될 수 있다. 상기 메카니즘은 또한, APTEOS 아세테이트, APTEOS 술포네이트, APTEOS 메탄술포네이트, APTEOS 트리플레이트(triflate), APTEOS 토실레이트, APTEOS 노나플루오로부탄-1-술포네이트(nfbs), 테트라메틸암모늄 히드록사이드, 테트라메틸암모늄 아세테이트, 테트라메틸암모늄 니트레이트, 테트라메틸암모늄 술페이트, 테트라메틸암모늄 메탄술포네이트, 테트라메틸암모늄 트리플레이트, 테트라메틸암모늄 토실레이트, 테트라메틸암모늄 nfbs, 테트라메틸암모늄 트리플레이트, 암모늄 니트레이트, 암모늄 아세테이트, 암모늄 트리플레이트, 암모늄 토실레이트, 암모늄 술포네이트, 암모늄 메탄술포네이트 혹은 어떠한 다른 아민 염 혹은 아민염의 조합과 같은 다른 아민염을 사용하는 경우에 또한 발견될 수 있다. 적합한 아민 염기로는 암모늄, 피리딘, 아닐린, TMAH, CTAH, TBAH, APTEOS 혹은 이들의 조합을 포함한다.
일부 구현에서, 질소 함유 흡수 조성물 및/또는 코팅 재료에서 "질소의 몰(mole)/Si-화합물 중량(ppm)"의 비율은 약 0.01보다 크다. 다른 구현에서, 질소 함유 흡수 조성물 및/또는 코팅 재료에서 "질소의 몰(mole)/Si-화합물 중량(ppm)"의 비율은 약 3 보다 크다. 또한 다른 구현에서, 질소 함유 흡수 조성물 및/또는 코팅 재료에서 "질소의 몰(mole)/Si-화합물 중량(ppm)"의 비율은 약 4보다 크다. 최적 비율은 재료/조성물에 존재하는 유기 부분의 양, 상기 재료/조성물에 존재하는 교차결합정도 및 상기 재료/조성물의 pH와 같은 상기 코팅재료/조성물의 기술자에 의한 몇몇 특성 평가에 의존하지만, 질소-함유 조성물에 대한 어떠한 다른 상기한 재료/조성물 특성에 비하여 상기 비율이 리소그래피 특성 및 필름 치밀화(densification)에 영향을 미치는 것으로 이해된다. 또한, 존재하는 유기부분의 양, 존재하는 교차결합의 정도 및/또는 상기 재료/조성물의 pH에 따라, 적합한 몰(mole)/중량 비율이 정하여질 수 있으며, 본 명세서에서 의도하는 흡수 조성물 및/또는 코팅 재료를 제조하는데 사용될 수 있는 것으로 이해된다. 상기한 바와 같이, 최소 하나의 부착 촉진제가 교차결합제 혹은 촉매로서 또한 작용할 수 있는 것으로 이해된다.
본 명세서에서 의도되는 부착 촉진제는 또한, 최소 하나의 2 관능성(bifunctionality)을 갖는 화합물을 포함할 수 있으며, 여기서, 상기 2 관능성은 같거나 혹은 다를 수 있으며, 제 1 관능성 및 제 2 관능성중 최소 하나는 Si-함유 그룹; N-함유 그룹; O-함유 그룹에 결합된 C; 히드록시 그룹; 및 C-함유 그룹에 이중결합된 C로 구성되는 그룹으로 부터 선택된다. 본 명세서에서 사용된 구 "최소 하나의 2 관능성을 갖는 화합물"은 다음과 같이 상호 작용하거나 혹은 반응하거나 혹은 결합을 형성할 수 있는 최소한 두 작용기(functional group)를 갖는 어떠한 화합물을 의미한다. 작용기를 첨가반응, 친핵성 및 친전자성 치환(substitutions) 혹은 제거(eliminations), 라디컬 반응등을 포함하는 다양한 방식으로 반응할 수 있다. 나아가 다른 반응은 또한, 반데르 발스, 정전기 결합, 이온결합 및 수소결합과 같은 비공유 결합의 형성을 포함한다.
최소 하나의 부착 촉진제의 일부 구현예에서, 바람직하게는 최소 하나의 제 1 작용성 및 제 2 작용성은 Si-함유 그룹; N-함유 그룹; O-함유 그룹에 결합된 C; 히드록시 그룹; 및 C-함유 그룹에 이중결합된 C로 부터 선택된다. 바람직하게, 상기 Si-함유 그룹은 Si-H, Si-O 및 Si-N으로 부터 선택되며; N-함유 그룹은 C-NH2 혹은 다른 2차 및 3차 아민, 이민, 아미드 및 이미드와 같은 것으로 부터 선택되며; 상기 O-함유 그룹에 결합된 C는 =CO, 케톤 및 알데히드와 같은 카르보닐 그룹, 에스테르, -COOH, 1 내지 5개의 탄소원자를 갖는 알콕실(alkoxyls), 에테르, 글리시딜 에테르; 및 에폭사이드로 부터 선택되며; 상기 히드록시 그룹은 페놀이며; 상기 C-함유 그룹에 이중결합된 C는 알릴 및 비닐 그룹으로 부터 선택된다. 반도체 적용에 대하여, 보다 바람직한 작용기로는 Si-함유 그룹; O-함유 그룹에 결합된 C; 히드록시 그룹; 및 비닐 그룹을 포함한다.
의도되는 부착 촉진제는 페놀-함유 수지, CRJ-406 혹은 HRJ-11040 (두가지 모두 Schenectady International, Inc.)와 같은 노볼락 수지, 유기 아크릴레이트 및/또는 스티렌 수지를 추가로 포함하는 유기 수지-베이스 재료를 또한 포함할 수 있다. 다른 부착 촉진제는 폴리디메틸실록산 재료, 에톡시 혹은 히드록시-함유 실란 단량체, 비닐-함유 실란 단량체, 아크릴화 실란 단량체 혹은 실릴 하이드리드(silyl hydrides)를 포함할 수 있다.
Si-함유 그룹을 갖는 의도되는 부착 촉진제의 예는 화학식 Ⅰ: (R14)k(R15)lSi(R16)m(R17)n(단, 식중 R14, R15, R16 및 R17은 각각 독립적으로 수소, 히드록시, 불포화 혹은 포화 알킬, 치환 혹은 비치환 알킬 (치환체는 아미노 혹은 에폭시이며), 포화 혹은 불포화 알콕실, 불포화 혹은 포화 카르복시산 라디칼 혹은 아릴을 나타내며; R14, R15, R16 및 R17 중 최소 2개는 수소, 히드록시, 불포화 혹은 포화 알콕시, 불포화 알킬 혹은 불포화 카르복시산 라디칼을 나타내며; k+l+m+n ≤ 4이다.)의 실란이다. 예로는 H2C=CHSi(CH3)2H 및 H2C=CHSi(R18)3(R18은 CH3O, C2H5O, AcO, H2C=CH,혹은 H2C=C(CH3)O-, (R18=알콕시, 아세톡시 그룹))와 같은 비닐실란 혹은 비닐페닐메틸실란; 식 H2C=CHCH2-Si(OC2H5)3 및 H2C=CHCH2-Si(H)(OCH3)2의 알릴실란; (3-글리시독시프로필)메틸디에톡시실란 및 (3-글리시독시프로필)트리메톡시실란과 같은 글리시독시프로필실란; 식 H2C=(CH3)COO(CH2)3-Si(OR19)3 (단, 식중 R19는 알킬, 바람직하게는 메틸 혹은 에틸임)의 메타크릴옥시프로필실란; H2N(CH2)3Si(OCH2CH3)3, H2N(CH2)3Si(OH)3, 혹은 H2N(CH2)3OC(CH3)2CH=CHSi(OCH3)3를 포함하는 아미노프로필실란 유도체를 포함한다. 상기한 실란은 Gelest에서 상업적으로 이용할 수 있다.
상기 O-함유 그룹에 결합된 C를 갖는 의도되는 부착 촉진제의 예는 이로써 한정하는 것은 아니지만, TriQuest에서 상업적으로 이용가능한 1,1,1-트리스-(히드록시페닐)에탄 트리-글리시딜 에테르를 포함하는 글리시딜 에테르이다. O-함유 그룹에 결합된 C를 갖는 바람직한 부착 촉진제의 예는 최소 하나의 카르복시산 그룹을 함유하는 불포화 카르복시산의 에스테르이다. 예로는 3작용성 메타크릴레이트 에스테르, 3작용성 아크릴레이트 에스테르, 트리메틸올프로판 트리아크릴레이트, 디펜타에리트리톨 펜타아크릴레이트 및 글리시딜 메타크릴레이트를 포함한다. 상기한 부착 촉진제는 Sartomer로 부터 상업적으로 이용할 수 있다.
비닐 그룹을 갖는 의도되는 부착 촉진제는 비닐 시클릭 피리딘 올리고머 혹은 폴리머이며, 여기서 시클릭 그룹은 피리딘, 방향족 혹은 헤테로방향족이다. 유용한 예로는 이로써 한정하는 것은 아니지만, Reilly에서 상업적으로 이용할 수 있는 2-비닐피리딘 및 4-비닐피리딘; 비닐 방향족; 및 이로써 한정하는 것은 아니지만, 비닐 퀴놀린, 비닐 카르바졸, 비닐 이미다졸 및 비닐 옥사졸을 포함하는 비닐 헤테로방향족을 포함한다.
Si-함유 그룹을 갖는 바람직한 부착 촉진제의 예는 전체가 본 명세서에 참고로 포함된 1999.12.23일자로 출원되고 공동으로 양도되고 동시 게류중인 미국 특허 출원 09/471299에 개시되어 있는 폴리카르보실란이다. 상기 폴리카르보실란은 화학식 Ⅱ로 나타내어지는 것이다:
Figure 112009059232666-PCT00001
(단, 상기 식에서, R20, R26 및 R29는 각각 독립적으로 치환된 혹은 비치환된 알킬렌, 시클로알킬렌, 비닐렌, 알릴렌 혹은 아릴렌을 나타내며; R21, R22, R23, R24, R27 및 R28은 각각 독립적으로 수소원자 혹은 알킬, 알킬렌, 비닐, 시클로알킬, 알릴 혹은 아릴을 포함하는 유기그룹을 나타내며 선형 혹은 분지형일 수 있으며; R25는 유기실리콘, 실라닐, 실록시(siloxyl) 혹은 유기 그룹을 나타내며; p, q, r 및 s는 [4≤p+q+r+s≤100,000]의 조건을 만족하며; q 및 r 및 s는 집합적으로 혹은 독립적으로 제로(O)일 수 있다.) 상기 유기그룹은 탄소원자를 최고 18개 포함할 수 있지만, 일반적으로 약 1 내지 약 10개의 탄소원자를 포함한다. 유용한 알킬 그룹으로는 -CH2- 및 -(CH2)t- (식중 t>1)을 포함한다.
의도되는 폴리카르보실란으로는 R20이 치환된 혹은 비치환된 알킬렌 혹은 페닐이고, R21 그룹이 수소원자 이며, 폴리카르보실란 사슬에 부가된 라디컬(appendent radicals)이 없는; 즉, q,r 및 s가 모두 제로(0)인 디하이드리도폴리카르보실란(dihydridopolycarbosilanes)을 포함한다. 폴리카르보실란의 다른 바람직한 그룹은 화학식 Ⅱ의 상기 R21, R22, R23, R24, R25 R28 그룹이 2 내지 10개의 탄소원자를 갖는 치환된 혹은 비치환된 알케닐 그룹인 것이다. 상기 알케닐 그룹은 에테닐, 프로페닐, 알릴, 부테닐 혹은 최고 10개의 탄소원자를 갖는 어떠한 다른 불포화 유기 백본 라디칼일 수 있다. 상기 알케닐 그룹은 사실상 디에닐일 수 있으며, 다른 알킬 혹은 불포화 유기 중합체 백본에 부가된(appeneded) 혹은 치환된 불포화 알케닐라디칼을 포함한다. 이들 바람직한 폴리카르보실란의 예로는 폴리디히드리도카르보실란, 폴리알릴히드리디도카르보실란(polyallylhydrididocarbosilane) 및 폴리디히드리도카르보실란과 폴리알릴히드리도카르보실란의 랜덤 공중합체와 같은 디히드리도(dihydrido) 혹은 알케닐 치환된 폴리카르보실란을 포함한다.
다른 의도되는 폴리카르보실란에서, 상기 화학식 Ⅱ의 R21그룹은 수소원자이며, R21은 메틸렌이며, 부가된 라디칼 q,r 및 s는 제로이다. 본 발명의 다른 바람직한 폴리카르보실란 화합물은 R21 및 R27이 수소이며, R20 및 R29가 메틸렌이며, R28이 알킬렌이고 부가된 라디칼 q 및 r이 제로인 화학식 Ⅱ의 폴리카르보실란이다. 상기 폴리카르보실란은 종래 기술분야에서 잘 알려져 있는 공정 혹은 폴리카르보실란 조성물의 제조자로 부터 제공되는 바에 따라 제조될 수 있다. 가장 바람직한 폴리카르보실란은 화학식 Ⅱ의 R21 그룹이 수소원자이며; R24가 -CH2-이며; q, r 및 s는 제로이며, p는 5 내지 25이다. 이들 폴리카르보실란은 Starfire Systems, Inc에서 입수할 수 있다.
화학식 Ⅱ에서 관찰되는 바와 같이, 사용되는 폴리카르보실란은 r > 0인 경우에 실록실 그룹 형태의 산화된 라디칼을 포함할 수 있다. 따라서, r > 0인 경우에, R25는 유기실리콘, 실라닐, 실록실 혹은 유기 그룹을 나타낸다. 폴리카르보실란의 산화형태(r > 0)는 매우 효과적으로 작용하는 것으로 이해되며, 이는 본 발명의 범위에 속한다. 또한, 화학식 Ⅱ 폴리카르보실란의 라디칼 p, q, r 및 s가 [4 < p+q+r+s < 100,000]의 조건을 만족하는 한, r은 p, q 및 s와 독립적으로 제로(O)일 수 있으며, q 및 r은 집합적으로 혹은 독립적으로 제로(O)일 수 있다.
상기 폴리카르보실란은 현재 많은 제조업자로 부터 그리고 통상의 중합방법을 사용하여 상업적으로 이용할 수 있는 출발물질로 부터 제조될 수 있다. 폴리카르보실란의 합성 예로서, 상기 출발물질은 비활성 분위기에서 상응하는 중합체가 제조되도록 폴리실란과 폴리보로실록산의 혼합물을 가열하므로써, 혹은 비활성 분위기에서 상응하는 중합체가 제조되도록 폴리실란과 저분자량의 카르보실란의 혼합물을 가열하므로써, 혹은 비활성 분위기 및 폴리보로디페닐실록산과 같은 촉매존재하에서 폴리실란과 저분자량 카르보실란의 혼합물을 가열하므로써 출발물질로서 통상의 유기 실란 화합물 혹은 폴리실란으로 부터 제조될 수 있다. 폴리카르보실란은 또한, 전체가 참고로 본 명세서에 포함된 미국 특허 5,153,295에 보고되어 있는 그리니야드 반응(Grignard Reaction)으로 또한 합성될 수 있다.
히드록시 그룹을 갖는 바람직한 부착 촉진제의 예는 페놀-포름할데히드 수지 혹은 화학식 Ⅲ: - [R30C6H2(OH)(R31)]u- 의 올리고머이며, 상기 식에서 R30은 치환된 혹은 비치환된 알킬렌, 시클로알킬렌, 비닐, 알릴 혹은 아릴이며; R31은 알킬, 알킬렌, 비닐렌, 시클로알킬렌, 알릴렌 혹은 아릴이며, u=3-100이다. 유용한 알킬기의 예로는 -CH2- 및 -(CH2)v-이며, 식중 v > 1이다. 특히 유용한 페놀-포름알데히드 수지 올리고머는 분자량이 1500이며 Schenectady International Inc.에서 상업적으로 이용할 수 있다.
촉매
상기한 바와 같이, 일부 의도되는 조성물은 최소 하나의 축합촉매 및 최소 하나의 산촉매를 포함한다. 본 명세서에서 사용된, 용어 "촉매"는 화학반응에 대한 활성 에너지를 낮추어서 화합반응 속도에 영향을 미치는 어떠한 물질을 의미한다. 몇몇 경우에, 상기 촉매는 촉매 자체가 소비되거나 혹은 화학변화를 격지 않고 화학반응의 활성화 에너지를 낮출 수 있다.
축합 촉매(condensation catalysts)는 상기 구현예에서 교차결합제로 작용한다. 본 명세서에서 사용된 용어 "교차결합(crosslinking)"은 최소 2개의 분자 혹은 긴 분자의 최소 두 부분(portions)이 화학적 상호작용에 의해 서로 연결되는 공정을 말한다. 이러한 상호작용은 공유결합의 형성, 수소결합의 형성, 소수성, 친수성, 이온 혹은 정전기 상호작용을 포함하는 많은 다른 방식으로 일어날 수 있다. 나아가, 분자간 상호작용(molecular interaction)이 또한, 분자와 그 분자 사이 혹은 둘 이상의 분자 사이에서 적어도 일시적인 물리적 연결에 의해 또한 특징지워질 수 있다.
축합촉매는 상승된 온도와 같은 특정한 온도에서 일반적으로 활성화된다. 따라서, 하나의 온도(예를들어, 실온에서)에서 의도되는 조성물은 저분자량을 유지하며, 따라서, 웨이퍼 및/또는 기판 토포그래피(topography)에 우수한 평탄화 선능(planarization ability)를 부여할 수 있다. 온도가 상승되는 경우에(예를들어, 50℃ 보다 높게), 상기 축합촉매는 Si-OH 축합반응을 촉진(catalyze)하며, 그 결과 보다 고밀한 구조가 되며, 몇몇 경우에는, 전반적으로 포토리소그래피 성능이 개선된다.
의도되는 축합 촉매는 또한, 안정한 실리케이트 용액을 유지하는 것을 도울 수 있는 촉매를 포함한다. 상기 금속-이온-프리(metal-ion-free) 촉매는 오늄 화합물 및 친핵체로 구성되는 그룹으로 부터 선택된다. 상기 촉매는 예를들어, 암모늄 화합물, 아민, 포스포늄 화합물 혹은 포스핀 화합물일 수 있다. 이들의 비제한적인 예로는 테트라메틸암모늄 아세테이트(TMAA), 테트라메틸암모늄 히드록사이드(TMAH), 테트라부틸암모늄 아세테이트(TBAA), 세틸트리메틸암모늄 아세테이트(CTAA), 테트라메틸암모늄 니트레이트(TMAN), 트리페닐아민, 트리옥틸아민, 트리도데실아민, 트리에탄올아민, 테트라메틸포스포늄 아세테이트, 테트라메틸포스포늄 히드록사이드, 트리페닐포스핀, 트리메틸포스핀, 트리옥틸포스핀 및 이들의 조합을 포함하는 테트라오가노암모늄 화합물(tetraorganoammonium compounds) 및 테트라오가노포스포늄 화합물(tetraorganophosphonium compounds)을 포함한다.
일부 구현에서, TMAN이 사용되며, 이는 TMAN을 물에 용해시키거나 혹은 질산을 사용하여 TMAA 혹은 TMAH를 TMAN으로 전환시키므로써 얻어질 수 있다. 상기 조성물은 상기 조성물의 교차결합을 가속화하는 비-금속, 친핵 첨가제를 추가로 포함할 수 있다. 이들은 디메틸 술폰, 디메틸 포름아미드, 헥사메틸포스포러스 트리아미드(hexamethylphosphorous triamide, HMPT), 아민 및 이들의 조합을 포함한다. 몇몇 유용한 교차결합제의 예는 전체가 본 명세서에 포함되고 공유되고 게류중인 PCT 출원 번호 PCT/US02/15256(공개번호 WO/088344)에 개시되어 있다. 일부 구현에서, TMAN이 질소/산소 RIE 플라즈마에 대한 개선된 강건성(robustness)을 위한 교차결합 밀도를 증가시키기 위해 그리고 포토레지스트 부착(adhesion) 대한 아민 공급원으로 사용된다.
다른 의도되는 구현예에서, 최소 하나의 산 촉매가 또한 첨가될 수 있다. 의도되는 산촉매로는 HNO3, HCl, 락트산, 아세트산, 옥살산, 숙신산, 말레산 및 이들의 조합을 포함한다. 최소 하나의 산촉매는 조성물의 안정성 및 저장기간을 증가시킴과 함께, 365㎚, 248㎚, 193㎚ 및 157㎚ 주위의 흡수 피크를 갖는 것들을 포함하는 어떠한 선택된 레지스트 재료와 혼화성이 있도록 혹은 혼화성이 개선되도록 하기 위해 최종 재료의 pH를 "조정(tune)" 혹은 조절하기 위해 조성물에 첨가된다. 의도되는 산 촉매는 또한 전체가 참고로 포함되고 공동으로 양도된 PCT 출원 PCT/US01/45306에서 찾아볼 수 있는 것들이다. 일부 구현에서, 질산은 환류 반응에서 상기 조성물에 편입될 수 있으며, 다른 구현에서, 질산은 조성물의 저장기간을 개선하기 위한 축합촉매의 첨가 후에 pH를 조절하기 위해 2번째로 첨가된다.
용매
상기한 바와 같이, 최소 하나의 용매가 상기 조성물에 첨가될 수 있다. 상기 용매는 코팅 조성물의 구성성분을 혼합하기 위해 혹은 용매화하기 위해 용매에서 요구되는 특성 이외의 극성 및/또는 작용기에 따라 특정한 코팅 조성물에 대하여 특정하게 선택될 수 있다. 전형적인 용매는 본 명세서에서 의도되는 비-무기 재료 및 흡수 화합물을 용매화하여 이들이 코팅조성물, 재료 및 필름으로 사용될 수 있도록 할 수 있는 용매들을 또한 포함한다. 의도되는 용매로는 원하는 온도에서 휘발되는 어떠한 적합한 순수한 유기, 유기금속 혹은 무기 분자 혹은 이들의 혼합물을 포함한다. 상기 용매는 또한, 어떠한 적합한 순수한 극성 및 비-극성 화합물 혹은 이들의 혼합물을 포함할 수 있다. 일부 구현에서, 상기 용매는 물, 에탄올, 프로판올, 아세톤, 톨루엔, 에테르, 시클로헥사논, 부틸로락톤, 메틸에틸케톤, 메틸이소부틸케톤, N-메틸피롤리돈, 폴리에틸렌글리콜메틸에테르, 메시틸렌(mesitylene), 에틸 락테이트, PGMEA, 안니솔 및 카르비톨(히드록시, 알콕시 혹은 카르복시기로 종결된 에틸렌글리콜 에테르류를 구성함) 및 유사 프로필렌글리콜 에테르와 같은 폴리-에테르 용매 류를 포함한다.
일부 구현에서, 무반사 조성물로 부터 형성되는 코팅 혹은 필름의 교차결합을 증가시키시 위해 최소 하나의 용매 뿐만 아니라 물이 첨가된다. 따라서, 일부 구현에서, 물은 단지 용매로만 작용하지 않을 뿐만 아니라(혹은 용매로 작용하지 안거나), 교차결합제로 작용할 수 있다. 실시예에 나타낸 바와 같이, 물은 총 조성물의 최고 약 10중량%로 첨가된다. 다른 구현에서, 물은 총 조성물의 최고 약 8중량%로 첨가된다. 또 다른 구현에서, 물은 총 조성물의 최고 약 5중량%로 첨가된다. 또 다른 구현에서, 물은 총 조성물의 최고 약 3중량%로 첨가된다. 조성물에 물이 첨가되는 구현예에서, 교차결합 밀도가 증가하고 질소/산소 RIE 플라즈마에 대한 강건성(robustness)가 개선된다.
용매 및 용매 혼합물은 용액중에 약 99.5중량% 미만의 양으로 존재할 수 있다. 일부 구현에서, 상기 용매 혹은 용매 혼합물은 용액중에 약 30중량% 내지 약 99.5중량%의 양으로 존재할 수 있다.
본 명세서에서 사용된 상기 용매는 약 1 ppm 미만, 약 10 ppb 미만, 약 10 ppb 미만, 약 1 ppb 미만, 약 100 ppt 미만, 약 10 ppt 미만 그리고 몇몇 경우에는, 약 1 ppt 미만과 같은 어떠한 적합한 수준의 불순물을 포함할 수 있다. 이들 용매는 상기 의도되는 적용에 사용하기에 적합한 불순물 수준을 갖는 것으로 구입될 수 있거나 혹은 부가적으로 불순물을 제거하여 포토리소그래피 및 에칭 기술분야에서 보다 바람직한 약 10ppb 미만, 약 1ppb 미만, 약 100 ppt 미만 혹은 그 보다 낮은 수준에 도달하도록 추가적으로 정제될 필요가 있을 수 있다.
제조 방법
본 발명에서 의도되는 조성물 및/또는 코팅재료를 제조하는 한가지 방법은 최소 하나의 고비율 무기 화합물, 최소 하나의 흡수 화합물, 최소 하나의 축합 촉매, 최소 하나의 산 촉매, 질산/물 혼합물과 같은 산/물 혼합물 및 최소 하나의 용매로 반응혼합물을 형성하고; 무반사 조성물을 형성하도록 상기 반응혼합물을 40℃ 이상의 온도로 가열하거나 환류시키는 것을 포함한다. 그 후, 상기 형성된 흡수 조성물은 다양한 두께의 필름을 제조하는 코팅용액으로 제공되도록 최소 하나의 용매로 희석된다.
에탄올, PGMEA, TEOS, 비닐트리에톡시실란(VTEOS) 및 PTEOS는 물/0.1N 질산 혼합물 및 부탄올과 함께 유리반응용기내로 개별적으로 첨가된다. 용액중에서 PTEOS, VTEOS 및 TEOS를 중합하고 상기 중합체/용액 혼합물은 각각 개별적으로 첨가되는 PGMEA, TMAN 및 5N 질산으로 희석하기 위해 제 2 용기로 펌핑된다. 상기 순수한( neat) 중합체는 고체이지만, 상기 중합체는 액체 용액으로 제조되거나, 사용되거나 및/또는 판매되며, 상기 고체 중합체에 대하여는 노광(exposure)되지 않는다. 용액중의 중합체 양은 전형적으로 1.4-5.1 중량%이다. 최종용액은 필터 유니트(이는 임의적임)를 통해 펌핑되며, 다른 중합체의 제조에 중간체(intermediate)로서 현장(on-site)에서 사용되거나 혹은 상업적 판매를 위해 저장/포장된다. 도 2 및 도 3은 의도되는 방법 및 반응용기 배열을 나타낸다. 도 2에서, 단계 210부분으로서, 에탄올, PGMEA, TEOS, VTEOS, PTEOS, 물/0.1N 질산 및 부탄올은 물 및 0.1N 질산과 함께 유리 반응용기에 개별적으로 첨가된다. 단계 220에서, PTEOS, VTEOS 및 TEOS의 중합 후에, 상기 중합체(용액)는 PGMEA, TMAN 및 5N-질산으로 희석하기 위해 제 2 용기로 펌핑된다. 각각은 이들 각각의 순서에 따라 개별적으로 첨가된다. 단계 230에서, 순수한(neat) 중합체는 고체이지만, 액체 용액으로 제조되거나, 사용되거나 및/또는 판매되며, 상기 고형 중합체에 대한 노광은 없다. 용액중의 중합체의 양은 전형적으로 1.4~5.1중량%이다. 단계 240에서, 최종 용액은 필터 유니트(이는 임의적임)를 통해 펌핑되며, 다른 중합체의 제조에 중간체로서 현장에서 사용되거나 상업적 시판을 위해 저장/포장된다. 도 3은 제 1 세트의 구성성분 310이 반응용기 320에 첨가되며 315, 교반기 322로 교반되는 반응용액 배열 300을 나타낸다. 반응용기 320은 또한 가열된다. 그 후, 상기 반응 혼합물은 펌프 330을 통해 희석 용기 340내로 펌핑되며, 제 2 세트의 구성성분 350이 반응용기 340에 첨가된다 355. 상기 혼합물은 교반기 342를 사용하여 교반되며 그 후, 필터 유니트 370을 통해 제 2 펌핑 360되며, 그 후, 저장되거나, 현장에서 사용되거나 혹은 상업적으로 배포하기 위해 포장된다 380. 표 2는 본 명세서에서 기술된 조성물에 사용될 수 있는 의도되는 구성성분의 양을 나타낸다.
[표 2]
에탄올 5.76 - 20.12 % (중량 퍼센트)
PGMEA 2.88 - 10.05%
테트라에톡시실란(TEOS) 3.20 - 11.18%
비닐트리에톡시실란(VTEOS) 0.54 - 1.91%
페닐트리에톡시실란(PTEOS) 0.23 - 0.80%
1.81 - 6.34%
0.1N 질산 0.11 - 0.38%
부탄올 0.85 - 2.96%
PGMEA (제 2 첨가) 46.20 - 84.6%
1% 테트라메틸암모늄니트레이트(TMAN) 0.69 - 0.20%
5N 질산 0.07 - 0.02%
적용(Applications)
본 명세서에 개시된 코팅 재료 및 용액은 일반적으로 3-층 적용, 3-층 구조 및/또는 3-층 패터닝 공정에 적용될 수 있는 것으로 여겨진다. 본 명세서에서 의도되는 3-층 구조는 또한, 서로 연결되는 유기 하부층(제1층), 본 발명에서 의도되는 무반사 조성물 및/또는 필름(제2층) 및 포토레지스트 재료(제3층)을 포함한다. 의도되는 코팅제, 코팅, 조성물 및 용액은 다양한 기판 및/또는 표면에 적용되어 특정한 제작 공정에 따라, 특히, 통상의 스핀-온 디포지션 기술(spin-on deposition techniques), 증착(vapor deposition) 혹은 화학증착(chemical vapor depositin)에 의해 희생층(sacrificial layers), 층으로된 재료(layered materials), 반도체 제조공정에 사용되는 층 혹은 전자 구성요소에 사용되는 층을 형성한다. 이들 기술은 무기 코팅을 제조하기 위해 분산 스핀(dispense spin), 두께 스핀(thickness spin), 및 써멀 베이크 단계(thermal bake steps)를 포함한다. 전형적인 공정들은 약 20초간 1000 내지 4000 rpm 사이의 두께 스핀 및 각각 약 1분동안 80℃ 내지 300℃ 사이의 온도에서 1 내지 3회 베이크(bake) 단계를 포함한다. 의도되는 무기 코팅은 약 1.3 내지 약 2.0 사이의 굴절률과 약 0.03 보다 큰 흡광계수(extinction coefficient)를 나타낸다.
본 명세서에서 의도되는 기판들은 어떠한 바람직한 실질적으로 고체인 재료를 포함할 수 있다. 특히 바람직한 기판층들은 필름, 유리, 세라믹, 플라스틱, 금속 또는 코팅된 금속, 또는 복합체 재료를 포함할 수 있다. 일부 구현에서, 기판은 실리콘 또는 게르마늄 아세나이드(germanium arsenide) 염료 또는 웨이퍼 표면, 구리, 은, 니켈 또는 금 도금된(plated) 리드프레임(leadframe)에서 발견되는 바와 같은 패키징 표면, 회로판 또는 패키지 상호연결 트레이스(trace)에서 발견되는 바와 같은 구리표면, 비아 웰(via well) 또는 경화 인터페이스(stiffener interface)("구리"는 나동선(bare copper) 및 그 산화물의 고려를 포함한다), 폴리이미드-베이스 가요성 패키지에서 발견되는 바와 같은 폴리머-베이스 패키징 또는 보드 인터페이스(board interface), 납 또는 다른 금속 합금 솔더볼 표면, 글라스 및 폴리아미드와 같은 폴리머를 포함한다. 다른 구현에서, 기판은 실리콘, 구리, 글라스 및 또 다른 폴리머와 같은 패키징 및 회로판 산업에서 통상적인 재료를 포함한다. 다른 구현에서, 상기 기판은 게이트 폴리 패터닝(gate poly patterning)과 같은 "FEOL(front end of line)" 및 비아(via) 혹은 금속 상호연결 패터닝(metal interconnect patterning)과 같은 "BEOL(back end of line)" 패키징에 통상적으로 사용되는 재료를 포함한다.
의도되는 코팅재료, 코팅 용액 및 필름은 다양한 전자기기, 마이크로-전자기기, 특히, 하드마스크 층, 유전체 층, 에칭 스탑 층(etch stop layer) 및 베리드 에칭 스탑층(buried etch stop layers)을 포함하는 전자 및 반도체 구성요소용의 반도체 집적회로 및 다양한 층으로된 재료의 제작에 유용하게 사용될 수 있다. 이들 코팅재료, 코팅 용액 및 필름은 아다만탄-베이스(adamantane-based) 화합물, 디아다만탄-베이스 화합물, 실리콘-코어 화합물, 노볼락 재료 및 유전체, 유기 유전체 및 나노다공성 유전체(nanoporous dielectrics)와 같은 층으로된 재료(layered materials) 및 디바이스일 수 있는 다른 재료와의 혼화성이 우수하다. 본 명세서에서 의도되는 코팅 재료, 코팅 용액 및 필름과 상당히 혼화성이 있는 화합물은 전체가 본 명세서에 참고로 모두 포함된 2001.10.17일자로 출원된 PCT 출원 PCT/US01/32569; 2001.12.31일자로 출원된 PCT 출원 PCT/US01/50812; US 특허출원 09/538276; US 특허출원 09/544504; US 특허출원 09/587851; US 특허 6,214,746; US 특허 6,171,687; US 특허 6,172,128; US 특허 6,156,812, 2002.1.15일자로 출원된 US 특허출원 60/350187; 및 2002.1.8일자로 출원된 US 특허출원 60/347195 및 US 5858547에 개시되어 있다.
본 명세서에서 기술된 상기 화합물, 코팅, 필름, 재료등은 전자 구성요소 및/또는 반도체 구성요소의 일부가 되도록, 이들의 일부를 형성하도록 혹은 이들을 형성하도록 사용될 수 있다. 본 명세서에서 사용된 용어 "전자 구성요소(electronic component)"는 또한, 일부 원하는 전기적 작용이 얻어지도록 회로에 사용될 수 있는 어떠한 디바이스(device) 혹은 부분(part)을 또한 의미한다. 본 명세서에서 의도되는 전자 구성요소는 능동 구성요소(active components) 및 수동 구성요소(passive components)로 분류하는 것을 포함하는 많은 다른 방식으로 분류될 수 있다. 능동 구성요소는 일반적으로 이의 작동을 위해서 전원(power source)을 필요로 하는 증폭, 진동 또는 신호 제어와 같은 일부 동적 작용(dynamic function)을 할 수 있는 전자 구성요소이다. 예는 바이폴러 트랜지스터(bipolar transistor), 전계효과 트랜지스터(field-effect transistor) 및 집적회로이다. 수동 구성요소는 작용이 정적인 전자 구성요소이며, 즉, 일반적으로 증폭 혹은 진동은 할 수 없으며, 이들의 특징적인 작동에 대하여 일반적으로 전력을 필요로 하지 않는다. 예는 통상의 레지스터(resistors), 캐패시터(capacitors), 인덕터(inductors), 다이오드(diodes), 정류기(rectifiers) 및 퓨즈(fuses)이다.
본 명세서에서 의도되는 전자 구성요소는 또한, 도체, 반도체 혹은 절연체로 분류될 수 있다. 본 명세서에서, 도체는 전류에서와 같이, 원자들 사이를 전하 운반체(예를들어, 전자)가 쉽게 움직이도록 하는 구성요소이다. 도체 구성요소의 예는 회로 트레이스(circuit trace) 및 금속을 포함하는 바이어스(vias)이다. 절연체는 기능이 다른 구성요소와 전기적으로 분리되도록 사용되는 재료와 같은 전류의 전도에 대한 저항성이 매우 큰 재료의 성능과 실질적으로 관련되는 구성요소이며, 반도체는 도체와 절연체 사이의 자연적인 저항(natural resistivity)으로 전류를 전도할 수 있는 재료의 성능과 실질적으로 관련된 기능을 갖는다. 반도체 구성요소의 예는 트랜지스터, 다이오드, 일부 레이져, 정류기(rectifiers), 사이리스터(thyristor) 및 감광장치(photosensor)이다.
본 명세서에서 의도되는 전자 구성요소는 또한, 전력 공급원 혹은 전력 소비자로 분류될 수 있다. 전력 공급원 구성요소는 전형적으로 다른 구성요소에 전력을 공급하도록 사용되며, 배터리, 캐패시터, 코일 및 연료 전지를 포함한다. 전력 소비 구성요소는 레지스터, 트랜지스터, 집적회로(ICs), 센서등을 포함한다.
나아가, 본 명세서에서 의도되는 전자 구성요소는 또한, 디스크릿(discreet) 혹은 통합된(integrated)것으로 분류될 수 있다. 디스크릿(discrete) 구성요소는 회로의 한 장소에 집중된 하나의 특정한 전기적 특성을 제공하는 디바이스이다. 예는 레지스터, 캐패시터, 다이오드 및 트랜지스터이다. 통합된 구성요소는 회로의 한 장소에 여러가지 전기적 특성을 제공할 수 잇는 구성요소들의 조합이다. 예는 여러 구성요소 및 연결 트레이서가 결합되어 로직(logic)과 같은 다중 혹은 복합적 기능을 수행하는 집적회로이다.
종래 기술에 관한 도 1은 3-층 포토리소그래피 및 패터닝을 이용한 의도되는 트렌치(trench) 형성을 나타낸다.
도 2는 의도되는 조성물을 제조하는 의도되는 방법을 나타낸다.
도 3은 의도되는 반응 용기 배열을 나타낸다.
도 4는 유기 하부층으로서 노볼락 수지를 포함하는 3-층 구성요소로 부터 수집된 모사 반사데이타를 나타낸다.
도 5는 의도되는 필름에 대한 에이징 시간(aging time) 대 필름두께를 나타낸다.
도 6은 의도되는 조성물에 대한 에이징 시간 대 분자량을 나타낸다.
도 7은 의도되는 필름에 대한 에이징 시간 대 필름두께를 나타낸다.
실시예
실시예 1: 무반사 조성물의 제조(UVAS-A 배합물)
에탄올 480g 및 PGMEA 240g의 용매에, TEOS 266.62g, VTEOS 45.67g 및 PTEOS 19.23g의 단량체가 개별적으로 첨가된다. 0.1N 질산 9.04g 및 물 151.36g의 혼합물을 교반하면서 부었으며, 상기 반응혼합물을 81℃에서 4시간동안 가열하여 환류시고, 그 후 냉각한 다음에 부탄올 70.72g을 첨가하고 RT(실온)에서 밤새 교반하였다. 용매중의 중합체는 PGMEA 1884g으로 희석한 다음에, 1% TMAN(축합 촉매) 16.5g 및 5N 질산 1.77g을 첨가하였다. 1500rpm 스핀 속도에서 측정된 타켓 최종 배합물 두께는 상기 희석단계 도중에 첨가되는 PGMEA의 양으로 조절된다. 상기 용액을 여과하여 최종 생성물을 얻었다. 최종 용액은 필터 유니트(이는 임의적임.)를 통해 여과되며 다른 중합체의 제조에 중간체로서 현장(on-site)에서 사용되거나 혹은 상업적 판매를 위해 저장/포장되었다.
Mn ~ 1300amu 및 Mw ~ 2000amu의 분자량을 갖는 상기 중합체를 2690 Separations Module, 2410 RI 검출기(detector), 컬럼 오븐 및 고도로 교차결합된 구형 폴리스티렌/디비닐-벤젠 매트릭스를 함유하는 각각의 공극 크기 컬럼(100㎚, 50㎚ 및 10㎚)의 한 세트의 3 PL 겔(Polymer Laboratories)이 장착된 Waters Alliance GPC System으로 이동상으로 THF를 1.0㎖/min의 유속으로 하여 분석하였다.
상기 용액은 고형분 3.66% 및 물 4.29%, 에탄올 27.19%, 부탄올 2.03% 및 PGMEA 66.19%로 구성되며, 이는 Restek RTX-200으로 충진된 컬럼(320 ㎛ ID x 60m x 1㎛ 필름두께) 컬럼이 장착된 HP 6890 GC System으로 40℃로 개시하여 300℃까지 20℃/min으로 상승시키는 온도 프로그램에서 분석되었다. 상기 생성물은 스핀(spin)되고, 필름은 80㎚의 두께로 베이크되었다(1200 n&k 분석기로 확인된 n@193㎚=1.70, k@193㎚=0.15; 500:1의 DHF로 습식 에칭 속도 17Å/min; 플루오로카본 에칭 공정의 산화물 방법을 사용한 건식 에칭 속도 3390A/min (플라즈마 에칭 툴(tool) TEL Unity 2에서 상기 플루오로카본 에칭 방법: 압력 = 45mT, 전력 1500W, 에칭 가스 유속 = C4F8/CO/Ar/O2 = 10/50/200/5 (sccm)) 및 N2+O2 에칭 방법 경우는 150A/min(N2+O2 방법: 압력=20mT, 전력 = 1000W, O2/N2: 30sccm/120sccm, 시간= 30초)). 플로오로카본 에칭 및 N2+O2 에칭은 TEL Unity 2 에칭 툴을 사용하여 행하였다.
표 3은 실시예 및 상기한 표 2의 구성요소와 관련된 제품정보를 나타낸다.
[표 3]
물질 판매자 에탄올 Pharmco-AAPER (99.9% 에탄올 + 0.5% 톨루엔) PGMEA General Chemical (Exceeds ACS 급) TEOS Honeywell VTEOS Gelest, Inc 혹은 Aldrich Chemical PTEOS Ultra Pure Solution, Inc. 물 사내의 D.I 수 0.1N 질산 General Chemicals의 70% 질산으로 자사에서 제조 부탄올 Burdich & Jackson (ULSI 급) PGMEA 상동 1% TMAN Aldrich Chemicals의 96% TMAN으로 자사에서 제조 아세트산 General Chemicals 5N 질산 General Chemicals의 70% 질산으로 자사에서 제조 DHF 500: 1 General Chem에서 제조된 49% HF로 부터 자사에서 희석됨. THF Burdich & Jackson 콜린 히드록사이드 Aldrich TMAF Aldrich 베타인 Fluka 5F-PTEOS Gelest Ben-TEOS Gelest NCS-TEOS Gelest DEPE-TEOS Gelest
다-층(multi-layer) 패터닝 시스템(이중 BARC)에 대한 195㎚에서의 모사 반사 데이타(%R)를 도 4에 나타냈으며, 이는 유기 하부층으로 노볼락 수지를 포함하는 3-층 구성요소로 부터 수집된다. 상기 구현에서, 상기 3-층 구성요소는 실리콘 층 450, 두께가 약 250㎚인 노볼락-베이스 중합체 층 410(Honeywell International Inc.로 부터의 ACCUFLO), 가변적인 두께(100Å 내지 1000Å)를 갖는 본 명세서에서 의도되는 UVAS 코팅재료 420 및 ArF 포토레지스트 430을 포함한다. 193㎚에서 광학적 특성은 다음과 같았다: UVAS(n=1.7 및 k = 1.4); 노볼락(n=1.45 및 k=0.6); 포토레지스트(n=1.7 및 k는 제로). n 및 k 시뮬레이션은 비편광광을 갖는 수직입사광(normal incident light)만 이다. 상기 시뮬레이션은 초고감도의 두꺼운 Arf 포토레지스트를 추정한다.
본 개시사항의 목적과 관련하여, 사용된 n 및 k 측정기술은 정확하지 않다. 상기 개 개시사항의 목적과 관련하여, 상기 결과는 적합한 경향을 관찰하는 것으로 충분하다. 상세한 두께 연구에는 더욱 장기간의 공정인 두 가지의 다른 두께 값을 가로지르는 이중-반사 피팅 알고리즘(dual-reflectance fitting algorithm)의 사용이 요구될 수 있다. 본 명세서의 개시사항에 보고된 모든 결과는 기술 성질상 덜 정확한 단일 반사 알고리즘에 의한 것이다. 단일 반사 알고리즘에서 n 및 k값 모두 최고 ~0.04까지의 변화가 예상된다.
실시예 2: 의도되는 조성물의 저장기간 연구
실시예 1의 생성물을 제조한 후에 용액 QC(분자량에 대하여는 GPC로, 액체 성분에 대하여는 GC로 그리고 입자(particlts)에 대하여는 Partical Counter로) 및 필름 QC(두께에 대하여는 써모웨이브(Thermowave)를 그리고 습식 에칭 비율(wet etch rate)에 대하여는 DHF로)의 표준절차로 확인하였다. 생성물의 QC 데이타는 0일 에이징에서 고려되었다. 그 후, 상기 재료는 열리지 않은 새로운 병에서 실온 혹은 5℃에서 에이징(aging)되었다. 그 후, 상기 에이징된 재료를 15일, 30일등에서 동일한 QC 절차로 확인하여 에이징 일수에 따른 QC 항목의 변화를 보았다.
도 5-7(도 5는 A45이며, 도 6-7은 A80임)은 본 명세서에서 개시된 의도되는 조성물의 저장기간 연구에서 수집된 결과를 나타낸다. 참고로, UVAS A45는 실시예 1의 UVAS-A 배합물 및 1500RPM의 스핀-온 속도로 디포지트된 45nm두께를 갖지며(표 4-6), UVAS A80은 실시예 1의 UVAS-A 배합물 및 1500RPM의 스핀-온 속도로 디포지트된 80nm두께를 갖는다(표 7-8).
실시예 3: 193NM에서 감소된 N&K
에탄올 30g 및 PGMEA 15g의 용매에, TEOS 17.18g, VTEOS 2.85g 및 PTEOS 0.61g의 단량체가 개별적으로 첨가되었다. 0.1N 질산 0.57g 및 물 6.76g의 혼합물을 교반하면서 부었으며, 상기 반응혼합물을 81℃에서 4시간동안 가열하여 환류시킨 다음에, 냉각시키고 그 후에, 부탄올 4.42g을 첨가하고 상기 혼합물을 실온에서 밤새 교반하였다. 샘플 1에서와 같이, 결과물인 중합체를 동일한 GPC로 분석하였으며, Mn=996amu, Mw=1359amu의 분자량을 가졌다.
상기 용액 5.21g을 PGMEA 16.15g으로 희석하였으며, 상기 재료를 스핀하고, 상기 필름을 베이크(bake)하였다. 상기 필름 두께는 n@193㎚=1.6632, k@193㎚=0.0824에서 78㎚로 측정되었다.(실시예 1에서와 동일한 n&k 툴로 확인하였다.)
실시예 4: 실리콘 %의 35중량%로의 감소
에탄올 480g 및 PGMEA 240g의 용매에, TEOS 89.99g, VTEOS 197.92g 및 PTEOS 30.77g의 단량체가 개별적으로 첨가되었다. 0.1N 질산 9.04g 및 물 151.36g의 혼합물을 교반하면서 부었으며, 상기 반응혼합물을 81℃에서 4시간동안 가열하여 환류 시킨 다음에, 냉각시켰다. 부탄올 70.72g을 첨가하고 상기 혼합물을 실온에서 밤새 교반하였다.(상기 중합체는 앞의 실시예에서 언급한 바와 같이 동일한 GPC 시스템으로 분석되었으며, Mn=745amu, Mw=929amu 였다.)
상기 용액 743.6g을 PGMEA 1115.4g으로 희석하였으며, 그 후, 1% TMAN 9.33g의 축합촉매 및 5N 질산 1.03g을 첨가하였다. 상기 희석된 용액을 여과하여 최종 생성물을 수집하였다. 스핀(spin) 및 상기 필름을 베이크 한 다음에 측정된 두께는 70㎚였으며, DHF 500:1에서 습식 에칭 속도(wet etch rate)는 1A/min였다. 상기한 다른 실시예에서 사용된 것과 동일한 툴(tool)을 사용하여, 플라즈마 에칭 속도는 산화물 방식의 경우는 1394 A/min이었으며 N2+O2 에칭 방식의 경우는 300 Å/min였다.
실시예 5: 248 NM 및 365 NM 에서 흡수하도록 디자인된 시스템
1-리터 플라스크에서, 2-프로판올 297g, 아세톤 148g, TEOS 123g, METOS 77g, 9-안트라센 카르복시-메틸 트리에톡시실란 60g, 0.1M 질산 0.6g 및 탈이온수 72g을 배합하였다. 상기 플라스크를 4시간동안 환류시켰다. 상기 용액에, 부탄올 115g, 2-프로판올 488g, 아세톤 245g, 에탄올 329g 및 탈이온수 53g을 첨가하였다. 상기 용액을 여과하였다. 상기 용액을 분배(dispense)한 다음에, 20초동안 3000 rpm 두께 스핀하고, 80℃ 및 180℃에서 각각 1분동안 베이크하였다. 최종 중합체는 Mw = 1200amu의 분자량을 갖는다. 실시예 1과 동일하게 사용하여 광학적 특성을 측 정하였다. 상기 필름 두께는 1635Å였다. 248㎚에서, 굴절률(n)은 1.373이었으며, 흡광계수(k)는 0.268이었다. 더욱이, TESAC는 365nm의 흡수를 가지며, 본 실시예의 로딩(loading)에서, 365nm에서의 n&k 값은 각각 1.55 및 0.06이다. 248㎚ 및 365nm에서 흡광계수는 첨가되는 TESAC(9-안트라센 카르복시-메틸 트리에톡시실란)의 양을 감소/증가시키므로써 낮아지거나/증가될 수 있다.
실시예 6: N&K 감소를 위한 PTEOS의 5F-PETOS로의 대체
에탄올 30g 및 PGMEA 15g의 용매에, TEOS 17.23g, VTEOS 2.85g 및 펜타플루오로페닐트리에톡시실란(5F-PTEOS) 0.79g의 단량체가 개별적으로 첨가되었다. 0.1N 질산 0.57g 및 물 6.76g의 혼합물을 교반하면서 부었으며, 상기 반응혼합물을 81℃에서 4시간동안 가열하여 환류시킨 다음에, 냉각시켰다. 부탄올 4.42g을 첨가하고 상기 혼합물을 실온에서 밤새 교반하였다. 결과물인 중합체는 앞의 실시예에서 언급한 바와 같이 동일한 GPC 시스템으로 분석하였으며 Mn=984amu, Mw=1372amu의 분자량을 갖는다.
상기 용매 혼합물중의 중합체를 PGMEA 218g으로 희석하였으며, 상기 용액은 스핀되고, 필름은 베이크 되었으며, 측정된 두께는 53nm였다(상기와 동일한 n&k 툴을 사용하였으며, n@193nm=1.61; k@193nm=0.03)
실시예 7: PTEOS를 함유하지 않음(단지 TEOS/VTEOS)
에탄올 30g 및 PGMEA 15g의 용매에, TEOS 16.66g, VTEOS 3.81g의 단량체만이 개별적으로 첨가되었다. 0.1N 질산 0.57g 및 물 6.76g의 혼합물을 교반하면서 부었으며, 상기 반응혼합물을 81℃에서 4시간동안 가열하여 환류시킨 다음에, 냉각시키고, 부탄올 4.42g을 첨가하고 실온에서 밤새 교반하였다.(상기 중합체는 상기한 동일한 GPC 시스템으로 분석하였으며 Mn 1021 amu 및 Mw 1349amu의 분자량은 가짐을 나타내었다.)
상기 용액 8.18g을 PGMEA 13.9g으로 희석하였다. 상기 희석된 용액은 스핀하고, 두께 70nm의 필름이 되도록 베이크하였다.(상기와 동일한 n&k 툴을 사용하여 n@193nm=1.62; k@193nm=0.03)
실시예 8: 물 함량이 조절된 투명한 시스템( TEOS 만 함유)
본 실시예에서, 교차결합 밀도를 증가시키기 위해 다량의 물을 포함시킴과 함께 TEOS가 사용된다. 두 가지의 의도되는 조성물(낮은 물함량 및 높은 물 함량)이 제조되며, 두 조성물의 차이는 하기 표 9(물함량이 낮은 조성물) 및 표 10(물함량이 높은 조성물)에 나타낸 바와 같이 사용된 물의 양이다.
[표 9]
IPA 445.560
TEOS 243.425
0.1M 질산 5.599
DI 수 66.860
실란의 총 중량 243.425
총 중량 761.444
[표 10]
IPA 445.560
TEOS 243.425
0.1M 질산 5.599
DI 수 120.348
실란의 총 중량 243.425
총 중량 814.932
물함량이 낮은 조성물은 다음과 같이 제조된다:
IPA 445.56g 용매에, TEOS 243.425g 단량체(혹은 특정 비율의 다른 단량체)가 첨가된다. 0.1N 질산 5.599g 및 물 66.86g의 혼합물을 교반하면서, 상기 반응혼합물을 50℃에서 4시간동안 가열한 다음에, 냉각시키고 실온에서 밤새 교반하였다. 상기 용매중의 중합체를 에탄올:2-헵타논(70:30 혼합) 2284.332g으로 희석하였다. 이는 저 pH 제품을 생성하였다(pH ~2.5). 더 높은 pH( ~4)제품은 아미노프로필 TEOS (APTEOS)(염기) 0.1056g을 첨가하여 제조하였다. 상기 용액을 여과하여 최종 제품을 얻었다. 최종 용액은 여과 유니트(이는 임의적임)를 통해 펌프되었으며 다른 중합체의 제조에 중간체로서 현장에서 사용되거나 혹은 상업적으로 판매하기 위해 저장/보정되었다. (분석: GC로, 고형분 함량 3.02%; 물 1.35%, 에탄올 60.38%, IPA 14.08% 및 2-헵타논 21.18%). 상기 조성물에 대하여, n은 1.50에서 측정되었으며, k는 0에서 측정되었다. 250℃에서 베이크된 필름에 대하여, Å/min으로 나타 낸, 500:1 BOE에 대한 습식 에칭 속도 혹은 WER은 3760으로 측정되었다. 상기 PTEOS 옥사이드 습식 에칭 속도는 30Å/min이었다. Mn 1303 amu 및 Mw 1809 amu의 분자량은 상기한 바와 같와 동일한 GPC 시스템으로 측정되었다.
물함량이 높은 조성물은 다음과 같이 제조된다:
IPA 445.56g 용매에, TEOS 243.425g 단량체(혹은 특정 비율의 다른 단량체)가 첨가된다. 0.1N 질산 5.599g 및 물 120.348g의 혼합물을 교반하면서, 상기 반응혼합물을 50℃에서 4시간동안 가열한 다음에, 냉각시키고 RT에서 밤새 교반하였다. 상기 용매중의 중합체를 에탄올:2-헵타논(70:30 혼합) 1214.248g으로 희석하였다. 이는 저 pH 제품을 생성하였다(pH~2.5). 더 높은 pH( ~4) 제품은 APTEOS(염기) 0.0912g을 첨가하여 제조하였다. 상기 용액을 여과하여 최종 제품을 얻었다. 최종 용액은 여과 유니트(이는 임의적임)를 통해 펌프되었으며 다른 중합체의 제조에 중간체로서 현장에서 사용되거나 혹은 상업적으로 판매하기 위해 저장/보정되었다. (분석: GC로, 고형분 함량 4.07%; 물 4.39%, 에탄올 54.91%, IPA 22.39% 및 2-헵타논 14.24%). 상기 조성물에 대하여, n은 1.54에서 측정되었으며, k는 0에서 측정되었다. 250℃에서 베이크된 필름에 대하여, Å/min으로 나타낸, 500:1 BOE에 대한 습식 에칭 속도 혹은 WER은 300으로 측정되었다. 상기 PTEOS 옥사이드 습식 에칭 속도는 30Å/min이었다. Mn 2050 amu 및 Mw 3362 amu의 분자량은 상기한 바와 동일한 GPC 시스템으로 측정되었다.
본 실시예서, 상기 성분은 다음의 공급원으로 부터 사용하였다:
성분 판매자 IPA Ultrapure TEOS Honeywell 물 사내의 D.I수 0.1N 질산 General Chemicals의 70%질산으로 부터 사내에서 제조 에탄올 Pharmco-AAPER (99.5% 에탄올 + 0.5% 톨루엔) 2-헵타논 Aldrich APTEOS Aldrich
교차결합 밀도를 더욱 증가시키기 위해서 pH를 ~4로 증가시키고자 하는 경우에, 두 경우 모두 ATPEOS가 첨가되었다. "적은" 혹은 "낮은" 물 함량 조성물에, APTEOS 438ppm이 첨가되었다. "높은" 혹은 "많은" 물 함량 조성물에, APTEOS 375ppm이 첨가되었다. 어떠한 APTEOS가 첨가되지 않은 경우를 표 11에서 "pH 2.5"로 나타내었다. 실리케이트만의 시스템에 대하여, 필름은 투명하다.(즉, k=0). 표 11에 나타낸 바와 같이, 물 함량이 보다 많은 혹은 증가된 조성물은 플라즈마 에칭 속도가 현저하게 감소되었다. PTEOS 산화물 플라즈마 에칭 속도는 2.91 Å/초이었다. 상기 에칭 시험결과에 대하여 사용된 에칭 방법은 20mTorr, 1000W, N2/O2 = 120/30 sccm이었다.
[표 11]
필름 에칭 시간 (sec) TH. 에칭전 (pre-etch) Å TH. 에칭후 (post-etch) Å 에칭속도 (Å/MIN) 에칭속도 (Å/SEC) NONUNI, 에칭전 (%) NONUNI, 에칭후 (%)
적은 물 pH=2.5 15 833 739 373.98 6.23 0.97 0.98
적은 물 pH=4 15 812 733 316.57 5.28 0.97 0.98
많은 물 pH=2.5 15 797 757 159.57 2.66 0.97 0.98
많은 물 pH=4 15 826 781 178.26 2.97 0.97 0.87
실시예 9: VTEOS를 함유하지 않으며, 단지 PTEOS 및 TEOS만을 함유하는 시스템
에탄올 600g 및 PGMEA 300g의 용매에, TEOS 395.77g 및 PTEOS 24.04g의 단량체가 개별적으로 첨가되었다. 0.1N 질산 11.3g 및 물 189.2g의 혼합물을 교반하면서 부었으며, 상기 반응혼합물을 81℃에서 4시간동안 가열하여 환류시킨 다음에, 냉각시켰다. 부탄올 88.4g을 첨가하고 혼합물을 실온에서 밤새 교반하였다. 상기 결과물인 중합체는 상기한 동일한 GPC 시스템으로 분석한 Mn=1828 amu, Mw=3764 amu의 분자량을 가졌다.
상기 중합체 용액 1635g을 PGMEA 6865g으로 희석한 다음에, 1% TMAN(축합 촉매) 21.34g 및 5N 질산 2.0g을 첨가하였다. 상기 희석된 용액을 여과하여 최종 제품을 수집하였다. 스핀 및 필름을 베이크한 다음에, 31nm의 두께를 가졌으며, 습식 에칭 속도는 DHF 500:1에서 63 Å/min 였다.
실시예 10: 다른 축합 촉매
에탄올 480g 및 PGMEA 240g의 용매에, TEOS 266.62g, VTEOS 45.67g 및 PTEOS 19.23g의 단량체(혹은 특정 비율의 다른 단량체들)가 개별적으로 첨가되었다. 0.1N 질산 9.04g 및 물 151.36g의 혼합물을 교반하면서 부었으며, 상기 반응혼합물을 81℃에서 4시간동안 가열하여 환류시킨 다음에, 냉각시켰다. 부탄올 70.72g을 상기 반응혼합물에 첨가하고 실온에서 밤새 교반하였다. 상기 결과물인 중합체는 상기한 동일한 GPC 시스템으로 분석하였으며, Mn=1153 amu, Mw=1802 amu의 분자량을 가졌다.
A) 상기 중합체 용액 40g에, PGMEA 58.8g, 상기 촉매, 물에 용해된 0.45% 콜린 히드록사이드 0.46g 및 아세트산 0.5g을 최종 제품이 되도록 첨가하였다(동일한 GPC 시스템으로 분석한 결과, 상기 중합체는 Mn=1073, Mw=1768이었다). 상기 용액을 여과 및 스핀하고, 필름을 두께 73㎚가 되도록 베이크 하였으며, 상기와 동일한 에칭툴에서 습식에칭속도는 500:1의 DHF에서 82 Å/min이며, N2+O2 에칭 방법에서 플라즈마 에칭속도는 203Å/min.였다.
B) 상기 중합체 용액 40g에, PGMEA 58.8g, 상기 촉매, 물에 용해된 2.5% 테트라메틸암모늄포르메이트(TMAF) 0.08g 및 아세트산 0.5g을 최종 제품이 되도록 첨가하였다(동일한 GPC 시스템으로 분석한 결과, 상기 중합체는 Mn=1112, Mw=1805이었다.) 상기 용액을 여과 및 스핀하고, 필름을 두께 74㎚가 되도록 베이크 하였으며, 상기와 동일한 에칭 툴에서 습식에칭속도는 500:1의 DHF에서 71 Å/min이며, N2+O2 에칭 방법에서 플라즈마 에칭속도는 209Å/min. 였다.
C) 상기 중합체 용액 40g에, PGMEA 58.8g, 상기 촉매, 물에 용해된 1% 베타인 0.21g 및 아세트산 0.5g을 최종 제품이 되도록 첨가하였다(동일한 GPC 시스템으로 분석한 결과, 상기 중합체는 Mn=1120, Mw=1789이었다.) 상기 용액을 여과 및 스핀하고, 필름을 두께 74㎚가 되도록 베이크 하였으며, 상기와 동일한 에칭 툴에서 습식에칭속도는 500:1의 DHF에서 85 Å/min이며, N2+O2 에칭 방법에서 플라즈마 에칭속도는 215Å/min. 였다.
실시예 11: PTEOS를 벤질 트리에톡시실란(BEN-TEOS)로 대체
에탄올 30g 및 PGMEA 15g의 용매에, TEOS 17.23g, VTEOS 2.85g 및 Ben-TEOS 0.59g단량체가 개별적으로 첨가되었다. 0.1N 질산 0.57g 및 물 6.76g의 혼합물을 교반하면서 부었으며, 상기 반응혼합물을 81℃에서 4시간동안 환류되도록 가열한 다음에 냉각시켰다. 부탄올 4.42g을 첨가하고 상기 혼합물을 실온에서 밤새 교반하였다. 상기 결과물인 중합체는 상기한 동일한 GPC 시스템으로 분석하였으며, Mn=956 amu, Mw=1386 amu의 분자량을 가졌다. 상기 용매 혼합물중의 중합체를 PGMEA 123g으로 희석하였으며, 상기 용액을 스핀하였고, 상기 필름을 베이크하였으며 81㎚의 두께를 갖는 것으로 측정되었다(상기와 동일한 n&k 툴을 사용하였으며, n=@193㎚ 1.63; k@ 193㎚=0.08).
실시예 12: 티오시아나프로필트리에톡시실란(Thiocyanapropyltriethoxysilane) (NCS-TEOS)의 도입에 의한 N&K 감소
에탄올 30g 및 PGMEA 15g의 용매에, TEOS 16.46g, VTEOS 2.85g 및 PTEOS 0.72g 단량체가 각각 개별적으로 NCS-TEOS 0.79g과 함께 첨가되었다. 0.1N 질산 0.57g 및 물 6.76g의 혼합물을 교반하면서 부었으며, 상기 반응혼합물을 81℃에서 4시간동안 환류되도록 가열한 다음에 냉각시켰다. 부탄올 4.42g을 첨가하고 상기 혼합물을 실온에서 밤새 교반하였다. 상기 결과물인 중합체는 상기한 동일한 GPC 시스템으로 분석한 결과, Mn=900 amu, Mw=1300 amu의 분자량을 가졌다. 상기 용매 혼합물중의 중합체를 PGMEA 123g으로 희석하였으며, 상기 용액을 스핀하였고, 상기 필름을 베이크하였으며 두께는 85㎚인 것으로 나타났다.(상기와 동일한 n&k 툴을 사용하였으며, n@193㎚=1.65; k@193㎚=0.09).
실시예 13: 디에틸포스포토에틸트리에톡시실란(Diethylphosphotoethyltriethoxy silane)(DEPE-TEOS)의 사용에 의한 N&K 감소
에탄올 30g 및 PGMEA 15g의 용매에, TEOS 16.25g, VTEOS 2.85g, PTEOS 0.962g 및 DEPE-TEOS 0.99g 단량체가 각각 개별적으로 첨가되었다. 0.1N 질산 0.57g 및 물 6.76g의 혼합물을 교반하면서 부었으며, 상기 반응혼합물을 81℃에서 4시간동안 환류되도록 가열한 다음에 냉각시켰다. 부탄올 4.42g을 첨가하고 혼합물을 실온에서 밤새 교반하였다. 상기 결과물인 중합체는 상기한 동일한 GPC 시스템으로 분석하였으며, Mn=925 amu, Mw=135 amu의 분자량을 가졌다. 상기 용매 혼합물중의 중합체를 PGMEA 122g으로 희석하였으며, 상기 용액을 스핀(spin)하였고, 상기 필름을 베이크하였으며 두께는 85㎚인 것으로 나타내었다.(상기와 동일한 n&k 툴을 사용하였으며, n@193㎚=1.60; k@193㎚=0.10).
실시예 14: TMAN 함량 감소
에탄올 60g 및 PGMEA 30g의 용매에, TEOS 33.33g, VTEOS 5.71g 및 PTEOS 2.40g 단량체가 각각 개별적으로 첨가되었다. 0.1N 질산 1.13g 및 물 18.92g의 혼합물을 교반하면서 부었으며, 상기 반응혼합물을 81℃에서 4시간동안 환류되도록 가열한 다음에 냉각시켰다. 부탄올 8.84g을 상기 반응혼합물에 첨가하고 실온에서 밤새 교반하였다. 상기 결과물인 중합체는 상기한 동일한 GPC 시스템으로 분석하였으며, Mn=1121 amu, Mw=1723 amu의 분자량을 갖는 것으로 측정되었다.
옵션 1:
상기 중합체 용액 17.94g에, PGMEA 26.01g, 1% TMAN 수용액 0.153g, 1N 질산 0.09g을 최종 생성물이 되도록 첨가하였다. 상기 중합체의 분자량은 상기한 바와 동일한 GPC 시스템을 사용하여 Mn=1112 amu 및 Mw=1717 amu로 측정되었다. 상기 용액을 여과하고 필름이 형성되도록 Si 웨이퍼상으로 스핀(spin)하였다. 상기 필름을 250℃에서 90초동안 베이크하여, 그 결과 두께가 82㎚가 되었다(n@193㎚=1.6608; k@193㎚=0.1310). 상기 필름의 500:1 DHF 습식 에칭 속도는 12 Å/min.였다. 이의 플라즈마 에칭속도는 앞에서 정의한 플루오로카본 에칭 공정 에칭 방법을 사용하여 3127Å/min. 였다.
옵션 2:
상기 중합체 용액 17.85g에, PGMEA 25.88g, 1% TMAN 수용액 0.076g, 1N 질산 0.041g을 최종 생성물이 되도록 첨가하였다. 상기 중합체의 분자량은 상기한 바와 동일한 GPC 시스템을 사용하여 Mn=1112 amu 및 Mw=1735 amu로 측정되었다. 상기 용액을 여과하고 필름이 형성되도록 Si 웨이퍼상으로 스핀(spin)하였다. 상기 필름을 250℃에서 90초 동안 베이크 하였으며, 그 결과 두께가 84㎚가 되었다(n@193㎚= 1.6665; k@193㎚=0.1460). 상기 필름의 500:1 DHF 습식 에칭 속도는 10 Å/min. 였다. 이의 플라즈마 에칭속도는 앞에서 정의한 플루오로카본 에칭 공정 에칭 방법을 사용하여 3114Å/min. 였다.
실시예 15: 두가지 아민염 시스템( TMAN APTEOS -트리플레이트( triflate ))
에탄올 60g 및 PGMEA 30g의 용매에, TEOS 33.33g, VTEOS 5.71g 및 PTEOS 2.40g 단량체가 각각 개별적으로 첨가되었다. 0.1N 질산 1.13g 및 물 18.92g의 혼합물을 교반하면서 부었으며, 상기 반응혼합물을 81℃에서 4시간동안 환류되도록 가열한 다음에 냉각시켰다. 부탄올 8.84g을 상기 반응혼합물에 첨가하고 실온에서 밤새 교반하였다. 상기 결과물인 중합체는 상기한 동일한 GPC 시스템으로 분석하였으며 Mn=1121 amu, Mw=1723 amu의 분자량을 갖는 것으로 측정되었다. APTEOS-트리플레이트 모액은 20% 트리플릭산(triflic acid) 수용액 4.89g (Aldrich) 및 APTEOS 1.425g으로 부터 새로 제조하였다(모액중 APTEOS 22.6중량%).
옵션 1:
상기 중합체 용액 21.45g에, PGMEA 31.12g, 1% TMAN 수용액 0.092g, 1N 질산 0.049g 및 상기한 APTEOS-트리플레이트 모액 0.0066g을 최종 생성물을 얻기위해 첨가하였다. 상기 중합체의 분자량은 상기한 바와 동일한 GPC 시스템을 사용하여 Mn=1165 amu 및 Mw=1805 amu로 측정되었다. 상기 용액을 여과하고 필름이 형성되도록 Si 웨이퍼상으로 스핀(spin)하였다. 상기 필름을 250℃에서 90초동안 베이크하였으며, 그 결과 두께가 84㎚가 되었다(n@193㎚=1.6764; k@193㎚=0.1600). 상기 필름의 500:1 DHF 습식 에칭 속도는 15 Å/min.였다. 이의 플라즈마 에칭속도는 앞서 정의된 플루오로카본 에칭 공정 에칭 방법을 사용하여 3369Å/min. 였다.
옵션 2:
상기 중합체 용액 21.45g에, PGMEA 31.12g, 1% TMAN 수용액 0.076g, 1N 질산 0.041g 및 상기한 APTEOS-트리플레이트 모액 0.0132g을 최종 생성물을 얻기 위해 첨가하였다. 상기 중합체의 분자량은 상기한 바와 동일한 GPC 시스템을 사용하여 Mn=1149 amu 및 Mw=1784 amu로 측정되었다. 상기 용액을 여과하고 필름이 형성되도록 Si 웨이퍼상으로 스핀(spin)하였다. 상기 필름을 250℃에서 90초동안 베이크하였으며, 그 결과 두께가 85㎚가 되었다(n@193=1.6919; k@193=0.1876). 상기 필름의 500:1 DHF 습식 에칭 속도는 19 Å/min. 였다. 이의 플라즈마 에칭속도는 앞서 정의된 플루오로카본 에칭 공정 에칭 방법을 사용하여 3382Å/min. 였다.
실시예 16: 3-층 패터닝 적층(stack)에 UVAS를 사용하는 방법
패턴화되는 기판 필름 혹은 필름들은 유기 하부층(Organic Under Layer:OUL) 재료로 먼저 코팅된다. OUL의 디포지션(deposition)은 가장 전형적으로는 스핀 코트 공정인 전형적인 필름 디포지션을 사용하여 행한다. 그 후, 코팅된 OUL 필름은 200-300℃ 온도범위로 베이크된다. 상기 OUL 두께는 존재할 수 있는 어떠한 토포그래피(topography)를 완전히 채워서 평탄화하도록 선택된다. 전형적으로, 상기 OUL 두께는 약 200 내지 300nm이다. 3-층 패터닝은 기판 토포그래피가 존재하거나 혹은 존재하지 않는 경우의 적용(application)에 사용될 수 있다. 일부 기본적인 OUL 재료의 특징은:
- 플라즈마 에칭 도중에 개선된 중요한 크기(dimension) 제어를 제공하는 높은 탄소 대 산소(C/O) 조성비
- 타켓(target) 파장에서의 흡수(k>0.25)
- 타켓파장에서의 굴절률(n<1.7)
- 기계적으로 강하고 단단하여 에칭 패터닝 후에 라인이 붕괴되지 않음.
- 산화 혹은 환원 플라즈마 에칭 화학물질을 사용한 용이한 에칭 및 제거
- 슬롯(slots), 홀(holes) 및 스페이스(spaces)와 같은 좁고, 어스펙드 비(aspect ratio)가 큰 형태의 결함이 없는 충진 및 평탄화.
- 후속적인 필름 디포지션 공정도중에 축합물이 방출되는 않는 열적 안정성.
노볼락 수지가 OUL 재료로 고려되고 사용되어 왔으나, 이들은 최첨단 ArF 패터닝에 사용되기에는 기계적 강건성(roustness)가 약하고 너무 낮은 C/O 비율을 나타내었다. 상업적으로 이용가능한 OUL의 예는 JSR Inc.의 HM8005이다. HM8005은 193nm에서 n & k가 각각 1.5 및 0.29인 나프탈렌-베이스 중합체 시스템이다. 보고된 노볼락 수지에 대한 플라즈마 에칭 속도는 0.85이다.
OUL 필름의 디포지션 후에, 3층 패터닝에서 다음 단계는 SiO2 베이스 UV 흡수 중간층 필름(UV absorbing middle layer film, UVAS) 필름을 디포지트하는 것이다. UVAS의 의도되는 구현에 대한 재료의 특성은 본 명세서에서 이미 기술되었다. UVAS의 두께는 기판 반사율 및 기판 필름에 대하여 요구되는 플라즈마 에칭 마진(plasma etch margin)에 따라서 선택된다. 최종 필름 두께를 달리하는 3개의 UVAS 배합물이 존재한다. 모든 두께 값은 30초동안 1500rpm으로 스핀 코트(spin coat)한 다음에 90초 동안 250℃에서 베이크하여 측정된다.
- UVAS-A25(25㎚) - 193㎚ 입사광에 대한 최소 제 1 반사율
- UVAS-A45(45㎚) - 193㎚ 입사광에 대한 최대 제 1 반사율
- UVAS-A80(80㎚) - 193㎚ 입사광에 대한 최소 제 2 반사율
UVAS는 가장 전형적으로는 스핀 코트 공정인 전형적인 필름 디포지션 공정을 사용하여 OUL 필름상으로 직접 디포지션된다. UVAS의 두께는 스핀속도를 변경하여 조절된다. 그 후, 상기 UVAS 필름은 200 - 250℃로 베이크된다.
3-층 패터닝에 대한 최종 필름 디포지션 단계는 193nm 흡수 포토레지스트(ArF PR)의 디포지션이다. 전형적인 ArF PR 중합체는 각각 광산 발생제(photoacid generators, PAGs) 및 켄쳐 화학물질(quencher chemistries)를 함유하는 아크릴레이트, 메타크릴레이트 혹은 일반적으로 유기(organo)-아크릴레이트 베이스 중합체 혹은 공중합체 시스템이다. 전형적인 이들 ArF PRs는 포지티브 톤(positive tone)이지만, 또한, 네거티브 톤일 수 있다. 상업적으로 이용가능한 ArF PRs의 최대한 간단한 리스트는 JSR AR2459J, JSR AR1863J, ShinEtsu SAIL-X123 및 TOK P-6111이다. 각각의 PR 제조자는 노광 속도(exposure speed), 최종사용 적용, 내에칭성 및 콘트라스트(contrast)가 다른 ArF PRs의 다양한 선택을 제공한다. 상기한 PRs는 IC 분야에서 사용할 수 있는 것들을 언급한 것이다.
상기 ArF PR은 가장 전형적으로는 스핀 코트 공정인 전형적인 필름 디포지션 공정을 사용하여 UVAS상에 직접 디포지션된다. ArF PR의 두께는 스핀속도를 변경하여 조절된다. 그 후, 상기 ArF PR 필름은 약 90 - 130℃의 온도로 베이크된다. 최종 ArF PR 필름 두께는 적용 및 패턴화되는 크기에 따라 250nm 내지 100nm 범위이다.
3-층 패터닝 필름 적층이 이제 완성되었다. 다음의 단계에서, ArF PR는 상기 포토레지스트를 노광하도록 마스크를 통해 193nm 빛이 조사된다. 그 후, ArF PR는 베이크되고 패턴화된 ArF PR 피쳐(feature)을 남기도록 현상된다. 그 후, ArF PR의 패턴화된 면적은 본 특허에서 언급한 각각의 플라즈마 에칭 화학물질을 사용하여 플라즈마 에칭 공정으로 먼저 하부의(underlyaing) UVAS필름에 그 후에 바닥의 OUL 필름으로 전사된다. 상기 제시된 방법은 화학물질 뿐만 아니라, 에칭 툴(tool) 모델 및 형태 모두에 대하여 존재하는 많은 다양한 변형으로서 단지 예를든 것이다.
OUL필름의 에칭도중에, ArF PR이 에칭되어 제거되며, 따라서, 상기 UVAS필름이 상기 플라즈마 에칭 마스트가 되며, 상기 OUL에칭 도중에 플라즈마 이온 플럭스의 직직접적인 노출을 받는다. 일단, OUL 에칭이 완성되며, 상기 패턴은 이제, 기판 필름으로 전사된다. 기판 필름 혹은 필름 적층을 에칭하는데 사용되는 플라즈마 에칭 화학물질은 에칭되는 기판의 타입에 의존한다. 예를들어, SiO2, Al, Si 층의 에칭은 다른 타입의 플라즈마 에칭 화학물질을 모두 사용할 수 있다. 기판 에칭도중에, 상기 UVAS층이 제거되며, 따라서, 상기 OUL이 에칭마스크로 제조되며, 상기 OUL은 플라즈마 이온 플럭스의 직접적인 노출(exposure)을 받는다. 일단 패턴이 기판 필름 혹은 필름 적층에 전사되면, 상기 OUL층은 습식(선택적인 습식 에칭 화학물질) 혹은 건식(플라즈마, 초임계 상태의 CO2(super critical CO2)) 스트립 공정을 사용하여 제거된다. 상기 본래 ArF PR층으로 패턴화된 피쳐(feature)는 기판 필름 혹은 필름 적층에 성공적으로 전사되며, 패턴화된 기판 필름 혹은 필름 적층은 다음 제조단계에 사용될 수 있다.
따라서, 3-층 적용, 제조방법 및 이의 용도에 대한 조성물 및 조성물, 코팅 및 필름을 제조하는 방법의 특정한 구현예 및 적용(응용)이 개시된다. 그러나, 이 기술분야의 기술자는 본 발명의 범위내에서 이미 기술된 것 이외의 많은 변형이 가능함을 명백하게 이해할 것이다. 따라서, 본 발명은 첨부된 특허청구범위로 제한되는 것은 아니다. 더욱이, 상세한 설명 및 특허청구범위의 해석에 있어서, 모든 용어는 문맥에 맞게 가장 넓은 방식으로 해석되어야 한다. 특히, 용어 "포함한다" 및 "포함하는"은 언급된 성분, 구성요소 혹은 단계가 존재하며, 혹은 이용되며 혹은 명백하게 언급되지 않은 다른 성분, 구성요소 혹은 단계와 조합됨을 나타내는 비제한적인 방식으로 성분, 구성요소 혹은 단계를 칭하는 것으로 해석되어야 한다.

Claims (33)

  1. 매트릭스를 가지며,
    중합체의 매트릭스를 형성하는 최소 일 타입의 실리콘-베이스 부분, 상기 중합체의 매트릭스에 연결된 다수의 비닐 그룹 및 상기 중합체의 매트릭스에 연결된 다수의 페닐 그룹을 포함하는 배합된 중합체,
    최소 하나의 축합 촉매, 및
    최소 하나의 용매를 포함하는 3-층 적용에 사용되는 조성물.
  2. 제 1항에 있어서, 상기 최소 일 타입의 실리콘-베이스 부분은 최소 하나의 고비율 무기 화합물, 최소 하나의 흡수 화합물, 최소 하나의 부착 촉진제 혹은 이들의 조합을 포함함을 특징으로 하는 조성물.
  3. 제 1항에 있어서, 상기 최소 일 타입의 실리콘-베이스 부분은 최소 하나의 알콕시 부분을 포함함을 특징으로 하는 조성물.
  4. 제 3항에 있어서, 상기 최소 하나의 알콕시 부분은 테트라에톡시실란, 페닐 트리에톡시실란, 비닐트리에톡시실란 혹은 이들의 조합을 포함함을 특징으로 하는 조성물.
  5. 제 1항에 있어서, 상기 중합체는 최소 30중량%의 실리콘을 포함함을 특징으로 하는 조성물.
  6. 제 5항에 있어서, 상기 중합체는 최소 40중량%의 실리콘을 포함함을 특징으로 하는 조성물.
  7. 제 1항에 있어서, 상기 다수의 비닐 그룹중 최소 일부는 비닐트리에톡시실란으로 부터 기인함을 특징으로 하는 조성물.
  8. 제 1항에 있어서, 상기 다수의 페닐 그룹중 최소 일부는 페닐트리에톡시실란으로 부터 기인함을 특징으로 하는 조성물.
  9. 제 1항에 있어서, 최소 하나의 부가적인 부착 촉진제를 추가로 포함함을 특징으로 하는 조성물.
  10. 제 1항에 있어서, 최소 하나의 흡수 화합물을 추가로 포함함을 특징으로 하는 조성물.
  11. 제 9항에 있어서, 상기 최소 하나의 부가적인 부착 촉진제는 염기를 포함함을 특징으로 하는 조성물.
  12. 제 11항에 있어서, 상기 최소 하나의 부가적인 부착 촉진제는 질소를 포함함을 특징으로 하는 조성물.
  13. 제 11항에 있어서, 상기 최소 하나의 부가적인 부착 촉진제는 인을 포함함을 특징으로 하는 조성물.
  14. 제 12항에 있어서, 상기 최소 하나의 부착 촉진제는 아민 염기를 포함함을 특징으로 하는 조성물.
  15. 제 14항에 있어서, 상기 최소 하나의 부착 촉진제는 암모늄, 피리딘, 아닐린, TMAH, CTAH, TBAH, APTEOS 혹은 이들의 조합을 포함함을 특징으로 하는 조성물.
  16. 제 12항에 있어서, 상기 최소 하나의 부착 촉진제는 최소 하나의 아민염을 포함함을 특징으로 하는 조성물.
  17. 제 16항에 있어서, 상기 최소 하나의 아민염은 약산/강염기 혹은 강산/약염기를 포함함을 특징으로 하는 조성물.
  18. 제 11항에 있어서, 상기 최소 하나의 부착 촉진제는 중성 화합물을 포함함을 특징으로 하는 조성물.
  19. 제 11항에 있어서, 상기 최소 하나의 축합 촉매는 최소 하나의 아민염을 포함함을 특징으로 하는 조성물.
  20. 제 19항에 있어서, 상기 최소 하나의 아민염은 TMAN을 포함함을 특징으로 하는 조성물.
  21. 제 1항에 있어서, 최소 하나의 pH 조절제를 추가로 포함함을 특징으로 하는 조성물.
  22. 제 21항에 있어서, 상기 최소 하나의 pH 조절제는 산을 포함함을 특징으로 하는 조성물.
  23. 제 22항에 있어서, 상기 산은 질산을 포함함을 특징으로 하는 조성물.
  24. 제 1항에 있어서, 상기 최소 하나의 용매는 PGMEA, 에탄올, 부탄올, 물 혹은 이들의 조합을 포함함을 특징으로 하는 조성물.
  25. 청구항 1의 조성물로 부터 형성된 필름.
  26. 제 25항에 있어서, 상기 필름은 희생적임(sacrifical)을 특징으로 하는 필름.
  27. 유기 하부층;
    상기 유기 하부층에 연결된 청구항 24의 필름; 및
    상기 필름에 연결된 포토레지스트 재료를 포함하는 3층 구조.
  28. 중합체의 매트릭스를 형성하는 최소 일 타입의 실리콘-베이스 부분, 상기 중합체의 매트릭스에 연결된 다수의 비닐 그룹 및 상기 중합체의 매트릭스에 연결된 다수의 페닐 그룹을 포함하는 배합된 중합체를 제공하는 단계,
    최소 하나의 축합 촉매를 제공하는 단계,
    최소 하나의 용매를 제공하는 단계,
    최소 하나의 pH 개질제를 제공하는 단계,
    반응 혼합물을 형성하도록 상기 배합된 중합체 및 상기 최소 하나의 용매의 일부를 반응용기에서 혼합하는 단계; 및
    조성물을 형성하도록 최소 하나의 pH 개질제, 최소 하나의 축합촉매 및 나머지 최소 하나의 용매를 상기 반응 혼합물에 편입하는 단계를 포함하는 3-층 패터닝 적용용 조성물의 제조방법.
  29. 제 28항에 있어서, 상기 최소 일 타입의 실리콘-베이스 부분은 최소 하나의 고비율 무기 화합물, 최소 하나의 흡수 화합물, 최소 하나의 부착 촉진제 혹은 이들의 조합을 포함함을 특징으로 하는 방법.
  30. 제 28항에 있어서, 상기 최소 일 타입의 실리콘-베이스 부분은 최소 하나의 알콕시 부분을 포함함을 특징으로 하는 방법.
  31. 제 30항에 있어서, 상기 최소 하나의 알콕시 부분은 테트라에톡시실란, 페닐트리에톡시실란, 비닐트리에톡시실란 혹은 이들의 조합을 포함함을 특징으로 하는 방법.
  32. 제 28항에 있어서, 최소 하나의 부가적인 부착 촉진제를 제공하는 단계 및 상기 최소 하나의 부가적인 부착 촉진제와 상기 배합된 중합체를 혼합하는 단계를 추가로 포함함을 특징으로 하는 방법.
  33. 제 28항에 있어서, 최소 하나의 흡수 화합물을 제공하는 단계 및 상기 최소 하나의 흡수 화합물을 상기 배합된 중합체를 혼합하는 단계를 추가로 포함함을 특징으로 하는 방법.
KR1020097020181A 2007-02-26 2008-02-23 3-층 패터닝 적용용 조성물, 코팅 및 필름 및 이의 제조방법 KR20090122460A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US90346607P 2007-02-26 2007-02-26
US60/903,466 2007-02-26
US94939207P 2007-07-12 2007-07-12
US60/949,392 2007-07-12
US11/838,854 2007-08-14
US11/838,854 US8642246B2 (en) 2007-02-26 2007-08-14 Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof

Publications (1)

Publication Number Publication Date
KR20090122460A true KR20090122460A (ko) 2009-11-30

Family

ID=39716289

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097020181A KR20090122460A (ko) 2007-02-26 2008-02-23 3-층 패터닝 적용용 조성물, 코팅 및 필름 및 이의 제조방법

Country Status (6)

Country Link
US (1) US8642246B2 (ko)
EP (1) EP2118204A1 (ko)
JP (1) JP2010519596A (ko)
KR (1) KR20090122460A (ko)
TW (1) TW200900863A (ko)
WO (1) WO2008106379A1 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8182978B2 (en) 2009-02-02 2012-05-22 International Business Machines Corporation Developable bottom antireflective coating compositions especially suitable for ion implant applications
JP5618095B2 (ja) * 2009-06-02 2014-11-05 日産化学工業株式会社 スルフィド結合を有するシリコン含有レジスト下層膜形成組成物
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
JP5399347B2 (ja) * 2010-09-01 2014-01-29 信越化学工業株式会社 ケイ素含有膜形成用組成物、ケイ素含有膜形成基板及びこれを用いたパターン形成方法
US8889018B2 (en) 2010-11-23 2014-11-18 HGST Netherlands B.V. Method for manufacturing a magnetic write pole using a multi-layered hard mask structure
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
WO2013051558A1 (ja) * 2011-10-06 2013-04-11 日産化学工業株式会社 ケイ素含有euvレジスト下層膜形成組成物
TW201344369A (zh) * 2012-03-07 2013-11-01 Jsr Corp 光阻下層膜形成用組成物及圖型之形成方法
JP6163770B2 (ja) * 2012-03-07 2017-07-19 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
US8759220B1 (en) * 2013-02-28 2014-06-24 Shin-Etsu Chemical Co., Ltd. Patterning process
US9847302B2 (en) * 2013-08-23 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer surface conditioning for stability in fab environment
US9312191B2 (en) 2014-08-14 2016-04-12 Globalfoundries Inc. Block patterning process for post fin
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
JPWO2017169487A1 (ja) * 2016-03-30 2019-02-07 Jsr株式会社 レジストプロセス用膜形成材料及びパターン形成方法
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10254650B2 (en) 2016-06-29 2019-04-09 Honeywell International Inc. Low temperature SC1 strippable oxysilane-containing coatings
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
WO2018230671A1 (ja) * 2017-06-16 2018-12-20 Jsr株式会社 パターン形成方法及びeuvリソグラフィー用ケイ素含有膜形成組成物
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
KR102316018B1 (ko) * 2018-11-23 2021-10-22 주식회사 엘지화학 광학 적층체
US10991547B2 (en) * 2019-09-25 2021-04-27 Applied Materials, Inc. Method and device for a carrier proximity mask
CN113561586B (zh) * 2021-07-08 2023-03-31 清华大学深圳国际研究生院 一种层状吸波材料及其制备方法

Family Cites Families (573)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
US3635529A (en) 1969-06-24 1972-01-18 Walter R Nass Motor vehicle wheel assembly
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
JPS5125070B2 (ko) 1972-07-11 1976-07-28
US3884702A (en) 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
US3873361A (en) 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US4191571A (en) 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4053313A (en) 1975-06-03 1977-10-11 E. I. Du Pont De Nemours And Company Process for image reproduction using multilayer photosensitive solvent processable elements
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
GB1604414A (en) 1977-07-27 1981-12-09 Raychem Ltd Silicone resin
JPS55165922A (en) 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
US4299938A (en) 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
JPS5850417B2 (ja) 1979-07-31 1983-11-10 富士通株式会社 半導体装置の製造方法
US4257826A (en) 1979-10-11 1981-03-24 Texas Instruments Incorporated Photoresist masking in manufacture of semiconductor device
US4290896A (en) 1980-05-27 1981-09-22 Dow Corning Corporation Dewatering fine coal slurries using organopolysiloxanes
US4935583A (en) 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4483107A (en) 1980-06-17 1984-11-20 Konishiroku Photo Industry Co., Ltd. Polishing method for electrophotographic photoconductive member
JPS5760330A (en) 1980-09-27 1982-04-12 Fujitsu Ltd Resin composition
US4399255A (en) 1980-12-22 1983-08-16 Avtex Fibers Inc. Alloy rayon fibers of regenerated cellulose and copolymeric acrylic acid salts
US4515828A (en) 1981-01-02 1985-05-07 International Business Machines Corporation Planarization method
US4423135A (en) 1981-01-28 1983-12-27 E. I. Du Pont De Nemours & Co. Preparation of photosensitive block copolymer elements
US4413052A (en) 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
US4419437A (en) 1981-02-11 1983-12-06 Eastman Kodak Company Image-forming compositions and elements containing ionic polyester dispersing agents
US4312970A (en) 1981-02-20 1982-01-26 Dow Corning Corporation Silazane polymers from {R'3 Si}2 NH and organochlorosilanes
EP0076656B1 (en) * 1981-10-03 1988-06-01 Japan Synthetic Rubber Co., Ltd. Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
JPS58171416A (ja) 1982-04-02 1983-10-08 Hitachi Ltd 耐熱性重合体
US5670295A (en) 1982-07-30 1997-09-23 Namba; Kenryo Optical recording medium
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4434127A (en) 1982-12-09 1984-02-28 Dow Corning Corporation Heat curable polydiorganosiloxane compositions having enhanced release upon cure
JPS59109565A (ja) 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
CA1255952A (en) 1983-03-04 1989-06-20 Akihiro Furuta Positive type photoresist composition
KR890003903B1 (ko) 1983-06-29 1989-10-10 가부시끼가이샤 히다찌세이사꾸쇼 패턴 형성 방법
US4430153A (en) 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
US4617252A (en) 1983-07-01 1986-10-14 Philip A. Hunt Chemical Corporation Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes
DE3344202A1 (de) 1983-12-07 1985-06-20 Merck Patent Gmbh, 6100 Darmstadt Positiv-fotoresistzusammensetzungen
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
GB8401016D0 (en) 1984-01-14 1984-02-15 Hagen Perennatorwerk Organopolysiloxane compositions
JPS60177029A (ja) 1984-02-21 1985-09-11 Toray Silicone Co Ltd オルガノポリシロキサン組成物の硬化方法
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
US4702990A (en) 1984-05-14 1987-10-27 Nippon Telegraph And Telephone Corporation Photosensitive resin composition and process for forming photo-resist pattern using the same
EP0163538B1 (en) 1984-05-30 1989-11-23 Fujitsu Limited Pattern-forming material and its production and use
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US5674648A (en) 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
US4657965A (en) 1984-10-22 1987-04-14 Toshiba Silicone Co., Ltd. Silicone elastomer composition
US4670299A (en) 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
US4620986A (en) 1984-11-09 1986-11-04 Intel Corporation MOS rear end processing
US4587138A (en) 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
FR2579552B1 (fr) 1985-03-27 1990-06-08 Honda Motor Co Ltd Dispositif de direction des roues avant et arriere pour vehicule
US4745169A (en) 1985-05-10 1988-05-17 Hitachi, Ltd. Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer
EP0204963B1 (en) 1985-05-10 1993-01-13 Hitachi, Ltd. Use of Alkali-Soluble Polyorganosilsesquioxane Polymers in a resist for preparing electronics parts.
US4663414A (en) 1985-05-14 1987-05-05 Stauffer Chemical Company Phospho-boro-silanol interlayer dielectric films and preparation
US4595599A (en) 1985-06-21 1986-06-17 Advanced Chemical Technologies Company Luminescent silane
US4816049A (en) 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
US5034189A (en) 1985-08-27 1991-07-23 The Regents Of The University Of California Fluorescent probe for rapid measurement of analyte concentration
EP0217137B1 (en) 1985-08-29 1992-04-08 E.I. Du Pont De Nemours And Company Photopolymerizable composition of acrylic copolymer containing dicyclopentenyl acrylate or methacrylate
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
US4723978A (en) 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
EP0225676B1 (en) 1985-12-09 1994-07-06 Nippon Paint Co., Ltd. Photosensitive resin base printing material
US4676867A (en) 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
US4806504A (en) 1986-09-11 1989-02-21 Fairchild Semiconductor Corporation Planarization method
US4950583A (en) 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4863827A (en) 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
DE3635821A1 (de) 1986-10-22 1988-04-28 Bayer Ag Mikrokapseln mit verbesserten waenden
US4822697A (en) 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4898907A (en) 1986-12-03 1990-02-06 Dow Corning Corporation Compositions of platinum and rhodium catalyst in combination with hydrogen silsesquioxane resin
US4808653A (en) 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4753855A (en) 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US4911992A (en) 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US5008320A (en) 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
JPH0819381B2 (ja) 1987-01-06 1996-02-28 日本合成ゴム株式会社 コーティング用組成物
US5328976A (en) 1987-01-09 1994-07-12 Allied-Signal Inc. Carbon-containing black glass monoliths
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
DE3810247A1 (de) 1987-03-26 1988-10-06 Toshiba Kawasaki Kk Lichtempfindliche beschichtungsmasse
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
JP2609256B2 (ja) * 1987-07-21 1997-05-14 鐘淵化学工業株式会社 硬化性組成物
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
US5049414A (en) 1987-10-24 1991-09-17 Ito Optical Industrial Co., Ltd. Antireflection solution for optical parts and method for antireflection treatment using said solution
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
US4849296A (en) 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
JPH01185367A (ja) 1988-01-18 1989-07-24 Toshiba Silicone Co Ltd 表面処理されたポリメチルシルセスキオキサン粉末の製造方法
DE3802496C3 (de) 1988-01-28 1998-07-09 Messer Griesheim Gmbh Absorptionsmasse, bestehend aus einer mit Kupfersulfat belegten Trägersubstanz
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (de) 1988-05-31 1994-02-02 Ciba-Geigy Ag Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen
JPH0232356A (ja) * 1988-07-21 1990-02-02 Fujitsu Ltd 半導体装置の製造方法
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4943511A (en) 1988-08-05 1990-07-24 Morton Thiokol, Inc. High sensitivity mid and deep UV resist
US5403680A (en) 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US4981530A (en) 1988-11-28 1991-01-01 International Business Machines Corporation Planarizing ladder-type silsesquioxane polymer insulation layer
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
DE69027799T2 (de) 1989-03-14 1997-01-23 Ibm Chemisch amplifizierter Photolack
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5045592A (en) 1989-07-28 1991-09-03 Dow Corning Corporation Metastable silane hydrolyzates
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
EP0423446B1 (en) 1989-10-17 1998-03-04 Shipley Company Inc. Near UV photoresist
CA2027031A1 (en) 1989-10-18 1991-04-19 Loren A. Haluska Hermetic substrate coatings in an inert gas atmosphere
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US4973526A (en) 1990-02-15 1990-11-27 Dow Corning Corporation Method of forming ceramic coatings and resulting articles
US5043789A (en) 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
DE69120125T2 (de) 1990-03-28 1996-12-12 Japan Synthetic Rubber Co Ltd Polysiloxancompositpolymerpartikel
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
JPH045658A (ja) * 1990-04-23 1992-01-09 Toray Dow Corning Silicone Co Ltd 3層レジスト中間層用材料およびパターン形成方法
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
DE69101338T2 (de) 1990-05-25 1994-09-01 Matsushita Electric Ind Co Ltd Lichtempfindliche Materialien, die organische photoleitfähige Substanzen enthalten in einem polymeren Bindemittel, das aromatische Ringe umfasst, die mit OH-Gruppen und Bromatomen verbunden sind.
US5262201A (en) 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5059448A (en) 1990-06-18 1991-10-22 Dow Corning Corporation Rapid thermal process for obtaining silica coatings
JP3064337B2 (ja) 1990-06-21 2000-07-12 オリンパス光学工業株式会社 実像式変倍ファインダー光学系
US5126289A (en) 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5152834A (en) 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
DE69130280T2 (de) 1990-10-16 1999-04-08 Mitsui Chemicals Inc Verwendung eines hochlichtdurchlässigen staubschützenden Films, Verfahren zu dessen Herstellung und staubschützendes Element
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP2712817B2 (ja) 1990-11-15 1998-02-16 信越化学工業株式会社 ポリオルガノシロキサン樹脂の製造方法
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
ATE127870T1 (de) 1990-12-13 1995-09-15 Ciba Geigy Ag Wässrige dispersion schwerlöslicher uv-absorber.
US5662109A (en) 1990-12-14 1997-09-02 Hutson; William H. Method and system for multi-dimensional imaging and analysis for early detection of diseased tissue
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5520855A (en) 1991-03-20 1996-05-28 Kabushiki Kaisha Toshiba Coating solution composition for forming glass gel thin film, color glass gel filter, and display device using the same
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
JPH05202483A (ja) 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
DE4132697A1 (de) 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
JP3162441B2 (ja) 1991-10-28 2001-04-25 三菱化学株式会社 高剛性プロピレン共重合体組成物
US6165697A (en) 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US6472128B2 (en) 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
US6528235B2 (en) 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
KR0151545B1 (ko) 1992-02-05 1998-10-01 마에다 가쓰노스케 다층 코팅품
JP2694097B2 (ja) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
AU666011B2 (en) 1992-04-09 1996-01-25 Francesco Di Virgilio Method for inducing the acrosome reaction in human and animal spermatozoa
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
JP3252446B2 (ja) 1992-06-25 2002-02-04 大同特殊鋼株式会社 熱間静水圧加圧成形用のカプセルおよび熱間静水圧加圧成形方法
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH06108097A (ja) * 1992-08-07 1994-04-19 Dr Ok Wack Chem Gmbh 洗浄剤
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
JPH06333803A (ja) 1992-09-18 1994-12-02 Sharp Corp 投影型露光装置用フィルター
GB2277095B (en) 1992-09-24 1997-04-16 Kansai Paint Co Ltd Topcoating composition and film-forming process by use of the same
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
US5432007A (en) 1992-10-06 1995-07-11 Shizu Naito Solvent-free organosiloxane composition and its use
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5414069A (en) 1993-02-01 1995-05-09 Polaroid Corporation Electroluminescent polymers, processes for their use, and electroluminescent devices containing these polymers
US5302849A (en) 1993-03-01 1994-04-12 Motorola, Inc. Plastic and grid array semiconductor device and method for making the same
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
US5328975A (en) 1993-04-02 1994-07-12 Ppg Industries, Inc. Ultraviolet radiation absorbing coating
JP3007766B2 (ja) 1993-04-19 2000-02-07 松下精工株式会社 斜流ファン
JP3152544B2 (ja) 1993-06-24 2001-04-03 シャープ株式会社 スキャナ
FR2704766B1 (fr) 1993-05-06 1995-07-28 Salomon Sa Dispositif interface entre un ski et des éléments de fixation.
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
DE4338360A1 (de) 1993-11-10 1995-05-11 Inst Neue Mat Gemein Gmbh Verfahren zur Herstellung von funktionellen glasartigen Schichten
JP3197135B2 (ja) 1994-02-02 2001-08-13 ポップリベット・ファスナー株式会社 スタッド固定具
CN1125481A (zh) 1994-03-11 1996-06-26 川崎制铁株式会社 评价用于形成绝缘膜的硅氧烷的方法、形成绝缘膜的涂布液及其制备方法、半导体器件用绝缘膜成型方法以及采用绝缘膜成膜法制备半导体器件的方法
DE69511141T2 (de) 1994-03-28 2000-04-20 Wako Pure Chem Ind Ltd Resistzusammensetzung für tiefe Ultraviolettbelichtung
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
US5759625A (en) 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5494858A (en) 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
EP0766733A4 (en) 1994-06-24 1998-06-10 Boehringer Mannheim Corp GET A CLEAN MIX OF COLLAGENASE AND TWO OTHER PROTEASES FROM CLOSTRIDIUM HISTOLYTICUM
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
EP0768352A4 (en) 1994-06-30 1997-12-10 Hitachi Chemical Co Ltd MATERIAL FOR THE FORMATION OF A COATED THIN FILM BASED ON SILICA, MANUFACTURING METHOD THEREOF, INSULATING LAYER BASED ON SILICA, SEMICONDUCTOR DEVICE, AND MANUFACTURING METHOD THEREOF
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5729563A (en) 1994-07-07 1998-03-17 Hewlett-Packard Company Method and apparatus for optically and thermally isolating surface emitting laser diodes
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
GB2294262B (en) 1994-10-20 1998-07-08 Evc Tech Ag Single stage fixed bed oxychlorination of ethylene
US5527562A (en) 1994-10-21 1996-06-18 Aluminum Company Of America Siloxane coatings for aluminum reflectors
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) 1994-11-30 1998-04-03 김광호 반사방지막 조성물
FR2729307B1 (fr) 1995-01-18 1997-04-18 Seppic Sa Utilisation d'esters d'acides gras ethoxyles comme composants auto-emulsionnables notamment utiles pour la preparation de produits de traitement phytosanitaires ou de medicaments a usage veterinaire ou humain
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
EP0727711A3 (en) 1995-02-17 1997-04-09 Ocg Microelectronic Materials Photoresist compositions containing supercritical fluid fractionated polymeric resin binders
US5738976A (en) * 1995-03-16 1998-04-14 Shin-Etsu Chemical Co., Ltd. Photo-curable organopolysiloxane composition and a method for producing a (meth) acryloyloxyl group-containing organopolysiloxane used therein
JP3045628U (ja) 1995-03-16 1998-02-13 慶治郎 尾形 靴底模様の模様駒及び、模様構成
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
JP3824334B2 (ja) 1995-08-07 2006-09-20 東京応化工業株式会社 シリカ系被膜形成用塗布液及び被膜形成方法
US5693691A (en) 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
US6770726B1 (en) * 1995-09-12 2004-08-03 Gelest, Inc. β-substituted organosilsesquioxane polymers
WO1997010282A1 (en) * 1995-09-12 1997-03-20 Gelest, Inc. Beta-substituted organosilsesquioxanes and use thereof
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US5663286A (en) 1995-11-09 1997-09-02 H.B. Fuller Licensing And Financing, Inc. Nonwoven web comprising water soluble polyamides and articles constructed therefrom
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
JP3026716U (ja) 1996-01-11 1996-07-23 エストリン株式会社 植物のランナー用誘引具
US5629437A (en) 1996-01-30 1997-05-13 Huls America Inc. Preparation and use of alkyleneoxysilane compositions
US5949518A (en) 1996-02-13 1999-09-07 Sola International, Inc. Color-neutral UV blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
JPH09306954A (ja) 1996-05-20 1997-11-28 Hitachi Ltd 半導体装置及びその実装方法並びに実装構造体
TW354392B (en) 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6040053A (en) 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH1060280A (ja) 1996-08-14 1998-03-03 Japan Synthetic Rubber Co Ltd 水系分散体
US6072018A (en) 1996-09-30 2000-06-06 Virginia Tech Intellectual Properties, Inc. High abrasion resistant coating material
EP0917550A4 (en) 1996-10-25 1999-12-29 Blue River International L L C COMPOSITIONS FOR SILICON COATINGS AND USES THEREOF
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
JP3207774B2 (ja) 1996-12-11 2001-09-10 双福鋼器株式会社 入出庫指示装置
US6025077A (en) 1996-12-13 2000-02-15 Matsushita Electric Works, Ltd. Silicone emulsion coating composition and processes for the preparation thereof
DE69707635T2 (de) 1996-12-24 2002-08-08 Fuji Photo Film Co Ltd Zusammensetzung für Antireflexunterschichten und Verfahren zur Herstellung eines Resistmusters damit
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19710461A1 (de) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
WO1998051752A1 (fr) 1997-05-13 1998-11-19 Kirin Beer Kabushiki Kaisha Materiau de revetement permettant d'obtenir un film de revetement vitreux, procede de formation d'un revetement a l'aide de celui-ci, et dispositif d'application de revetement
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
JPH113888A (ja) 1997-05-28 1999-01-06 Texas Instr Inc <Ti> 集積回路誘電体及び方法
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
US5962067A (en) 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
EP0911875A3 (en) 1997-10-23 2000-08-02 Texas Instruments Incorporated Integrated circuit dielectric and method of fabrication thereof
US6025232A (en) 1997-11-12 2000-02-15 Micron Technology, Inc. Methods of forming field effect transistors and related field effect transistor constructions
US6057239A (en) 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
AU7332698A (en) 1998-01-22 1999-08-09 A. Kettenbach Fabrik Chemischer Erzeugnisse Dental-Spezialitaten GmbH und Co. KG Rebase material for prosthesis and method for the production thereof
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
JP4387588B2 (ja) 1998-02-04 2009-12-16 メルク エンド カムパニー インコーポレーテッド 高スループットスクリーニングアッセイ用仮想ウェル
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
JP3031325B2 (ja) 1998-03-04 2000-04-10 ダイキン工業株式会社 天井埋込式空気調和機
JP3047883B2 (ja) 1998-03-17 2000-06-05 日本電気株式会社 テストモードを有する半導体装置の出力回路
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
WO1999056178A1 (en) 1998-04-29 1999-11-04 Brewer Science, Inc. Fast-etching, thermosetting anti-reflective coatings derived from cellulosic binders
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
WO2000003303A1 (fr) 1998-07-10 2000-01-20 Clariant International Ltd. Composition pour film empechant la reflexion de fond et nouveau colorant polymere utilise dans celle-ci
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6280911B1 (en) 1998-09-10 2001-08-28 Shipley Company, L.L.C. Photoresist compositions comprising blends of ionic and non-ionic photoacid generators
US20020102483A1 (en) 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
JP3523081B2 (ja) 1998-09-21 2004-04-26 信越化学工業株式会社 有機珪素樹脂の製造方法及び該製造方法で得られた有機珪素樹脂を用いたポリウレタンフォームの製造方法
JP3852889B2 (ja) 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
JP3059016U (ja) 1998-11-10 1999-07-02 啓次 二宮 ブレーカー用防音装置
US6251973B1 (en) * 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
IL143207A0 (en) 1998-11-24 2002-04-21 Dow Chemical Co A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
KR100644847B1 (ko) 1999-02-26 2006-11-13 쇼와 덴코 가부시키가이샤 칼라필터용 광중합 개시제, 감광성 착색 조성물 및 칼라필터
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
JP3692820B2 (ja) 1999-03-10 2005-09-07 株式会社デンソー 自動車用制御装置
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6313257B1 (en) 1999-03-23 2001-11-06 Lord Corporation Poly (mercaptopropylaryl) curatives
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
JP3064753U (ja) 1999-05-18 2000-01-21 竹沢産業株式会社 送風機
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6696538B2 (en) 1999-07-27 2004-02-24 Lg Chemical Ltd. Semiconductor interlayer dielectric material and a semiconductor device using the same
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
KR100745875B1 (ko) 1999-07-30 2007-08-02 피피지 인더스트리즈 오하이오, 인코포레이티드 개선된 내긁힘성을 갖는 경화된 코팅재, 코팅된 기재 및관련 방법
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
EP1129470B1 (en) 1999-09-13 2005-12-28 Koninklijke Philips Electronics N.V. Electric lamp
JP4248098B2 (ja) 1999-09-20 2009-04-02 東京応化工業株式会社 反射防止膜形成用組成物及びレジストパターンの形成方法
US6410150B1 (en) 1999-09-29 2002-06-25 Jsr Corporation Composition for film formation, method of film formation, and insulating film
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6541107B1 (en) 1999-10-25 2003-04-01 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants
US6313045B1 (en) 1999-12-13 2001-11-06 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants and method for preparation
US6359096B1 (en) 1999-10-25 2002-03-19 Dow Corning Corporation Silicone resin compositions having good solution solubility and stability
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
US6902771B2 (en) 2000-02-01 2005-06-07 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
JP4195773B2 (ja) 2000-04-10 2008-12-10 Jsr株式会社 層間絶縁膜形成用組成物、層間絶縁膜の形成方法およびシリカ系層間絶縁膜
US6306736B1 (en) 2000-02-04 2001-10-23 The Regents Of The University Of California Process for forming shaped group III-V semiconductor nanocrystals, and product formed using process
NZ515377A (en) 2000-02-08 2004-04-30 Adsil Lc Method for improving heat efficiency using silane coatings and coated articles produced thereby
ES2273811T3 (es) 2000-02-14 2007-05-16 THE PROCTER &amp; GAMBLE COMPANY Composiciones acuosas, estables para tratar superficies,especialmente tejidos.
AU2001233290A1 (en) 2000-02-22 2001-09-03 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
JP2001240800A (ja) 2000-02-25 2001-09-04 Nippon Sheet Glass Co Ltd 所定表面形状を有する物品の製造方法
EP1127929B1 (en) 2000-02-28 2009-04-15 JSR Corporation Composition for film formation, method of film formation, and silica-based film
EP1268696A4 (en) 2000-02-28 2005-01-26 Adsil Lc SILANE COATING COMPOSITIONS COATING ARTICLES OBTAINED THEREFROM AND USES THEREOF
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3604007B2 (ja) 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
EP1278799A1 (en) 2000-03-30 2003-01-29 General Electric Company Transparent, flame retardant poly(arylene ether) blends
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
US7128976B2 (en) 2000-04-10 2006-10-31 Jsr Corporation Composition for film formation, method of film formation, and silica-based film
ATE321105T1 (de) 2000-04-13 2006-04-15 Jsr Corp Überzugsmittel, verfahren zur herstellung, gehärtetes produkt und beschichtungsfilm
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
US6504525B1 (en) 2000-05-03 2003-01-07 Xerox Corporation Rotating element sheet material with microstructured substrate and method of use
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
JP3846545B2 (ja) 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
DE60120178T2 (de) 2000-08-03 2007-04-26 Ciba Speciality Chemicals Holding Inc. Photostabile, silylierte benzotriazol uv-absorber und zusammensetzungen, die mit diesen stabilisiert werden
CA2417740A1 (en) 2000-08-18 2002-02-28 The Procter & Gamble Company Compositions and methods for odor and fungal control of protective garments
JP5350571B2 (ja) * 2000-08-21 2013-11-27 ダウ グローバル テクノロジーズ エルエルシー マイクロ電子デバイス製造に使用する有機ポリマー絶縁膜用ハードマスクとしての有機シリケート樹脂
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
CN1302532C (zh) 2000-09-13 2007-02-28 希普利公司 电子组件制造方法
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
KR100382702B1 (ko) 2000-09-18 2003-05-09 주식회사 엘지화학 유기실리케이트 중합체의 제조방법
US6505362B1 (en) 2000-09-25 2003-01-14 Thomas Scipio Method and system for cushioning a mobile prone person
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
NZ526871A (en) 2001-01-25 2006-01-27 Bristol Myers Squibb Co Pharmaceutical dosage forms of epothilones for oral administration
US7026053B2 (en) 2001-01-29 2006-04-11 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US7139636B2 (en) 2001-02-20 2006-11-21 Q2100, Inc. System for preparing eyeglass lenses with bar code reader
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US20020123592A1 (en) 2001-03-02 2002-09-05 Zenastra Photonics Inc. Organic-inorganic hybrids surface adhesion promoter
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
JP2002285086A (ja) 2001-03-26 2002-10-03 Jsr Corp 膜形成用組成物、膜の形成方法およびシリカ系膜
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
JP3904518B2 (ja) * 2001-04-09 2007-04-11 積水化学工業株式会社 光反応性組成物
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
JP2002332354A (ja) * 2001-05-08 2002-11-22 Jsr Corp 水系分散体とその製造方法および塗装体
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6740685B2 (en) 2001-05-30 2004-05-25 Honeywell International Inc. Organic compositions
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US20030123302A1 (en) 2001-06-05 2003-07-03 Thompson Robert F. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
JP4965033B2 (ja) 2001-06-29 2012-07-04 東レ・ダウコーニング株式会社 液状アルコキシシリル官能性シリコーン樹脂、その製造方法および硬化性シリコーン樹脂組成物
CN1916760B (zh) 2001-06-29 2010-10-13 Jsr株式会社 酸发生剂、磺酸、磺酸衍生物及辐射敏感树脂组合物
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
EP1296365B1 (en) 2001-09-25 2010-09-22 JSR Corporation Method of film formation
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
EP1478682A4 (en) 2001-11-15 2005-06-15 Honeywell Int Inc ANTIREFLECTIVE LAYERS FOR PHOTOLITHOGRAPHY AND METHODS OF PREPARATION THEREOF
KR20040075866A (ko) 2001-11-15 2004-08-30 허니웰 인터내셔날 인코포레이티드 포토리소그래피용 스핀-온 무반사 코팅
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US7081272B2 (en) 2001-12-14 2006-07-25 Asahi Kasei Kabushiki Kaisha Coating composition for forming low-refractive index thin layers
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6924384B2 (en) 2002-01-08 2005-08-02 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US6803476B2 (en) 2002-01-08 2004-10-12 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US6831189B2 (en) 2002-01-08 2004-12-14 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US20030176718A1 (en) 2002-01-08 2003-09-18 Rantala Juha T. Methods and compounds for making coatings, waveguides and other optical devices
US20030171607A1 (en) 2002-01-08 2003-09-11 Rantala Juha T. Methods and compounds for making coatings, waveguides and other optical devices
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US6943447B2 (en) * 2002-01-10 2005-09-13 Fujitsu Limited Thin film multi-layer wiring substrate having a coaxial wiring structure in at least one layer
EP1490454B1 (en) 2002-01-17 2012-08-15 Silecs OY Method for making an integrated circuit device and corresponding integrated circuit device
US20040002617A1 (en) 2002-01-17 2004-01-01 Rantala Juha T. Integrated circuits having organic-inorganic dielectric materials and methods for forming such integrated circuits
US20050032357A1 (en) 2002-01-17 2005-02-10 Rantala Juha T. Dielectric materials and methods for integrated circuit applications
US7144827B2 (en) 2002-01-17 2006-12-05 Silecs Oy Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications
ATE448193T1 (de) 2002-01-18 2009-11-15 Merck & Co Inc ßN-(BENZYL)AMINOALKYL CARBOXYLATE, PHOSPHINATE, PHOSPHONATE UND TETRAZOLE ALS EDG REZEPTORAGONISTENß
JP2003211070A (ja) 2002-01-21 2003-07-29 Toshiba Corp 塗膜の形成方法、半導体装置の製造方法および塗布液
TWI273352B (en) * 2002-01-24 2007-02-11 Jsr Corp Radiation sensitive composition for forming an insulating film, insulating film and display device
US20030214042A1 (en) 2002-02-01 2003-11-20 Seiko Epson Corporation Circuit substrate, electro-optical device and electronic appliances
WO2003067682A2 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
TW200303846A (en) 2002-02-06 2003-09-16 Asahi Chemical Ind Coating compositions for forming insulating thin films
KR100994818B1 (ko) 2002-03-04 2010-11-16 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 단파장 이미지화용 네거티브 포토레지스트
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6906157B2 (en) 2002-04-09 2005-06-14 Eastman Kodak Company Polymer particle stabilized by dispersant and method of preparation
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
DE60322202D1 (de) 2002-04-18 2008-08-28 Lg Chemical Ltd Isolierfilm
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
KR100515583B1 (ko) 2002-06-27 2005-09-20 주식회사 엘지화학 유기실리케이트 중합체 및 이를 함유하는 절연막
JP3906916B2 (ja) * 2002-07-29 2007-04-18 Jsr株式会社 膜形成用組成物、膜形成方法および膜
CN1248556C (zh) 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
DE10242418A1 (de) 2002-09-12 2004-03-25 Wacker-Chemie Gmbh Verfahren zur Herstellung von Organopolysiloxanharz
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
JP2004212946A (ja) 2002-10-21 2004-07-29 Rohm & Haas Electronic Materials Llc Siポリマー含有フォトレジスト
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
JP3884699B2 (ja) 2002-11-13 2007-02-21 信越化学工業株式会社 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
JP2004161875A (ja) 2002-11-13 2004-06-10 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁間膜及び半導体装置
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
EP1422566A1 (en) 2002-11-20 2004-05-26 Shipley Company, L.L.C. Multilayer photoresist systems
JP3879657B2 (ja) * 2002-11-20 2007-02-14 日東電工株式会社 反射防止層用硬化性樹脂組成物、反射防止層、反射防止フィルム、光学素子および画像表示装置
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US20040166434A1 (en) 2003-02-21 2004-08-26 Dammel Ralph R. Photoresist composition for deep ultraviolet lithography
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
JP2004307692A (ja) 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置
JP2004307694A (ja) 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置。
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7202013B2 (en) 2003-06-03 2007-04-10 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (ja) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
US6942083B2 (en) 2003-08-07 2005-09-13 Ford Global Technologies, Llc Viscous fan clutch actuated by a heating element and ambient air
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
KR100979355B1 (ko) 2003-10-09 2010-08-31 삼성전자주식회사 다반응성 환형 실리케이트 화합물, 상기 화합물로부터제조된 실록산계 중합체 및 상기 중합체를 이용한 절연막제조방법
US20050089642A1 (en) 2003-10-28 2005-04-28 Rohm And Haas Electronic Materials, L.L.C. Dielectric materials preparation
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
CN1680466A (zh) 2003-11-24 2005-10-12 三星电子株式会社 用多面体分子倍半硅氧烷,形成半导体器件用层间电介质膜的方法
TWI367686B (en) 2004-04-07 2012-07-01 Semiconductor Energy Lab Light emitting device, electronic device, and television device
JP4553113B2 (ja) 2004-06-10 2010-09-29 信越化学工業株式会社 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
EP1615260A3 (en) 2004-07-09 2009-09-16 JSR Corporation Organic silicon-oxide-based film, composition and method for forming the same, and semiconductor device
US7475093B2 (en) 2004-10-15 2009-01-06 Microsoft Corporation Memory cache management in XML/relational data mapping
EP1662322B1 (en) * 2004-11-26 2017-01-11 Toray Industries, Inc. Positive type photo-sensitive siloxane composition, curing film formed by the composition and device with the curing film
TW200641075A (en) 2004-12-21 2006-12-01 Hitachi Chemical Co Ltd Film, silica film and method of forming the same, composition for forming silica film, and electronic part
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
US8808446B2 (en) * 2005-03-01 2014-08-19 Jsr Corporation Composition for resist underlayer film and process for producing same
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品
WO2007066597A1 (ja) * 2005-12-06 2007-06-14 Nissan Chemical Industries, Ltd. 光架橋硬化のレジスト下層膜を形成するためのケイ素含有レジスト下層膜形成組成物
JP3154007U (ja) 2009-07-16 2009-09-24 岳雨 王 ペットつなぎ

Also Published As

Publication number Publication date
JP2010519596A (ja) 2010-06-03
EP2118204A1 (en) 2009-11-18
TW200900863A (en) 2009-01-01
US8642246B2 (en) 2014-02-04
US20080206690A1 (en) 2008-08-28
WO2008106379A1 (en) 2008-09-04

Similar Documents

Publication Publication Date Title
US8642246B2 (en) Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US9069133B2 (en) Anti-reflective coating for photolithography and methods of preparation thereof
KR101324022B1 (ko) 비아 필 및 포토리소그래피 장치를 위한 무반사 코팅 및 이들의 제조 방법
US8524851B2 (en) Silicon-based hardmask composition and process of producing semiconductor integrated circuit device using the same
US8946371B2 (en) Photo-patternable dielectric materials curable to porous dielectric materials, formulations, precursors and methods of use thereof
US8178159B2 (en) Organosilicate resin formulation for use in microelectronic devices
US7955782B2 (en) Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
JP7062657B2 (ja) 新規化合物、半導体材料、およびこれを用いた膜および半導体の製造方法
WO2010032796A1 (ja) サイドウォール形成用組成物
JP2010535360A (ja) フォトリソグラフィープロセス用の非共有結合架橋性材料
KR20150097550A (ko) 환상 디에스테르기를 갖는 실리콘 함유 레지스트 하층막 형성 조성물
WO2003044078A9 (en) Anti-reflective coatings for photolithography and methods of preparation thereof
KR20170093113A (ko) 할로겐함유 카르본산아미드기를 가지는 가수분해성 실란을 포함하는 리소그래피용 레지스트 하층막 형성 조성물
WO2004044025A2 (en) Anti-reflective coatings for photolithography and methods of preparation thereof
JP2010519362A (ja) シロキサンポリマーの製造方法
KR101400182B1 (ko) 포토레지스트 하층막용 조성물 및 이를 이용하는 반도체 소자의 제조 방법
JP7368322B2 (ja) レジスト下層膜材料、パターン形成方法、及びレジスト下層膜形成方法
KR101531611B1 (ko) 반도체 집적회로 디바이스의 제조방법 및 이에 따른 반도체 집적회로 디바이스
KR20050044501A (ko) 포토리소그래피용 무반사 코팅 및 이의 제조 방법
CN115427891A (zh) 抗蚀剂下层膜形成用组合物

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid