WO2021042377A1 - Integrated device and manufacturing method therefor - Google Patents

Integrated device and manufacturing method therefor Download PDF

Info

Publication number
WO2021042377A1
WO2021042377A1 PCT/CN2019/104733 CN2019104733W WO2021042377A1 WO 2021042377 A1 WO2021042377 A1 WO 2021042377A1 CN 2019104733 W CN2019104733 W CN 2019104733W WO 2021042377 A1 WO2021042377 A1 WO 2021042377A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
silicon layer
pad
insulating layer
wiring
Prior art date
Application number
PCT/CN2019/104733
Other languages
French (fr)
Chinese (zh)
Inventor
陆斌
沈健
Original Assignee
深圳市汇顶科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 深圳市汇顶科技股份有限公司 filed Critical 深圳市汇顶科技股份有限公司
Priority to PCT/CN2019/104733 priority Critical patent/WO2021042377A1/en
Priority to CN201980004321.XA priority patent/CN111095544B/en
Publication of WO2021042377A1 publication Critical patent/WO2021042377A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/072Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Definitions

  • the embodiments of the present application relate to the field of chip packaging, and more specifically, to an integrated device and a manufacturing method thereof.
  • the 2.5-dimensional (Dimensions, D) silicon/glass interposer is an important advanced packaging structure in the post-Moore era.
  • the 2.5D interposer 120 selects silicon wafer or glass as the substrate, and first uses a wafer process to fabricate a redistribution layer (RDL) on both surfaces of the substrate 121, and then plating Copper through silicon vias (Through Si Vias, TSV) or through glass vias (Through Glass Via, TGV) 122 realize the vertical transmission of electrical signals from the wiring layer 123 on the front side of the interposer to the wiring layer 124 on the back side.
  • RDL redistribution layer
  • the chip 130 is packaged on the substrate 110 through the 2.5D interposer 120 in the form of an inverted pile.
  • the 2.5D interposer board 120 and the chip 130 are connected by micro bumps or solder balls with a smaller pitch; at the same time, the 2.5D interposer board 120 and the substrate 110 are connected by bumps or solder balls with a larger pitch. The ball is connected.
  • the 2.5D transfer board 120 not only needs to integrate TSV/TGV, copper plating, metal planarization, wafer thinning, rewiring, fine-pitch copper bumps, high-precision chip bonding and other complete sets of manufacturing processes, but also installation The process is complicated, which increases the cost. Moreover, the thickness of the product 100 formed based on the 2.5D adapter plate 120 is too large.
  • An integrated device and a preparation method thereof are provided, which can reduce the cost and thickness of the integrated device.
  • an integrated device including:
  • a substrate, the upper surface of the substrate is provided with at least one first pad
  • a silicon layer the silicon layer is provided above the substrate, the silicon layer is provided with at least one conductive structure, and the at least one conductive structure respectively corresponds to the at least one first pad;
  • a first insulating layer is disposed above the silicon layer, a first wiring layer is disposed in the first insulating layer, and the at least one first pad passes through the at least one conductive structure respectively Connected to the first wiring layer.
  • the preparation process of the integrated device and the integration process of integrating the chip to be integrated into the integrated device are simple, which can not only reduce the cost of the integrated device, but also reduce the overall size of the integrated device. thickness.
  • supporting the first wiring layer by the silicon layer can alleviate the thermal expansion coefficient difference between the substrate and the chip to be integrated as much as possible, thereby improving the performance of the integrated device.
  • passive devices such as capacitors can be integrated in the silicon layer to improve the performance of the integrated device.
  • the silicon layer includes at least one of a polycrystalline silicon layer, an amorphous silicon layer, and a microcrystalline silicon layer.
  • the silicon layer is a deposition layer deposited on the substrate.
  • the silicon layer is formed with at least one through hole corresponding to the at least one first pad, wherein the first wiring layer extends into the at least one through hole, and Are respectively connected to the at least one first pad to form the at least one conductive structure.
  • the first insulating layer and the first wiring layer both extend into the first through hole in the at least one through hole, and the first wiring in the first through hole The layer is located outside the first insulating layer in the first through hole.
  • a conductive pillar is provided in a second through hole of the at least one through hole, and the first wiring layer is connected to the conductive pillar.
  • the aperture of each through hole of the at least one through hole close to the first insulating layer is larger than the aperture of the same through hole close to the substrate.
  • the integrated device further includes:
  • the second insulating layer is disposed between the silicon layer and the first insulating layer, and extends to the inner wall of each through hole of the at least one through hole.
  • the silicon layer is formed with at least one conductive region corresponding to the at least one first pad, wherein the resistivity of each conductive region in the at least one conductive region is less than or equal to a predetermined value.
  • a threshold is set to form the at least one conductive structure.
  • the integrated device further includes:
  • the third insulating layer is the third insulating layer
  • the silicon layer is formed with a concave ring penetrating the silicon layer around the at least one conductive area
  • the third insulating layer is disposed between the silicon layer and the first insulating layer and extends Into the concave ring
  • the third insulating layer is formed with a through hole corresponding to each conductive area in the at least one conductive area, and each conductive area in the at least one conductive area passes through the third insulating layer
  • the through holes corresponding to the same conductive area on the upper side are connected to the first wiring layer.
  • the integrated device further includes:
  • the fourth insulating layer is the fourth insulating layer
  • the fourth insulating layer is disposed between the substrate and the silicon layer, and the fourth insulating layer is formed with a through hole corresponding to each first pad of the at least one first pad, Each first pad of the at least one first pad is connected to a conductive structure corresponding to the same first pad through a through hole corresponding to the same first pad on the fourth insulating layer.
  • the integrated device further includes:
  • the fifth insulating layer is disposed between the silicon layer and the substrate, a second wiring layer is disposed in the fifth insulating layer, and at least one conductive structure of the silicon layer passes through the second The wiring layer is connected to the at least one first pad.
  • the line width of the wiring in the second wiring layer is greater than the line width of the wiring in the first wiring layer, and/or the spacing of the wiring in the second wiring layer is greater than that of the first wiring layer.
  • the pitch of wiring in a wiring layer is greater than the line width of the wiring in the first wiring layer, and/or the spacing of the wiring in the second wiring layer is greater than that of the first wiring layer.
  • the silicon layer includes a plurality of silicon layer units, and the first insulating layer extends to a surrounding area of each silicon layer unit of the plurality of silicon layer units.
  • each silicon layer unit of the plurality of silicon layer units is provided with at least one conductive structure.
  • passive devices are formed in the silicon layer.
  • the passive device includes a capacitor.
  • the integrated device further includes:
  • a chip the chip is arranged above the first insulating layer, at least one second pad is arranged on a side of the chip close to the first wiring layer, and the at least one second pad is respectively connected to the Mentioned first wiring layer.
  • the first wiring layer is provided with at least one link pad corresponding to the at least one second pad on a side close to the chip, and the first wiring layer is close to the chip.
  • One side of the silicon layer is provided with at least one link pad corresponding to the at least one first pad, wherein the distance between the connection pads provided on the side close to the chip of the first wiring layer is smaller than the distance between the connection pads. The pitch of the connection pads provided on the side of the first wiring layer close to the silicon layer.
  • a method for preparing an integrated device including:
  • a first wiring layer is provided in the first insulating layer, and the at least one first pad is respectively connected to the first wiring layer through the at least one conductive structure.
  • the silicon layer includes at least one of a polycrystalline silicon layer, an amorphous silicon layer, and a microcrystalline silicon layer.
  • the forming a silicon layer on the upper surface of the substrate includes:
  • the silicon layer is deposited on the substrate.
  • the at least one conductive structure forming the silicon layer includes:
  • Forming at least one through hole of the silicon layer, the at least one through hole respectively corresponding to the at least one first pad; wherein the forming a first insulating layer above the silicon layer includes:
  • a first insulating layer is formed above the silicon layer, and the first wiring layer respectively extends into the at least one through hole and is respectively connected to the at least one first pad to form the at least one conductive pad. structure.
  • the first insulating layer and the first wiring layer both extend into the first through hole in the at least one through hole, and the first wiring in the first through hole The layer is located outside the first insulating layer in the first through hole.
  • a conductive pillar is provided in a second through hole of the at least one through hole, and the first wiring layer is connected to the conductive pillar.
  • the aperture of each through hole of the at least one through hole close to the first insulating layer is larger than the aperture of the same through hole close to the substrate.
  • the forming a first insulating layer on the silicon layer includes:
  • the first insulating layer is formed on the second insulating layer.
  • the at least one conductive structure forming the silicon layer includes:
  • At least one conductive region of the silicon layer is formed, and the at least one conductive region respectively corresponds to the at least one first pad, wherein the resistivity of each conductive region in the at least one conductive region is less than or equal to a preset threshold , To form the at least one conductive structure.
  • the forming a first insulating layer on the silicon layer includes:
  • the first insulating layer is formed on the third insulating layer, and each conductive area of the at least one conductive area is connected to the first insulating layer through a through hole corresponding to the same conductive area on the third insulating layer. Wiring layer.
  • the forming a silicon layer on the upper surface of the substrate includes:
  • the silicon layer is formed above the fourth insulating layer, and each first pad of the at least one first pad is connected through a through hole corresponding to the same first pad on the fourth insulating layer To the conductive structure corresponding to the same first pad.
  • the forming a silicon layer on the upper surface of the substrate includes:
  • the silicon layer is provided on the fifth insulating layer, and at least one conductive structure of the silicon layer is respectively connected to the at least one first pad through the second wiring layer.
  • the line width of the wiring in the second wiring layer is greater than the line width of the wiring in the first wiring layer, and/or the spacing of the wiring in the second wiring layer is greater than that of the first wiring layer.
  • the pitch of wiring in a wiring layer is greater than the line width of the wiring in the first wiring layer, and/or the spacing of the wiring in the second wiring layer is greater than that of the first wiring layer.
  • the forming a first insulating layer on the silicon layer includes:
  • the first insulating layer is formed above the plurality of silicon layer units and a surrounding area of each silicon layer unit of the plurality of silicon layer units.
  • each silicon layer unit of the plurality of silicon layer units is provided with at least one conductive structure.
  • passive devices are formed in the silicon layer.
  • the passive device includes a capacitor.
  • the method further includes:
  • At least one second pad is provided on a side of the chip close to the first wiring layer, and the at least one second pad is respectively connected to the first wiring layer.
  • the first wiring layer is provided with at least one link pad corresponding to the at least one second pad on a side close to the chip, and the first wiring layer is close to the chip.
  • One side of the silicon layer is provided with at least one link pad corresponding to the at least one first pad, wherein the distance between the connection pads provided on the side close to the chip of the first wiring layer is smaller than the distance between the connection pads. The pitch of the connection pads provided on the side of the first wiring layer close to the silicon layer.
  • an integrated device including:
  • Figure 1 is an example of an existing chip mounting solution.
  • Fig. 2 is a schematic structural diagram of an integrated device according to an embodiment of the present application.
  • 3 to 6 are schematic diagrams of the deformed structure of the integrated device shown in FIG. 2.
  • Fig. 7 is a schematic flow chart of preparing an integrated device according to an embodiment of the present application.
  • FIG. 8 to 14 are respectively schematic diagrams of structures formed in various stages in the process of preparing the integrated device shown in FIG. 2 in an embodiment of the present application.
  • 15 to 18 are schematic diagrams of the structures formed at various stages in the process of preparing the integrated device shown in FIG. 3 according to the embodiments of the present application.
  • the integrated device involved in this application can be applied to various electronic devices.
  • portable or mobile computing devices such as smartphones, notebook computers, tablet computers, and gaming devices, as well as other electronic devices such as electronic databases, automobiles, and bank automated teller machines (ATM).
  • ATM bank automated teller machines
  • Fig. 2 is a schematic structural diagram of an integrated device according to an embodiment of the present application.
  • the integrated device 200 may include a substrate 210, a silicon layer 220 located above the substrate 210, and a first insulating layer 230 located above the silicon layer 220.
  • the upper surface of the substrate 210 may be provided with at least one first pad
  • the silicon layer 220 may be provided with at least one conductive structure
  • the at least one conductive structure corresponds to the at least one first pad.
  • a first wiring layer 231 is provided in the first insulating layer 230, and the at least one first pad is respectively connected to the first wiring layer through the at least one conductive structure.
  • the integrated device 200 may include a substrate 210, a silicon layer 220 located above the substrate 210, a first insulating layer 230 located above the silicon layer 220, and a first wiring layer 231 located in the first insulating layer 230,
  • the silicon layer 220 is used to support the first wiring layer 231
  • the first insulating layer 230 is used to protect and insulate the first wiring layer 231.
  • the first wiring layer 231 is respectively connected to at least one first pad of the substrate 210 through at least one conductive structure in the silicon layer 220, so as to realize electrical signals from the first wiring layer 231 to the substrate. Vertical transfer between at least one first pad on 210.
  • the silicon layer 220 By disposing the silicon layer 220 on the upper surface of the substrate 210 and disposing at least one conductive structure corresponding to the at least one first pad in the silicon layer 220, it is not only possible to realize high-density metal in the vertical and horizontal directions. Interconnect, and can avoid the use of 2.5D transfer board. Compared with the 2.5D interposer board, the preparation process of the integrated device and the integration process of integrating the chip to be integrated into the integrated device are simple, which not only can reduce the cost of the integrated device 200, but also can reduce the integrated device 200 The overall thickness.
  • the first wiring layer 231 is supported by the silicon layer 220, which can alleviate the thermal expansion coefficient difference between the substrate 210 and the chip to be integrated as much as possible, thereby improving the performance of the integrated device 200.
  • the first wiring layer 231 is supported by the silicon layer 220, and passive devices such as capacitors can be integrated in the silicon layer 220 to improve the performance of the integrated device 200.
  • the substrate 210 can provide electrical connection, protection, support, heat dissipation, assembly and other functions for various chips, so as to achieve multi-pin, reduce the size of packaged products, improve electrical performance and heat dissipation, ultra-high density or more.
  • the purpose of chip modularization is not limited to, but not limited to, but not limited to, but not limited to, but not limited to, but not limited to,
  • the substrate 210 may be various types of flexible or rigid, organic or inorganic substrates used in various packaging technologies.
  • the material of the substrate 210 includes, but is not limited to, organic materials such as quartz, glass, ceramics, and various resins.
  • the organic substrate may also include fillers such as glass fibers and silicon oxide balls, such as FR4 substrates, and Bismaleimide-Triazine (BT) resin substrates.
  • FR4 is a code for the grade of flame-resistant materials.
  • the at least one first pad of the substrate 210 may be a pad (Pad) that may have been prepared on one surface before entering the integration process flow, or may be a pad prepared after entering the integration process flow.
  • the upper surface of the substrate 210 may be the surface on which the pads have been prepared, or in other words, the surface opposite to the lower surface of the silicon layer 220 is the upper surface of the substrate 210.
  • the substrate 210 may include three pads 211, and each pad 211 may be connected to an internal circuit in the substrate 210.
  • the silicon layer 220 may be a polycrystalline silicon layer, an amorphous silicon layer or a microcrystalline silicon layer, or a material layer formed of a mixed material including silicon.
  • the silicon layer 220 may be deposited on the substrate 210.
  • the symmetry 220 may be a deposition layer formed of a mixed material including polysilicon or amorphous silicon.
  • the first insulating layer 230 may be a material layer or a deposited layer formed of any material having insulating properties.
  • the material of the first insulating layer 230 may include, but is not limited to, silicon oxide, silicon nitride, silicon glass, spin-on glass (SOG), polyimide (PI) , Parylene, benzocyclobutene (BCB) and so on.
  • the silicon glass includes, but is not limited to, Undoped Silicon Glass (USG), Boro-silicate glass (BSG), phospho-silicate glass (PSG), and borophosphosilicate glass (PSG). Glass (Boro-phospho-silicate glass, BPSG).
  • the material of the first insulating layer 230 may also be some inorganic materials, such as silicon oxide synthesized from Tetraethyl Orth Silicate (TEOS), silicon oxide, nitride, and ceramic.
  • TEOS Tetraethyl Orth Silicate
  • the first insulating layer 230 may be a stacked layer of the above-mentioned materials, or the first insulating layer may be a material layer formed of a mixed material of the above-mentioned materials.
  • the first wiring layer 231 may include a connection pad for at least one pad of the substrate 210, a wiring connected with a connection pad for at least one pad of the substrate 210, and a connection pad for a chip to be integrated .
  • the lower surface of the first insulating layer 230 exposes connection pads respectively directed to at least one pad of the substrate 210
  • the upper surface of the first insulating layer 230 exposes at least one contact pad respectively directed to the substrate 210.
  • the connection pad of the pad is a connection pad for at least one pad of the substrate 210, a wiring connected with a connection pad for at least one pad of the substrate 210, and a connection pad for a chip to be integrated .
  • the first wiring layer 231 may pass through the silicon layer 220 and be connected to at least one first pad of the substrate 210.
  • the silicon layer 220 may be formed with at least one through hole corresponding to the at least one first pad, wherein the first wiring layer 231 extends to the at least one through hole, respectively , And are respectively connected to at least one first pad on the substrate 210 to form at least one conductive structure of the silicon layer 220.
  • the silicon layer 220 respectively forms at least one through hole above the at least one first pad, and the at least one through hole is used to provide a receiving space for the first wiring layer 231 so that the first The wiring layer 231 can be connected to the at least one first pad.
  • the at least one through hole and the first wiring layer 231 in the through hole may be used to form the at least one conductive structure, and the at least one conductive structure is used to connect the first wiring layer 231 to the substrate 210 Of at least one first pad.
  • the first insulating layer 230 and the first wiring layer 231 both extend into the first through hole in the at least one through hole, and the first wiring layer 231 in the first through hole The outer side of the first insulating layer 230 located in the first through hole.
  • the first wiring layer 231 is located on the inner wall of the first through hole and is connected to at least one first pad of the substrate 210, and the first insulating layer 230 fills the first through hole The area surrounded by the first wiring layer 231.
  • the first through hole may be the leftmost through hole on the silicon layer 220.
  • a conductive pillar is disposed in the second through hole of the at least one through hole, and the first wiring layer 231 is connected to the conductive pillar.
  • the second through hole can be filled with the same conductive material as the first wiring layer 231, and the first wiring layer 231 is connected to the conductive material in the second through hole, thereby forming the The conductive structure of the silicon layer 220 is described.
  • the second through hole may be the rightmost through hole on the silicon layer 220.
  • first wiring layer 231 into the second through hole of the at least one through hole and fill the second through hole to form the conductive structure of the silicon layer 220.
  • the application does not make specific restrictions on this.
  • the at least one through hole may include at least one first through hole and/or at least one second through hole, which is not specifically limited in this application.
  • each of the at least one through hole is an axisymmetric through hole.
  • the hole diameter of each of the at least one through hole close to the first insulating layer is larger than the hole diameter of the same through hole close to the substrate, so that the first insulating layer 230 or The first wiring layer 231 extends into the through hole.
  • each of the at least one through hole may be a topped inverted cone structure. It should be understood that in other alternative embodiments, each of the at least one through hole may also be a through hole of other shapes, such as an inverted trapezoidal through hole.
  • the integrated device 200 may further include a second insulating layer 240 to protect and insulate the first wiring layer 231.
  • the second insulating layer 240 is disposed between the silicon layer 220 and the first insulating layer 230 and extends to the inner wall of each through hole of the at least one through hole.
  • the second insulating layer 240 only covers the upper surface of the silicon layer 220 and the inner wall of each of the at least one through hole, so that the first wiring layer 231 can pass through the second
  • the insulating layer 230 is connected to at least one first pad on the substrate 210.
  • the material of the second insulating layer 240 may be the same as or different from the material of the first insulating layer 230, which is not specifically limited in this application.
  • the integrated device 200 may further include a fourth insulating layer 250 to protect and insulate the substrate 210.
  • the fourth insulating layer 250 may be disposed between the substrate 210 and the silicon layer 220, and the fourth insulating layer 250 may be formed with each of the at least one first pad.
  • the through hole corresponding to the disk so that each first pad of the at least one first pad is connected to the corresponding first pad through the through hole corresponding to the same first pad on the fourth insulating layer 250.
  • the fourth insulating layer 250 may be disposed between the substrate 210 and the silicon layer 220, and through holes are respectively formed above at least one first pad of the base 210, so that the The first wiring layer 231 can pass through the fourth insulating layer 250 and be connected to the at least one first pad.
  • the material of the fourth insulating layer 250 may be the same as or different from the material of the first insulating layer 230, which is not specifically limited in this application.
  • the integrated device 200 may further include a chip 260, and the chip 260 may be used to process and/or transmit and receive signals.
  • the chip 260 may be disposed above the first insulating layer 230, at least one second pad is disposed on the side of the chip 260 close to the first wiring layer 231, and the at least one second solder The pads are connected to the first wiring layer 231, respectively.
  • the chip 260 can be connected to the substrate 210 through the first wiring layer 231, avoiding the use of an interposer, which not only reduces the process complexity, but also reduces the thickness of the integrated device 200.
  • the chip 260 may be a chip of any type or specification.
  • the chip 260 may be a special chip or a security chip for executing complex encryption and decryption algorithms.
  • the security chip may be a chip provided with a circuit (such as a processor), various types of chips in the Internet of Things field, and so on.
  • the chip 260 may include elements such as transistors, resistors, capacitors, and inductors, and wiring devices or components.
  • the chip 260 may be a micro electronic device or component carrying an integrated circuit.
  • the first wiring layer 231 is provided with at least one link pad corresponding to the at least one second pad on a side close to the chip 260, and the The first wiring layer 231 is provided with at least one link pad corresponding to the at least one first pad on the side close to the silicon layer 220, wherein the first wiring layer 231 is close to the chip 260
  • the pitch of the connection pads provided on one side is smaller than the pitch of the connection pads provided on the side of the first wiring layer 231 close to the silicon layer 220.
  • At least one second pad of the chip 260 may be connected to the connection pad of the first wiring layer 231 through a solder ball or other connecting components. At least one chip 260 may be disposed above the first insulating layer 230.
  • the first wiring layer 231 is provided with nine connection pads on the side close to the three chips 260 to connect to the nine pads 261 respectively, and the first wiring layer 231 is close to Three connection pads are provided on one side of the silicon layer 220 to connect to the three pads 211 of the substrate 210 respectively.
  • the distance between the connecting pads of the first wiring layer 231 on the side close to the chip 260 may also be greater than or equal to that of the first wiring layer 231 close to the silicon.
  • the pitch of the connection pads provided on one side of the layer 220 may also be greater than or equal to that of the first wiring layer 231 close to the silicon.
  • FIG. 3 is a schematic diagram of a modified structure of the integrated device 200 shown in FIG. 2.
  • the silicon layer 220 may be formed with at least one conductive region corresponding to the at least one first pad, wherein each of the at least one conductive region The resistivity of the conductive region is less than or equal to a preset threshold to form the at least one conductive structure.
  • each conductive region in the at least one conductive region may be a columnar conductive region 225.
  • the silicon layer 220 may respectively form at least one conductive area above the at least one first pad of the substrate 210, and the at least one conductive area is used as the at least one conductive structure.
  • the at least one first pad may be electrically connected to the first wiring layer 231 through the silicon layer 220.
  • each conductive region in the at least one conductive region may also be a conductive region of other shapes, which is not specifically limited in this application.
  • the integrated device 200 further includes a third insulating layer 241 to protect and insulate the first wiring layer 231.
  • the silicon layer 220 is formed with a concave ring penetrating through the silicon layer 220 around the at least one conductive region, and the third insulating layer 241 is disposed on the silicon layer 220 and the first insulating layer 230 Between and extending into the concave ring, the third insulating layer 241 is formed with a through hole corresponding to each conductive region in the at least one conductive region, and each conductive region in the at least one conductive region passes through The through holes corresponding to the same conductive area on the third insulating layer 241 are connected to the first wiring layer 231.
  • the third insulating layer 241 is formed with a through hole above each of the at least one conductive area, so that the first wiring layer 231 can pass through the third insulating layer 241 to connect to The at least one conductive area.
  • FIG. 4 is a schematic diagram of another modified structure of the integrated device 200 shown in FIG. 2.
  • the integrated device 200 may further include a fifth insulating layer, so as to be provided on the substrate 210 for connecting the first wiring layer 231 to the substrate.
  • the second wiring layer of at least one pad of 210.
  • the fifth insulating layer may be disposed between the silicon layer 220 and the substrate 210, a second wiring layer is disposed in the fifth insulating layer, and at least one conductive structure of the silicon layer 220 may pass through The second wiring layer is connected to the at least one first pad.
  • the line width of the wiring in the second wiring layer 271 is greater than the line width of the wiring in the first wiring layer 231, and/or, the second wiring layer 271
  • the pitch of the middle wiring is greater than the pitch of the wiring in the first wiring layer 231 to increase the utilization rate of the wiring in the second wiring layer 271.
  • the RDL layer is located on the upper surface and the lower surface of the silicon layer 220.
  • the line width and/or line pitch of the first wiring layer on the upper surface is relatively large, which is used to match the thick-pitch metal wiring on the substrate 210; the line width and/or line pitch of the second wiring layer on the lower surface is relatively large. Small, used to match the fine pitch metal traces on the chip 260.
  • FIG. 5 is a schematic diagram of another modified structure of the integrated device 200 described in FIG. 2.
  • the silicon layer 220 may be divided into a plurality of independent silicon layer units, so as to avoid that the silicon layer 220 is in a higher temperature process due to The problem of cracking of the silicon layer caused by the mismatch of thermal expansion coefficient.
  • the silicon layer 220 may include a plurality of silicon layer units, and the first insulating layer 230 extends to a surrounding area of each of the plurality of silicon layer units.
  • each silicon layer unit of the plurality of silicon layer units is provided with at least one conductive structure, so as to realize the transmission of electrical signals in the vertical direction of each silicon layer unit.
  • the plurality of silicon layer units may be distributed at equal intervals, or may be distributed at unequal intervals.
  • the sizes of the multiple silicon layer units may be partly the same, or all of them may be the same, which is not specifically limited in this application.
  • the size of each silicon layer unit and the number of conductive structures can be set according to actual requirements.
  • the multiple silicon layer units may include two silicon layer units 221.
  • the silicon layer unit 221 on the left is provided with two conductive structures
  • the silicon layer unit 221 on the right is provided with one conductive structure.
  • FIG. 6 is another schematic diagram of a modified structure of the integrated device 200 shown in FIG. 2.
  • a passive device 280 may be formed in the silicon layer 220 to shorten the distance between the passive device and the chip integrated on the integrated device 200 , Thereby improving the performance of the integrated device 200.
  • the passive device 280 includes a capacitor.
  • the capacitors may be arranged in a layered manner, so as to arrange a plurality of capacitors connected in parallel, thereby increasing the capacitance of the capacitors.
  • the dielectric layer or the conductive layer in the capacitor may be formed with a groove structure to increase the capacitance of the capacitor.
  • FIG. 2 to FIG. 6 are only examples of the present application, and should not be construed as a limitation to the present application.
  • the second insulating layer 240 or the third insulating layer 241 may be directly omitted. That is, the first insulating layer 230 can be directly disposed on the upper surface of the silicon layer 220.
  • the fourth insulating layer 250 can be omitted directly. That is, the silicon layer 220 can be directly disposed on the upper surface of the substrate 210.
  • passive devices may also be provided in the silicon layer 220 in the integrated device 200 shown in FIG. 3.
  • a connecting cable may also be provided in each of the at least one through hole of the silicon layer 220 to connect the first wiring layer 231 to the pad of the substrate 210.
  • FIG. 7 is a schematic flowchart of a method 300 for preparing an integrated device according to an embodiment of the present application.
  • the method 300 may include:
  • S310 A silicon layer is formed on the upper surface of the substrate, and at least one first pad is provided on the upper surface of the substrate.
  • the first insulating layer is formed on the silicon layer.
  • a first wiring layer is provided in the first insulating layer, and the silicon layer is used to support the first wiring layer.
  • the silicon layer is provided with at least one conductive structure, whereby the first wiring layer can be connected to at least one first pad of the substrate through the at least one conductive structure, thereby realizing the transmission of electrical signals in the vertical direction .
  • the silicon layer includes at least one of a polycrystalline silicon layer, an amorphous silicon layer, and a microcrystalline silicon layer.
  • the S310 may include:
  • the silicon layer is deposited on the substrate.
  • the S320 may include:
  • the S330 may include:
  • the first insulating layer is formed above the silicon layer, and the first wiring layer respectively extends into the at least one through hole and is respectively connected to the at least one first pad to form the at least one A conductive structure.
  • both the first insulating layer and the first wiring layer extend into the first through hole in the at least one through hole, and the first through hole in the first through hole
  • the wiring layer is located outside the first insulating layer in the first through hole.
  • a conductive pillar is provided in a second through hole of the at least one through hole, and the first wiring layer is connected to the conductive pillar.
  • the hole diameter of each of the at least one through hole close to the first insulating layer is larger than the hole diameter of the same through hole close to the substrate.
  • the S330 may include:
  • a second insulating layer is formed above the silicon layer and the inner wall of each of the at least one through hole; and the first insulating layer is formed above the second insulating layer.
  • the S320 may include:
  • At least one conductive region of the silicon layer is formed, and the at least one conductive region respectively corresponds to the at least one first pad, wherein the resistivity of each conductive region in the at least one conductive region is less than or equal to a preset threshold , To form the at least one conductive structure.
  • the S330 may include:
  • a concave ring penetrating through the silicon layer is formed around each of the at least one conductive area; a third insulation is formed between the silicon layer and the first insulating layer and in the concave ring Layer; forming a through hole corresponding to each conductive region in the at least one conductive region of the third insulating layer; forming the first insulating layer on the third insulating layer, in the at least one conductive region Each conductive area of is connected to the first wiring layer through a through hole corresponding to the same conductive area on the third insulating layer.
  • the S320 may include:
  • a fourth insulating layer is formed above the substrate; a through hole corresponding to each first pad of the at least one first pad forming the fourth insulating layer; above the fourth insulating layer
  • the silicon layer is formed, and each first pad of the at least one first pad is connected to a conductive pad corresponding to the same first pad through a through hole corresponding to the same first pad on the fourth insulating layer. structure.
  • the S320 may include:
  • a fifth insulating layer is formed on the substrate, and a second wiring layer is arranged in the fifth insulating layer; the silicon layer is arranged on the fifth insulating layer, and at least one conductive structure of the silicon layer passes through The second wiring layer is connected to the at least one first pad.
  • the line width of the wiring in the second wiring layer is greater than the line width of the wiring in the first wiring layer, and/or the spacing of the wiring in the second wiring layer is greater than the line width of the wiring in the second wiring layer.
  • the pitch of the wiring in the first wiring layer is greater than the line width of the wiring in the first wiring layer.
  • the S330 may include:
  • the silicon layer is divided into a plurality of silicon layer units; the first insulating layer is formed above the plurality of silicon layer units and the surrounding area of each of the plurality of silicon layer units.
  • each silicon layer unit of the plurality of silicon layer units is provided with at least one conductive structure.
  • passive devices are formed in the silicon layer.
  • the passive device includes a capacitor.
  • the method 300 may further include:
  • a chip is provided above the first insulating layer; wherein at least one second pad is provided on a side of the chip close to the first wiring layer, and the at least one second pad is respectively connected to the first A wiring layer.
  • the first wiring layer is provided with at least one link pad corresponding to the at least one second pad on a side close to the chip, and the first wiring layer is close to the chip.
  • One side of the silicon layer is provided with at least one link pad corresponding to the at least one first pad, wherein the distance between the connection pads provided on the side close to the chip of the first wiring layer is smaller than The pitch of the connection pads provided on the side of the first wiring layer close to the silicon layer.
  • the method 300 may be executed by a robot or a numerical control processing method, and the device software or process used to execute the method 300 may execute the method 300 by executing computer program codes stored in a memory.
  • the size of the sequence number of the above-mentioned processes does not mean the order of execution, and the execution order of each process should be determined by its function and internal logic, and should not be implemented in this application.
  • the implementation process of the example constitutes any limitation.
  • FIG. 8 to 14 are respectively schematic diagrams of structures formed in various stages in the process of preparing the integrated device shown in FIG. 2 in an embodiment of the present application.
  • the preparation method of the integrated device 200 shown in FIG. 2 will be described below in conjunction with FIG. 8 to FIG. 14.
  • the substrate 210 may be a glass, ceramic or organic substrate.
  • the organic substrate may include fillers such as resin, glass fiber, and silica balls.
  • At least one pad 211 is provided on the upper surface of the substrate 210.
  • a fourth insulating layer 250 is deposited on the upper surface of the substrate 210 (that is, the side where the pads are provided), and then a silicon layer 220 is deposited on the upper surface of the fourth insulating layer 250 to form a pattern. 9 shows the structure.
  • the insulating layer can be made of silicon oxide, silicon nitride, silicon glass (such as USG, BSG, PSG or BPSG), or can be coated spin-on-glass (SOG), polyimide ( PI), Parylene, benzocyclobutene (BCB), etc.
  • the fourth insulating layer 250 can also be omitted. That is, the silicon layer 220 is directly deposited on the upper surface of the substrate 210 by using a deposition process.
  • At least one through hole is formed on the silicon layer 220.
  • the bottom of the at least one through hole stays on the upper surface of the fourth insulating layer 250 to form the structure shown in FIG. 10.
  • the bottom of the at least one through hole can also stay on the at least one pad of the substrate 210.
  • a second insulating layer 240 is first deposited on the inner sidewall of the at least one through hole of the silicon layer 220 and the upper surface of the silicon layer 220. Then, an etching process is used to remove the second insulating layer 240 (and the fourth insulating layer 250) at the bottom of the at least one through hole to expose the pad 211 of the substrate 210. Finally, a deposition process is used to A metal layer 232 is deposited on the upper surface of the second insulating layer 240 and the bottom of the at least one through hole (that is, on the at least one first pad of the substrate 210, for example, the two through holes on the leftmost side in FIG. 11) , To form the structure shown in Figure 11.
  • the metal layer 232 above the second insulating layer 240 is patterned to form a connection pad 233 in the first wiring layer for the substrate 210, thereby forming FIG. 12 The structure shown.
  • the first wiring layer 231 is formed for the connection pads 233 of the substrate 210, the connection pads for the chip, and the wiring between the connection pads 233 of the substrate 210 and the connection pads for the chip.
  • the wiring layer 231 is disposed inside the first insulating layer 230 to form the structure described in FIG. 13.
  • the chip 260 with the micro bumps is soldered to the connection pad of the first wiring layer 231 for the chip 260.
  • the chip 260 with long micro bumps is soldered to the connection pad of the first wiring layer 231 for the chip 260 through a solder ball to form the structure shown in FIG. 14.
  • 15 to 18 are schematic diagrams of the structures formed at various stages in the process of preparing the integrated device shown in FIG. 3 according to the embodiments of the present application.
  • the preparation method of the integrated device 200 shown in FIG. 3 will be described below in conjunction with FIG. 15 to FIG. 18.
  • a deposition process is used to deposit a silicon layer 220 on the upper surface of the substrate 210, and then doped by local ion implantation and laser annealing to form a conductive area above each pad 211 of the substrate 210 225, further forming the structure shown in FIG. 15.
  • a concave ring (or gap) penetrating through the silicon layer 220 is formed around each conductive area 225, and then a deposition process is used to form the upper surface of the silicon layer 220 and the concave ring
  • a third insulating layer 241 is deposited in the ring to form the structure described in FIG. 16.
  • the first wiring layer 231 is formed for the connection pads of the substrate 210, the connection pads for the chip, and the wiring between the connection pads of the substrate 210 and the connection pads for the chip. 231 is disposed inside the first insulating layer 230 to form the structure described in FIG. 17.
  • the chip 260 with the micro bumps is soldered to the connection pad of the first wiring layer 231 for the chip 260.
  • the chip 260 with long micro bumps is soldered to the connection pad of the first wiring layer 231 for the chip 260 through a solder ball to form the structure shown in FIG. 18.
  • etching process mentioned above may include at least one of the following processes:
  • Dry etching process, wet etching process and laser etching process dry etching process, wet etching process and laser etching process.
  • the dry etching process may include at least one of the following etching processes: reactive ion etching, ion beam etching, and the like.
  • the chemical raw materials of the wet etching process may include, but are not limited to, an etching solution containing hydrofluoric acid.
  • the combination of dry etching and wet etching, or the combination of laser etching and wet etching can effectively ensure the shape of the etching and the flatness of the bottom surface. Wait.
  • PVD Physical Vapor Deposition
  • CVD Chemical Vapor Deposition
  • thermal oxidation plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), etc.
  • atomic layer deposition ALD
  • electroplating spin coating or spraying.
  • the disclosed integrated device, the components in the integrated device, and the method for preparing the integrated device may be implemented in other ways.
  • the integrated device embodiment described above is only exemplary.
  • the division of the layers is only a logical function division, and there may be other division methods in actual implementation.
  • multiple layers or devices can be combined or can be integrated.
  • some features (such as the second insulating layer 240 or the third insulating layer 241) can be ignored or not prepared.
  • first insulating layer 230 and the second insulating layer 240 may be combined into one layer.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Provided are an integrated device and a manufacturing method therefor. The integrated device comprises: a substrate, the upper surface of the substrate being provided with at least one first bonding pad; a silicon layer, the silicon layer being provided above the substrate, the silicon layer being provided with at least one conductive structure, and the at least one conductive structure separately corresponding to the at least one first bonding pad; and a first insulating layer, the first insulating layer being provided above the silicon layer, a first wiring layer being provided in the first insulating layer, and the at least one first bonding pad being connected to the first wiring layer separately by means of the at least one conductive structure. On the basis of the technical solution, the thickness and the cost of the integrated device can be effectively reduced.

Description

集成装置及其制备方法Integrated device and preparation method thereof 技术领域Technical field
本申请实施例涉及芯片封装领域,并且更具体地,涉及集成装置及其制备方法。The embodiments of the present application relate to the field of chip packaging, and more specifically, to an integrated device and a manufacturing method thereof.
背景技术Background technique
目前,2.5维(Dimensions,D)转接板(silicon/glass interposer)是后摩尔时代的一种重要的先进封装结构。At present, the 2.5-dimensional (Dimensions, D) silicon/glass interposer is an important advanced packaging structure in the post-Moore era.
具体而言,如图1所示,2.5D转接板120选取硅片或玻璃作为基底,先利用晶圆工艺在基底121的两个表面制作重布线层(redistribution layer,RDL),然后通过镀铜的硅通孔(Through Si Vias,TSV)或玻璃通孔(Through Glass Via,TGV)122实现电信号从转接板正面的布线层123到背面的布线层124的垂直传递。Specifically, as shown in FIG. 1, the 2.5D interposer 120 selects silicon wafer or glass as the substrate, and first uses a wafer process to fabricate a redistribution layer (RDL) on both surfaces of the substrate 121, and then plating Copper through silicon vias (Through Si Vias, TSV) or through glass vias (Through Glass Via, TGV) 122 realize the vertical transmission of electrical signals from the wiring layer 123 on the front side of the interposer to the wiring layer 124 on the back side.
在封装过程中,芯片(chip)130以倒桩的形式通过2.5D转接板120封装在基板110上。例如,2.5D转接板120与芯片130通过节距较小的微凸点或焊球连接;于此同时,2.5D转接板120与基板110之间通过节距较大的凸点或焊球连接。During the packaging process, the chip 130 is packaged on the substrate 110 through the 2.5D interposer 120 in the form of an inverted pile. For example, the 2.5D interposer board 120 and the chip 130 are connected by micro bumps or solder balls with a smaller pitch; at the same time, the 2.5D interposer board 120 and the substrate 110 are connected by bumps or solder balls with a larger pitch. The ball is connected.
但是,由于2.5D转接板120不仅需要集成TSV/TGV、镀铜、金属平坦化、晶圆减薄、再布线、微细间距铜凸点、高精度芯片键合等完整成套制备工艺,而且安装工艺复杂,增加了成本。而且基于2.5D转接板120形成的产品100,其厚度也过大。However, because the 2.5D transfer board 120 not only needs to integrate TSV/TGV, copper plating, metal planarization, wafer thinning, rewiring, fine-pitch copper bumps, high-precision chip bonding and other complete sets of manufacturing processes, but also installation The process is complicated, which increases the cost. Moreover, the thickness of the product 100 formed based on the 2.5D adapter plate 120 is too large.
发明内容Summary of the invention
提供一种集成装置及其制备方法,能够降低集成装置的成本和厚度。An integrated device and a preparation method thereof are provided, which can reduce the cost and thickness of the integrated device.
第一方面,提供了一种集成装置,包括:In the first aspect, an integrated device is provided, including:
基板,所述基板的上表面设置有至少一个第一焊盘;A substrate, the upper surface of the substrate is provided with at least one first pad;
硅层,所述硅层设置在所述基板的上方,所述硅层设置有至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘;A silicon layer, the silicon layer is provided above the substrate, the silicon layer is provided with at least one conductive structure, and the at least one conductive structure respectively corresponds to the at least one first pad;
第一绝缘层,所述第一绝缘层设置在所述硅层的上方,所述第一绝缘层内设置有第一布线层,所述至少一个第一焊盘分别通过所述至少一个导电结 构连接至所述第一布线层。A first insulating layer, the first insulating layer is disposed above the silicon layer, a first wiring layer is disposed in the first insulating layer, and the at least one first pad passes through the at least one conductive structure respectively Connected to the first wiring layer.
通过在基板的上表面设置一层硅层以及在所述硅层中设置与所述至少一个第一焊盘分别对应的至少一个导电结构,不仅能够实现垂直和水平方向的高密度金属互连,而且能够避免使用2.5D转接板。相比2.5D转接板,所述集成装置的制备工艺以及将待集成芯片集成到所述集成装置的集成工艺简单,不仅能够降低所述集成装置的成本,而且能够降低所述集成装置的总体厚度。By disposing a silicon layer on the upper surface of the substrate and disposing at least one conductive structure corresponding to the at least one first pad in the silicon layer, not only can vertical and horizontal high-density metal interconnections be realized, And can avoid the use of 2.5D adapter board. Compared with the 2.5D interposer board, the preparation process of the integrated device and the integration process of integrating the chip to be integrated into the integrated device are simple, which can not only reduce the cost of the integrated device, but also reduce the overall size of the integrated device. thickness.
同时,通过所述硅层支撑第一布线层,能够尽可能缓解所述基板和待集成芯片间的热膨胀系数差异,进而提升所述集成装置的性能。At the same time, supporting the first wiring layer by the silicon layer can alleviate the thermal expansion coefficient difference between the substrate and the chip to be integrated as much as possible, thereby improving the performance of the integrated device.
此外,通过所述硅层支撑所述第一布线层,可以在所述硅层中集成电容器等无源器件,以提高所述集成装置的性能。In addition, by supporting the first wiring layer by the silicon layer, passive devices such as capacitors can be integrated in the silicon layer to improve the performance of the integrated device.
在一些可能实现的方式中,所述硅层包括多晶硅层、非晶硅层和微晶硅层中的至少一层。In some possible implementation manners, the silicon layer includes at least one of a polycrystalline silicon layer, an amorphous silicon layer, and a microcrystalline silicon layer.
在一些可能实现的方式中,所述硅层为沉积在所述基板上的沉积层。In some possible implementation manners, the silicon layer is a deposition layer deposited on the substrate.
在一些可能实现的方式中,所述硅层形成有所述至少一个第一焊盘分别对应的至少一个通孔,其中,所述第一布线层分别延伸至所述至少一个通孔内,且分别连接至所述至少一个第一焊盘,以形成所述至少一个导电结构。In some possible implementation manners, the silicon layer is formed with at least one through hole corresponding to the at least one first pad, wherein the first wiring layer extends into the at least one through hole, and Are respectively connected to the at least one first pad to form the at least one conductive structure.
在一些可能实现的方式中,所述第一绝缘层和所述第一布线层均延伸至所述至少一个通孔中的第一通孔内,且所述第一通孔内的第一布线层位于所述第一通孔内的第一绝缘层的外侧。In some possible implementation manners, the first insulating layer and the first wiring layer both extend into the first through hole in the at least one through hole, and the first wiring in the first through hole The layer is located outside the first insulating layer in the first through hole.
在一些可能实现的方式中,所述至少一个通孔中的第二通孔内设置有导电柱,所述第一布线层连接至所述导电柱。In some possible implementation manners, a conductive pillar is provided in a second through hole of the at least one through hole, and the first wiring layer is connected to the conductive pillar.
在一些可能实现的方式中,所述至少一个通孔中的每一个通孔的靠近所述第一绝缘层的开口的孔径大于同一通孔的靠近所述基板的开口的孔径。In some possible implementation manners, the aperture of each through hole of the at least one through hole close to the first insulating layer is larger than the aperture of the same through hole close to the substrate.
在一些可能实现的方式中,所述集成装置还包括:In some possible implementation manners, the integrated device further includes:
第二绝缘层;Second insulating layer
其中,所述第二绝缘层设置在所述硅层和所述第一绝缘层之间,且延伸至所述至少一个通孔中每一个通孔的内壁。Wherein, the second insulating layer is disposed between the silicon layer and the first insulating layer, and extends to the inner wall of each through hole of the at least one through hole.
在一些可能实现的方式中,所述硅层形成有所述至少一个第一焊盘分别对应的至少一个导电区,其中,所述至少一个导电区中每一个导电区的电阻率小于或等于预设阈值,以形成所述至少一个导电结构。In some possible implementation manners, the silicon layer is formed with at least one conductive region corresponding to the at least one first pad, wherein the resistivity of each conductive region in the at least one conductive region is less than or equal to a predetermined value. A threshold is set to form the at least one conductive structure.
在一些可能实现的方式中,所述集成装置还包括:In some possible implementation manners, the integrated device further includes:
第三绝缘层;The third insulating layer;
其中,所述硅层在所述至少一个导电区的周围形成有贯通所述硅层的凹环,所述第三绝缘层设置在所述硅层和所述第一绝缘层之间,且延伸至所述凹环内,所述第三绝缘层形成有所述至少一个导电区中每一个导电区对应的通孔,所述至少一个导电区中的每一个导电区通过所述第三绝缘层上的同一导电区对应的通孔连接至所述第一布线层。Wherein, the silicon layer is formed with a concave ring penetrating the silicon layer around the at least one conductive area, and the third insulating layer is disposed between the silicon layer and the first insulating layer and extends Into the concave ring, the third insulating layer is formed with a through hole corresponding to each conductive area in the at least one conductive area, and each conductive area in the at least one conductive area passes through the third insulating layer The through holes corresponding to the same conductive area on the upper side are connected to the first wiring layer.
在一些可能实现的方式中,所述集成装置还包括:In some possible implementation manners, the integrated device further includes:
第四绝缘层;The fourth insulating layer;
其中,所述第四绝缘层设置在所述基板和所述硅层之间,所述第四绝缘层形成有所述至少一个第一焊盘中的每一个第一焊盘对应的通孔,所述至少一个第一焊盘中的每一个第一焊盘通过所述第四绝缘层上的同一第一焊盘对应的通孔连接至同一第一焊盘对应的导电结构。Wherein, the fourth insulating layer is disposed between the substrate and the silicon layer, and the fourth insulating layer is formed with a through hole corresponding to each first pad of the at least one first pad, Each first pad of the at least one first pad is connected to a conductive structure corresponding to the same first pad through a through hole corresponding to the same first pad on the fourth insulating layer.
在一些可能实现的方式中,所述集成装置还包括:In some possible implementation manners, the integrated device further includes:
第五绝缘层;The fifth insulating layer;
其中,所述第五绝缘层设置在所述硅层和所述基板之间,所述第五绝缘层内设置有第二布线层,所述硅层的至少一个导电结构分别通过所述第二布线层连接至所述至少一个第一焊盘。Wherein, the fifth insulating layer is disposed between the silicon layer and the substrate, a second wiring layer is disposed in the fifth insulating layer, and at least one conductive structure of the silicon layer passes through the second The wiring layer is connected to the at least one first pad.
在一些可能实现的方式中,所述第二布线层中布线的线宽大于所述第一布线层中布线的线宽,和/或,所述第二布线层中布线的间距大于所述第一布线层中布线的间距。In some possible implementation manners, the line width of the wiring in the second wiring layer is greater than the line width of the wiring in the first wiring layer, and/or the spacing of the wiring in the second wiring layer is greater than that of the first wiring layer. The pitch of wiring in a wiring layer.
在一些可能实现的方式中,所述硅层包括多个硅层单元,所述第一绝缘层延伸至所述多个硅层单元中的每一个硅层单元的周围区域。In some possible implementation manners, the silicon layer includes a plurality of silicon layer units, and the first insulating layer extends to a surrounding area of each silicon layer unit of the plurality of silicon layer units.
在一些可能实现的方式中,所述多个硅层单元中的每一个硅层单元至少设置有一个导电结构。In some possible implementation manners, each silicon layer unit of the plurality of silicon layer units is provided with at least one conductive structure.
在一些可能实现的方式中,所述硅层内形成有无源器件。In some possible implementation manners, passive devices are formed in the silicon layer.
在一些可能实现的方式中,所述无源器件包括电容器。In some possible implementations, the passive device includes a capacitor.
在一些可能实现的方式中,所述集成装置还包括:In some possible implementation manners, the integrated device further includes:
芯片,所述芯片设置在所述第一绝缘层的上方,所述芯片靠近所述第一布线层的一侧设置有至少一个第二焊盘,所述至少一个第二焊盘分别连接至所述第一布线层。A chip, the chip is arranged above the first insulating layer, at least one second pad is arranged on a side of the chip close to the first wiring layer, and the at least one second pad is respectively connected to the Mentioned first wiring layer.
在一些可能实现的方式中,所述第一布线层在靠近所述芯片的一侧设置有所述至少一个第二焊盘分别对应的至少一个链接焊盘,所述第一布线层在靠近所述硅层的一侧设置有所述至少一个第一焊盘分别对应的至少一个链接焊盘,其中,所述第一布线层在靠近所述芯片的一侧设置的连接焊盘的间距小于所述第一布线层在靠近所述硅层的一侧设置的连接焊盘的间距。In some possible implementation manners, the first wiring layer is provided with at least one link pad corresponding to the at least one second pad on a side close to the chip, and the first wiring layer is close to the chip. One side of the silicon layer is provided with at least one link pad corresponding to the at least one first pad, wherein the distance between the connection pads provided on the side close to the chip of the first wiring layer is smaller than the distance between the connection pads. The pitch of the connection pads provided on the side of the first wiring layer close to the silicon layer.
第二方面,提供了一种制备集成装置的方法,包括:In the second aspect, a method for preparing an integrated device is provided, including:
在基板的上表面形成硅层,所述基板的上表面设置有至少一个第一焊盘;Forming a silicon layer on the upper surface of the substrate, and at least one first pad is provided on the upper surface of the substrate;
形成所述硅层的至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘;Forming at least one conductive structure of the silicon layer, the at least one conductive structure respectively corresponding to the at least one first pad;
在所述硅层的上方形成第一绝缘层;Forming a first insulating layer above the silicon layer;
其中,所述第一绝缘层内设置有第一布线层,所述至少一个第一焊盘分别通过所述至少一个导电结构连接至所述第一布线层。Wherein, a first wiring layer is provided in the first insulating layer, and the at least one first pad is respectively connected to the first wiring layer through the at least one conductive structure.
在一些可能实现的方式中,所述硅层包括多晶硅层、非晶硅层和微晶硅层中的至少一层。In some possible implementation manners, the silicon layer includes at least one of a polycrystalline silicon layer, an amorphous silicon layer, and a microcrystalline silicon layer.
在一些可能实现的方式中,所述在基板的上表面形成硅层,包括:In some possible implementation manners, the forming a silicon layer on the upper surface of the substrate includes:
在所述基板上沉积所述硅层。The silicon layer is deposited on the substrate.
在一些可能实现的方式中,所述形成所述硅层的至少一个导电结构,包括:In some possible implementation manners, the at least one conductive structure forming the silicon layer includes:
形成所述硅层的至少一个通孔,所述至少一个通孔分别对应所述至少一个第一焊盘;其中,所述在所述硅层的上方形成第一绝缘层,包括:Forming at least one through hole of the silicon layer, the at least one through hole respectively corresponding to the at least one first pad; wherein the forming a first insulating layer above the silicon layer includes:
在所述硅层的上方形成第一绝缘层,所述第一布线层分别延伸至所述至少一个通孔内,且分别连接至所述至少一个第一焊盘,以形成所述至少一个导电结构。A first insulating layer is formed above the silicon layer, and the first wiring layer respectively extends into the at least one through hole and is respectively connected to the at least one first pad to form the at least one conductive pad. structure.
在一些可能实现的方式中,所述第一绝缘层和所述第一布线层均延伸至所述至少一个通孔中的第一通孔内,且所述第一通孔内的第一布线层位于所述第一通孔内的第一绝缘层的外侧。In some possible implementation manners, the first insulating layer and the first wiring layer both extend into the first through hole in the at least one through hole, and the first wiring in the first through hole The layer is located outside the first insulating layer in the first through hole.
在一些可能实现的方式中,所述至少一个通孔中的第二通孔内设置有导电柱,所述第一布线层连接至所述导电柱。In some possible implementation manners, a conductive pillar is provided in a second through hole of the at least one through hole, and the first wiring layer is connected to the conductive pillar.
在一些可能实现的方式中,所述至少一个通孔中的每一个通孔的靠近所述第一绝缘层的开口的孔径大于同一通孔的靠近所述基板的开口的孔径。In some possible implementation manners, the aperture of each through hole of the at least one through hole close to the first insulating layer is larger than the aperture of the same through hole close to the substrate.
在一些可能实现的方式中,所述在所述硅层上形成第一绝缘层,包括:In some possible implementation manners, the forming a first insulating layer on the silicon layer includes:
在所述硅层的上方以及所述至少一个通孔中的每一个通孔的内壁形成第二绝缘层;Forming a second insulating layer above the silicon layer and the inner wall of each of the at least one through hole;
在所述第二绝缘层的上方形成所述第一绝缘层。The first insulating layer is formed on the second insulating layer.
在一些可能实现的方式中,所述形成所述硅层的至少一个导电结构,包括:In some possible implementation manners, the at least one conductive structure forming the silicon layer includes:
形成所述硅层的至少一个导电区,所述至少一个导电区分别对应所述至少一个第一焊盘,其中,所述至少一个导电区中每一个导电区的电阻率小于或等于预设阈值,以形成所述至少一个导电结构。At least one conductive region of the silicon layer is formed, and the at least one conductive region respectively corresponds to the at least one first pad, wherein the resistivity of each conductive region in the at least one conductive region is less than or equal to a preset threshold , To form the at least one conductive structure.
在一些可能实现的方式中,所述在所述硅层上形成第一绝缘层,包括:In some possible implementation manners, the forming a first insulating layer on the silicon layer includes:
在所述至少一个导电区中的每一个导电区的周围形成贯通所述硅层的凹环;Forming a concave ring penetrating the silicon layer around each of the at least one conductive area;
在所述硅层和所述第一绝缘层之间以及所述凹环内,形成第三绝缘层;Forming a third insulating layer between the silicon layer and the first insulating layer and in the concave ring;
形成所述第三绝缘层的所述至少一个导电区中的每一个导电区对应的通孔;Forming a through hole corresponding to each conductive region of the at least one conductive region of the third insulating layer;
在所述第三绝缘层上形成所述第一绝缘层,所述至少一个导电区中的每一个导电区通过所述第三绝缘层上的同一导电区对应的通孔连接至所述第一布线层。The first insulating layer is formed on the third insulating layer, and each conductive area of the at least one conductive area is connected to the first insulating layer through a through hole corresponding to the same conductive area on the third insulating layer. Wiring layer.
在一些可能实现的方式中,所述在基板的上表面形成硅层,包括:In some possible implementation manners, the forming a silicon layer on the upper surface of the substrate includes:
在所述基板的上方形成第四绝缘层;Forming a fourth insulating layer above the substrate;
形成所述第四绝缘层的所述至少一个第一焊盘中的每一个第一焊盘对应的通孔;Forming a through hole corresponding to each first pad of the at least one first pad of the fourth insulating layer;
在所述第四绝缘层的上方形成所述硅层,所述至少一个第一焊盘中的每一个第一焊盘通过所述第四绝缘层上的同一第一焊盘对应的通孔连接至同一第一焊盘对应的导电结构。The silicon layer is formed above the fourth insulating layer, and each first pad of the at least one first pad is connected through a through hole corresponding to the same first pad on the fourth insulating layer To the conductive structure corresponding to the same first pad.
在一些可能实现的方式中,所述在基板的上表面形成硅层,包括:In some possible implementation manners, the forming a silicon layer on the upper surface of the substrate includes:
在所述基板上形成第五绝缘层,所述第五绝缘层内设置有第二布线层;Forming a fifth insulating layer on the substrate, and a second wiring layer is provided in the fifth insulating layer;
在所述第五绝缘层上设置所述硅层,所述硅层的至少一个导电结构分别通过所述第二布线层连接至所述至少一个第一焊盘。The silicon layer is provided on the fifth insulating layer, and at least one conductive structure of the silicon layer is respectively connected to the at least one first pad through the second wiring layer.
在一些可能实现的方式中,所述第二布线层中布线的线宽大于所述第一布线层中布线的线宽,和/或,所述第二布线层中布线的间距大于所述第一布线层中布线的间距。In some possible implementation manners, the line width of the wiring in the second wiring layer is greater than the line width of the wiring in the first wiring layer, and/or the spacing of the wiring in the second wiring layer is greater than that of the first wiring layer. The pitch of wiring in a wiring layer.
在一些可能实现的方式中,所述在所述硅层的上方形成第一绝缘层,包括:In some possible implementation manners, the forming a first insulating layer on the silicon layer includes:
将所述硅层分割成多个硅层单元;Dividing the silicon layer into a plurality of silicon layer units;
在所述多个硅层单元的上方以及所述多个硅层单元中的每一个硅层单元的周围区域形成所述第一绝缘层。The first insulating layer is formed above the plurality of silicon layer units and a surrounding area of each silicon layer unit of the plurality of silicon layer units.
在一些可能实现的方式中,所述多个硅层单元中的每一个硅层单元至少设置有一个导电结构。In some possible implementation manners, each silicon layer unit of the plurality of silicon layer units is provided with at least one conductive structure.
在一些可能实现的方式中,所述硅层内形成有无源器件。In some possible implementation manners, passive devices are formed in the silicon layer.
在一些可能实现的方式中,所述无源器件包括电容器。In some possible implementations, the passive device includes a capacitor.
在一些可能实现的方式中,所述方法还包括:In some possible implementation manners, the method further includes:
在所述第一绝缘层的上方设置芯片;Disposing a chip above the first insulating layer;
其中,所述芯片靠近所述第一布线层的一侧设置有至少一个第二焊盘,所述至少一个第二焊盘分别连接至所述第一布线层。Wherein, at least one second pad is provided on a side of the chip close to the first wiring layer, and the at least one second pad is respectively connected to the first wiring layer.
在一些可能实现的方式中,所述第一布线层在靠近所述芯片的一侧设置有所述至少一个第二焊盘分别对应的至少一个链接焊盘,所述第一布线层在靠近所述硅层的一侧设置有所述至少一个第一焊盘分别对应的至少一个链接焊盘,其中,所述第一布线层在靠近所述芯片的一侧设置的连接焊盘的间距小于所述第一布线层在靠近所述硅层的一侧设置的连接焊盘的间距。In some possible implementation manners, the first wiring layer is provided with at least one link pad corresponding to the at least one second pad on a side close to the chip, and the first wiring layer is close to the chip. One side of the silicon layer is provided with at least one link pad corresponding to the at least one first pad, wherein the distance between the connection pads provided on the side close to the chip of the first wiring layer is smaller than the distance between the connection pads. The pitch of the connection pads provided on the side of the first wiring layer close to the silicon layer.
第三方面,提供了一种集成装置,包括:In a third aspect, an integrated device is provided, including:
按照第二方面以及第二方面中任一可能实现的方式中所述的方法制备的集成装置。An integrated device prepared according to the method described in the second aspect and any possible implementation manner in the second aspect.
附图说明Description of the drawings
图1是现有的芯片安装方案的示例。Figure 1 is an example of an existing chip mounting solution.
图2是本申请实施例的集成装置的示意性结构图。Fig. 2 is a schematic structural diagram of an integrated device according to an embodiment of the present application.
图3至图6均是图2所示的集成装置的变形结构的示意图。3 to 6 are schematic diagrams of the deformed structure of the integrated device shown in FIG. 2.
图7是本申请实施例的制备集成装置的示意性流程图。Fig. 7 is a schematic flow chart of preparing an integrated device according to an embodiment of the present application.
图8至图14分别是本申请实施例的在制备图2所示的集成装置的过程中的各个阶段中所形成的结构的示意图。8 to 14 are respectively schematic diagrams of structures formed in various stages in the process of preparing the integrated device shown in FIG. 2 in an embodiment of the present application.
图15至图18分别是本申请实施例的在制备图3所示的集成装置的过程中的各个阶段中所形成的结构的示意图。15 to 18 are schematic diagrams of the structures formed at various stages in the process of preparing the integrated device shown in FIG. 3 according to the embodiments of the present application.
具体实施方式detailed description
下面将结合附图详细介绍本申请的集成装置及其制备方法。The integrated device of the present application and its preparation method will be described in detail below with reference to the drawings.
应理解,本申请涉及的集成装置可应用于各种电子设备。例如,智能手机、笔记本电脑、平板电脑、游戏设备等便携式或移动计算设备,以及电子数据库、汽车、银行自动柜员机(Automated Teller Machine,ATM)等其他电子设备。It should be understood that the integrated device involved in this application can be applied to various electronic devices. For example, portable or mobile computing devices such as smartphones, notebook computers, tablet computers, and gaming devices, as well as other electronic devices such as electronic databases, automobiles, and bank automated teller machines (ATM).
需要说明的是,为便于说明,在本申请的实施例中,相同的附图标记表示相同的部件,并且为了简洁,在不同实施例中,省略对相同部件的详细说明。应理解,附图示出的本申请实施例中的各种部件的厚度、长宽等尺寸,以及集成装置的整体厚度、长宽等尺寸仅为示例性说明,而不应对本申请构成任何限定。It should be noted that, for ease of description, in the embodiments of the present application, the same reference numerals denote the same components, and for brevity, detailed descriptions of the same components are omitted in different embodiments. It should be understood that the thickness, length and width of the various components in the embodiments of the application shown in the drawings, as well as the overall thickness, length and width of the integrated device, are only exemplary descriptions, and should not constitute any limitation to the application. .
此外,为便于理解,在以下示出的实施例中,对于不同实施例中示出的结构中,相同的结构采用相同的附图标记,并且为了简洁,省略对相同结构的详细说明。In addition, for ease of understanding, in the embodiments shown below, for the structures shown in different embodiments, the same structures are given the same reference numerals, and for the sake of brevity, detailed descriptions of the same structures are omitted.
图2是本申请实施例的集成装置的示意性结构图。Fig. 2 is a schematic structural diagram of an integrated device according to an embodiment of the present application.
如图2所示,所述集成装置200可包括基板(Substrate)210、位于基板210的上方的硅层220以及位于硅层220上方的第一绝缘层230。As shown in FIG. 2, the integrated device 200 may include a substrate 210, a silicon layer 220 located above the substrate 210, and a first insulating layer 230 located above the silicon layer 220.
其中,所述基板210的上表面可设置有至少一个第一焊盘,所述硅层220可设置有至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘,所述第一绝缘层230内设置有第一布线层231,所述至少一个第一焊盘分别通过所述至少一个导电结构连接至所述第一布线层。Wherein, the upper surface of the substrate 210 may be provided with at least one first pad, and the silicon layer 220 may be provided with at least one conductive structure, and the at least one conductive structure corresponds to the at least one first pad. A first wiring layer 231 is provided in the first insulating layer 230, and the at least one first pad is respectively connected to the first wiring layer through the at least one conductive structure.
换言之,所述集成装置200可包括基板210、位于基板210的上方的硅层220、位于硅层220上方的第一绝缘层230以及位于所述第一绝缘层230中的第一布线层231,其中,所述硅层220用于支撑所述第一布线层231,所述第一绝缘层230用于保护并绝缘所述第一布线层231。所述第一布线层231分别通过所述硅层220中的至少一个导电结构连接至所述基板210的至少一个第一焊盘,以实现电信号从所述第一布线层231到所述基板210上的至少一个第一焊盘之间的垂直传递。In other words, the integrated device 200 may include a substrate 210, a silicon layer 220 located above the substrate 210, a first insulating layer 230 located above the silicon layer 220, and a first wiring layer 231 located in the first insulating layer 230, The silicon layer 220 is used to support the first wiring layer 231, and the first insulating layer 230 is used to protect and insulate the first wiring layer 231. The first wiring layer 231 is respectively connected to at least one first pad of the substrate 210 through at least one conductive structure in the silicon layer 220, so as to realize electrical signals from the first wiring layer 231 to the substrate. Vertical transfer between at least one first pad on 210.
通过在基板210的上表面设置所述硅层220以及在所述硅层220中设置与所述至少一个第一焊盘分别对应的至少一个导电结构,不仅能够实现垂直 和水平方向的高密度金属互连,而且能够避免使用2.5D转接板。相比2.5D转接板,所述集成装置的制备工艺以及将待集成芯片集成到所述集成装置的集成工艺简单,不仅能够降低所述集成装置200的成本,而且能够降低所述集成装置200的总体厚度。By disposing the silicon layer 220 on the upper surface of the substrate 210 and disposing at least one conductive structure corresponding to the at least one first pad in the silicon layer 220, it is not only possible to realize high-density metal in the vertical and horizontal directions. Interconnect, and can avoid the use of 2.5D transfer board. Compared with the 2.5D interposer board, the preparation process of the integrated device and the integration process of integrating the chip to be integrated into the integrated device are simple, which not only can reduce the cost of the integrated device 200, but also can reduce the integrated device 200 The overall thickness.
同时,通过所述硅层220支撑第一布线层231,能够尽可能地缓解所述基板210和待集成芯片间的热膨胀系数差异,进而提升所述集成装置200的性能。At the same time, the first wiring layer 231 is supported by the silicon layer 220, which can alleviate the thermal expansion coefficient difference between the substrate 210 and the chip to be integrated as much as possible, thereby improving the performance of the integrated device 200.
此外,通过所述硅层220支撑所述第一布线层231,可以在所述硅层220中集成电容等无源器件,以提高所述集成装置200的性能。In addition, the first wiring layer 231 is supported by the silicon layer 220, and passive devices such as capacitors can be integrated in the silicon layer 220 to improve the performance of the integrated device 200.
应理解,所述基板210可为各种芯片提供电连接、保护、支撑、散热、组装等功效,以实现多引脚化,缩小封装产品体积、改善电性能及散热性、超高密度或多芯片模块化的目的。It should be understood that the substrate 210 can provide electrical connection, protection, support, heat dissipation, assembly and other functions for various chips, so as to achieve multi-pin, reduce the size of packaged products, improve electrical performance and heat dissipation, ultra-high density or more. The purpose of chip modularization.
例如,所述基板210可以是各种封装技术中使用的各类柔性或刚性、有机或无机基板。基板210的材料包括但不限于石英、玻璃、陶瓷以及各类树脂等有机材料。其中所述有机基板还可以包括玻纤和氧化硅球等填充物(filler),例如FR4基板,双马来酰亚胺三嗪(Bismaleimide-Triazine,BT)树脂基板。其中FR4是一种耐燃材料等级的代号。For example, the substrate 210 may be various types of flexible or rigid, organic or inorganic substrates used in various packaging technologies. The material of the substrate 210 includes, but is not limited to, organic materials such as quartz, glass, ceramics, and various resins. The organic substrate may also include fillers such as glass fibers and silicon oxide balls, such as FR4 substrates, and Bismaleimide-Triazine (BT) resin substrates. Among them, FR4 is a code for the grade of flame-resistant materials.
基板210的至少一个第一焊盘可以是在进入本集成工艺流程之前可以已经在其一表面制备的焊盘(Pad),也可以是在进入本集成工艺流程之后制备的焊盘。所述基板210的上表面可以是表面已制备有焊盘的一面,或者说,与所述硅层220的下表面相对的一面即为所述基板210的上表面。The at least one first pad of the substrate 210 may be a pad (Pad) that may have been prepared on one surface before entering the integration process flow, or may be a pad prepared after entering the integration process flow. The upper surface of the substrate 210 may be the surface on which the pads have been prepared, or in other words, the surface opposite to the lower surface of the silicon layer 220 is the upper surface of the substrate 210.
结合图2来说,所述基板210可包括3个焊盘211,每个焊盘211可以连接至所述基板210中的内部电路。With reference to FIG. 2, the substrate 210 may include three pads 211, and each pad 211 may be connected to an internal circuit in the substrate 210.
硅层220可以是多晶硅层,也可以是非晶硅层或微晶硅层,还可以是由包括硅的混合材料形成的材料层,所述硅层220可以是沉积在所述基板210上的沉积层。例如,所述对称220可以是包括多晶硅或非晶硅的混合材料形成的沉积层。The silicon layer 220 may be a polycrystalline silicon layer, an amorphous silicon layer or a microcrystalline silicon layer, or a material layer formed of a mixed material including silicon. The silicon layer 220 may be deposited on the substrate 210. Floor. For example, the symmetry 220 may be a deposition layer formed of a mixed material including polysilicon or amorphous silicon.
第一绝缘层230可以是由具有绝缘性质的任一材料形成的材料层或沉积层。例如,所述第一绝缘层230的材料可以包括但不限于氧化硅、氮化硅、硅玻璃,也可以是旋转涂布玻璃(Spin on glass,SOG)、聚酰亚胺(polyimide,PI),帕里纶(Parylene),苯并环丁烯(BCB)等。其中,所述硅玻璃包括 但不限于未掺杂硅玻璃(Undoped Silicon Glass,USG),硼硅玻璃(Boro-silicate glass,BSG),磷硅玻璃(phospho-silicate glass,PSG),硼磷硅玻璃(Boro-phospho-silicate glass,BPSG)。又例如,所述第一绝缘层230的材料也可以是一些无机材料,例如由四乙氧基硅烷(Tetraethyl Orth silicate,TEOS)合成的硅氧化物,硅的氧化物、氮化物以及陶瓷。又例如,所述第一绝缘层230可以是上述材料的叠层,或所述第一绝缘层可以是由上述材料混合后的材料形成的材料层。The first insulating layer 230 may be a material layer or a deposited layer formed of any material having insulating properties. For example, the material of the first insulating layer 230 may include, but is not limited to, silicon oxide, silicon nitride, silicon glass, spin-on glass (SOG), polyimide (PI) , Parylene, benzocyclobutene (BCB) and so on. Wherein, the silicon glass includes, but is not limited to, Undoped Silicon Glass (USG), Boro-silicate glass (BSG), phospho-silicate glass (PSG), and borophosphosilicate glass (PSG). Glass (Boro-phospho-silicate glass, BPSG). For another example, the material of the first insulating layer 230 may also be some inorganic materials, such as silicon oxide synthesized from Tetraethyl Orth Silicate (TEOS), silicon oxide, nitride, and ceramic. For another example, the first insulating layer 230 may be a stacked layer of the above-mentioned materials, or the first insulating layer may be a material layer formed of a mixed material of the above-mentioned materials.
第一布线层231可包括针对所述基板210的至少一个焊盘的连接焊盘,与针对所述基板210的至少一个焊盘的连接焊盘连接的布线,以及针对待集成芯片的连接焊盘。其中,所述第一绝缘层230的下表面露出分别针对所述基板210的至少一个焊盘的连接焊盘,和所述第一绝缘层230的上表面露出分别针对所述基板210的至少一个焊盘的连接焊盘。The first wiring layer 231 may include a connection pad for at least one pad of the substrate 210, a wiring connected with a connection pad for at least one pad of the substrate 210, and a connection pad for a chip to be integrated . Wherein, the lower surface of the first insulating layer 230 exposes connection pads respectively directed to at least one pad of the substrate 210, and the upper surface of the first insulating layer 230 exposes at least one contact pad respectively directed to the substrate 210. The connection pad of the pad.
可选地,在本申请的一些实施例中,所述第一布线层231可穿过所述硅层220并连接至所述基板210的至少一个第一焊盘。Optionally, in some embodiments of the present application, the first wiring layer 231 may pass through the silicon layer 220 and be connected to at least one first pad of the substrate 210.
例如,如图2所示,所述硅层220可形成有所述至少一个第一焊盘分别对应的至少一个通孔,其中,所述第一布线层231分别延伸至所述至少一个通孔内,且分别连接至所述基板210上的至少一个第一焊盘,以形成所述硅层220的至少一个导电结构。For example, as shown in FIG. 2, the silicon layer 220 may be formed with at least one through hole corresponding to the at least one first pad, wherein the first wiring layer 231 extends to the at least one through hole, respectively , And are respectively connected to at least one first pad on the substrate 210 to form at least one conductive structure of the silicon layer 220.
换言之,所述硅层220在所述至少一个第一焊盘的上方分别形成至少一个通孔,所述至少一个通孔用于为所述第一布线层231提供容纳空间,以便所述第一布线层231能够连接至所述至少一个第一焊盘。所述至少一个通孔和所述通孔内的第一布线层231可用于形成所述至少一个导电结构,所述至少一个导电结构用于将所述第一布线层231连接至所述基板210的至少一个第一焊盘。In other words, the silicon layer 220 respectively forms at least one through hole above the at least one first pad, and the at least one through hole is used to provide a receiving space for the first wiring layer 231 so that the first The wiring layer 231 can be connected to the at least one first pad. The at least one through hole and the first wiring layer 231 in the through hole may be used to form the at least one conductive structure, and the at least one conductive structure is used to connect the first wiring layer 231 to the substrate 210 Of at least one first pad.
作为一个示例,所述第一绝缘层230和所述第一布线层231均延伸至所述至少一个通孔中的第一通孔内,且所述第一通孔内的第一布线层231位于所述第一通孔内的第一绝缘层230的外侧。As an example, the first insulating layer 230 and the first wiring layer 231 both extend into the first through hole in the at least one through hole, and the first wiring layer 231 in the first through hole The outer side of the first insulating layer 230 located in the first through hole.
换言之,所述第一布线层231位于所述第一通孔的内壁,且连接至所述基板210的至少一个第一焊盘,所述第一绝缘层230填满所述第一通孔内由所述第一布线层231围成的区域。In other words, the first wiring layer 231 is located on the inner wall of the first through hole and is connected to at least one first pad of the substrate 210, and the first insulating layer 230 fills the first through hole The area surrounded by the first wiring layer 231.
结合图2来说,所述第一通孔可以是所述硅层220上的最左侧的通孔。With reference to FIG. 2, the first through hole may be the leftmost through hole on the silicon layer 220.
作为另一示例,所述至少一个通孔中的第二通孔内设置有导电柱,所述第一布线层231连接至所述导电柱。As another example, a conductive pillar is disposed in the second through hole of the at least one through hole, and the first wiring layer 231 is connected to the conductive pillar.
换言之,所述第二通孔内可以填充满与所述第一布线层231的材料相同的导电材料,所述第一布线层231连接至所述第二通孔内的导电材料,进而形成所述硅层220的导电结构。In other words, the second through hole can be filled with the same conductive material as the first wiring layer 231, and the first wiring layer 231 is connected to the conductive material in the second through hole, thereby forming the The conductive structure of the silicon layer 220 is described.
结合图2来说,所述第二通孔可以是所述硅层220上的最右侧的通孔。With reference to FIG. 2, the second through hole may be the rightmost through hole on the silicon layer 220.
当然,也可以将所述第一布线层231延伸至所述至少一个通孔中的第二通孔内,并填满所述第二通孔,以形成所述硅层220的导电结构,本申请对此不做具体限定。Of course, it is also possible to extend the first wiring layer 231 into the second through hole of the at least one through hole and fill the second through hole to form the conductive structure of the silicon layer 220. The application does not make specific restrictions on this.
应理解,所述至少一个通孔可以包括至少一个第一通孔和/或至少一个第二通孔,本申请对此不做具体限制。It should be understood that the at least one through hole may include at least one first through hole and/or at least one second through hole, which is not specifically limited in this application.
可选地,在本申请的一些实施例中,所述至少一个通孔中的每一个通孔为呈轴对称的通孔。例如,所述至少一个通孔中的每一个通孔的靠近所述第一绝缘层的开口的孔径大于同一通孔的靠近所述基板的开口的孔径,以便于所述第一绝缘层230或所述第一布线层231延伸至通孔内。Optionally, in some embodiments of the present application, each of the at least one through hole is an axisymmetric through hole. For example, the hole diameter of each of the at least one through hole close to the first insulating layer is larger than the hole diameter of the same through hole close to the substrate, so that the first insulating layer 230 or The first wiring layer 231 extends into the through hole.
结合图2来说,所述至少一个通孔中的每一个通孔可以是去顶的倒锥形结构。应理解,在其他可替代实施例中,所述至少一个通孔中的每一个通孔也可以是其它形状的通孔,例如倒梯形通孔。With reference to FIG. 2, each of the at least one through hole may be a topped inverted cone structure. It should be understood that in other alternative embodiments, each of the at least one through hole may also be a through hole of other shapes, such as an inverted trapezoidal through hole.
如图2所示,在本申请的一些实施例中,所述集成装置200还可包括第二绝缘层240,以保护并绝缘所述第一布线层231。As shown in FIG. 2, in some embodiments of the present application, the integrated device 200 may further include a second insulating layer 240 to protect and insulate the first wiring layer 231.
例如,所述第二绝缘层240设置在所述硅层220和所述第一绝缘层230之间,且延伸至所述至少一个通孔中每一个通孔的内壁。For example, the second insulating layer 240 is disposed between the silicon layer 220 and the first insulating layer 230 and extends to the inner wall of each through hole of the at least one through hole.
换言之,所述第二绝缘层240仅包覆所述硅层220的上表面和所述至少一个通孔中每一个通孔的内壁,使得所述第一布线层231能够穿过所述第二绝缘层230连接至所述基板210上的至少一个第一焊盘。In other words, the second insulating layer 240 only covers the upper surface of the silicon layer 220 and the inner wall of each of the at least one through hole, so that the first wiring layer 231 can pass through the second The insulating layer 230 is connected to at least one first pad on the substrate 210.
应理解,所述第二绝缘层240的材料可以与所述第一绝缘层230的材料相同,也可以不同,本申请对此不做具体限制。It should be understood that the material of the second insulating layer 240 may be the same as or different from the material of the first insulating layer 230, which is not specifically limited in this application.
如图2所示,在本申请的一些实施例中,所述集成装置200还可包括第四绝缘层250,以保护和绝缘所述基板210。As shown in FIG. 2, in some embodiments of the present application, the integrated device 200 may further include a fourth insulating layer 250 to protect and insulate the substrate 210.
例如,所述第四绝缘层250可设置在所述基板210和所述硅层220之间,所述第四绝缘层250可形成有所述至少一个第一焊盘中的每一个第一焊盘对 应的通孔,以便所述至少一个第一焊盘中的每一个第一焊盘通过所述第四绝缘层250上的同一第一焊盘对应的通孔连接至同一第一焊盘对应的导电结构。For example, the fourth insulating layer 250 may be disposed between the substrate 210 and the silicon layer 220, and the fourth insulating layer 250 may be formed with each of the at least one first pad. The through hole corresponding to the disk, so that each first pad of the at least one first pad is connected to the corresponding first pad through the through hole corresponding to the same first pad on the fourth insulating layer 250 The conductive structure.
换言之,所述第四绝缘层250可设置在所述基板210和所述硅层220之间,且在所述基本210的至少一个第一焊盘的上方分别形成有通孔,以使得所述第一布线层231能够穿过所述第四绝缘层250并连接至所述至少一个第一焊盘。In other words, the fourth insulating layer 250 may be disposed between the substrate 210 and the silicon layer 220, and through holes are respectively formed above at least one first pad of the base 210, so that the The first wiring layer 231 can pass through the fourth insulating layer 250 and be connected to the at least one first pad.
应理解,所述第四绝缘层250的材料可以与所述第一绝缘层230的材料相同,也可以不同,本申请对此不做具体限制。It should be understood that the material of the fourth insulating layer 250 may be the same as or different from the material of the first insulating layer 230, which is not specifically limited in this application.
如图2所示,在本申请的一些实施例中,所述集成装置200还可包括芯片260,所述芯片260可用于处理和/或收发信号。As shown in FIG. 2, in some embodiments of the present application, the integrated device 200 may further include a chip 260, and the chip 260 may be used to process and/or transmit and receive signals.
例如,所述芯片260可设置在所述第一绝缘层230的上方,所述芯片260靠近所述第一布线层231的一侧设置有至少一个第二焊盘,所述至少一个第二焊盘分别连接至所述第一布线层231。For example, the chip 260 may be disposed above the first insulating layer 230, at least one second pad is disposed on the side of the chip 260 close to the first wiring layer 231, and the at least one second solder The pads are connected to the first wiring layer 231, respectively.
换言之,所述芯片260可通过所述第一布线层231连接至所述基板210,避免了使用转接板,不仅能够降低工艺复杂度,而且能够降低所述集成装置200的厚度。In other words, the chip 260 can be connected to the substrate 210 through the first wiring layer 231, avoiding the use of an interposer, which not only reduces the process complexity, but also reduces the thickness of the integrated device 200.
应理解,所述芯片260可以是任意类型或规格的芯片。例如,所述芯片260可以是用于执行复杂的加密、解密算法的特殊芯片或安全芯片。其中,所述安全芯片可以是设置有电路的芯片(例如处理器)、物联网领域各类芯片等等。例如,所述芯片260可以包括晶体管、电阻、电容和电感等元件及布线的器件或部件,例如,所述芯片260可以是承载有集成电路(integrated circuit)的微型电子器件或部件。It should be understood that the chip 260 may be a chip of any type or specification. For example, the chip 260 may be a special chip or a security chip for executing complex encryption and decryption algorithms. Wherein, the security chip may be a chip provided with a circuit (such as a processor), various types of chips in the Internet of Things field, and so on. For example, the chip 260 may include elements such as transistors, resistors, capacitors, and inductors, and wiring devices or components. For example, the chip 260 may be a micro electronic device or component carrying an integrated circuit.
可选地,在本申请的一些实施例中,所述第一布线层231在靠近所述芯片260的一侧设置有所述至少一个第二焊盘分别对应的至少一个链接焊盘,所述第一布线层231在靠近所述硅层220的一侧设置有所述至少一个第一焊盘分别对应的至少一个链接焊盘,其中,所述第一布线层231在靠近所述芯片260的一侧设置的连接焊盘的间距小于所述第一布线层231在靠近所述硅层220的一侧设置的连接焊盘的间距。Optionally, in some embodiments of the present application, the first wiring layer 231 is provided with at least one link pad corresponding to the at least one second pad on a side close to the chip 260, and the The first wiring layer 231 is provided with at least one link pad corresponding to the at least one first pad on the side close to the silicon layer 220, wherein the first wiring layer 231 is close to the chip 260 The pitch of the connection pads provided on one side is smaller than the pitch of the connection pads provided on the side of the first wiring layer 231 close to the silicon layer 220.
其中,所述芯片260的至少一个第二焊盘可通过锡球或其他连接部件连接至所述第一布线层231的连接焊盘。所述第一绝缘层230的上方可设置有至少一个芯片260。Wherein, at least one second pad of the chip 260 may be connected to the connection pad of the first wiring layer 231 through a solder ball or other connecting components. At least one chip 260 may be disposed above the first insulating layer 230.
结合图2来说,所述第一绝缘层的上方设置有3个芯片260,所述3个芯片260总共设置有9个焊盘261。此时,所述第一布线层231在靠近所述3个芯片260的一侧设置有9个连接焊盘,以分别连接至所述9个焊盘261,所述第一布线层231在靠近所述硅层220的一侧设置有3个连接焊盘,以分别连接至所述基板210的3个焊盘211。With reference to FIG. 2, three chips 260 are provided above the first insulating layer, and a total of 9 pads 261 are provided on the three chips 260. At this time, the first wiring layer 231 is provided with nine connection pads on the side close to the three chips 260 to connect to the nine pads 261 respectively, and the first wiring layer 231 is close to Three connection pads are provided on one side of the silicon layer 220 to connect to the three pads 211 of the substrate 210 respectively.
当然,在其他可替代实施例中,所述第一布线层231在靠近所述芯片260的一侧设置的连接焊盘的间距也可以大于或等于所述第一布线层231在靠近所述硅层220的一侧设置的连接焊盘的间距。Of course, in other alternative embodiments, the distance between the connecting pads of the first wiring layer 231 on the side close to the chip 260 may also be greater than or equal to that of the first wiring layer 231 close to the silicon. The pitch of the connection pads provided on one side of the layer 220.
图3是图2所示的集成装置200的变形结构的示意图。FIG. 3 is a schematic diagram of a modified structure of the integrated device 200 shown in FIG. 2.
如图3所示,在本申请的一些实施例中,所述硅层220可形成有所述至少一个第一焊盘分别对应的至少一个导电区,其中,所述至少一个导电区中每一个导电区的电阻率小于或等于预设阈值,以形成所述至少一个导电结构。例如,所述至少一个导电区中的每一个导电区可为柱状导电区225。As shown in FIG. 3, in some embodiments of the present application, the silicon layer 220 may be formed with at least one conductive region corresponding to the at least one first pad, wherein each of the at least one conductive region The resistivity of the conductive region is less than or equal to a preset threshold to form the at least one conductive structure. For example, each conductive region in the at least one conductive region may be a columnar conductive region 225.
换言之,所述硅层220可在所述基板210的至少一个第一焊盘的上方分别形成至少一个导电区,所述至少一个导电区用作所述至少一个导电结构,由此,能够保证所述至少一个第一焊盘可通过所述硅层220电连接至所述第一布线层231。In other words, the silicon layer 220 may respectively form at least one conductive area above the at least one first pad of the substrate 210, and the at least one conductive area is used as the at least one conductive structure. The at least one first pad may be electrically connected to the first wiring layer 231 through the silicon layer 220.
应理解,在其他可替代实现方式中,所述至少一个导电区中每一个导电区也可以是其它形状的导电区,本申请对此不做具体限定。It should be understood that in other alternative implementation manners, each conductive region in the at least one conductive region may also be a conductive region of other shapes, which is not specifically limited in this application.
如图3所示,在本申请的一些实施例中,所述集成装置200还包括第三绝缘层241,以保护并绝缘所述第一布线层231。As shown in FIG. 3, in some embodiments of the present application, the integrated device 200 further includes a third insulating layer 241 to protect and insulate the first wiring layer 231.
例如,所述硅层220在所述至少一个导电区的周围形成有贯通所述硅层220的凹环,所述第三绝缘层241设置在所述硅层220和所述第一绝缘层230之间,且延伸至所述凹环内,所述第三绝缘层241形成有所述至少一个导电区中每一个导电区对应的通孔,所述至少一个导电区中的每一个导电区通过所述第三绝缘层241上的同一导电区对应的通孔连接至所述第一布线层231。For example, the silicon layer 220 is formed with a concave ring penetrating through the silicon layer 220 around the at least one conductive region, and the third insulating layer 241 is disposed on the silicon layer 220 and the first insulating layer 230 Between and extending into the concave ring, the third insulating layer 241 is formed with a through hole corresponding to each conductive region in the at least one conductive region, and each conductive region in the at least one conductive region passes through The through holes corresponding to the same conductive area on the third insulating layer 241 are connected to the first wiring layer 231.
换言之,所述第三绝缘层241在所述至少一个导电区中的每一个导电区的上方形成有一个通孔,以便所述第一布线层231可穿过所述第三绝缘层241连接至所述至少一个导电区。In other words, the third insulating layer 241 is formed with a through hole above each of the at least one conductive area, so that the first wiring layer 231 can pass through the third insulating layer 241 to connect to The at least one conductive area.
图4是图2所示的集成装置200的另一变形结构的示意图。FIG. 4 is a schematic diagram of another modified structure of the integrated device 200 shown in FIG. 2.
如图4所示,在本申请的一些实施例中,所述集成装置200还可包括第 五绝缘层,以便在所述基板210设置用于将所述第一布线层231连接至所述基板210的至少一个焊盘的第二布线层。As shown in FIG. 4, in some embodiments of the present application, the integrated device 200 may further include a fifth insulating layer, so as to be provided on the substrate 210 for connecting the first wiring layer 231 to the substrate. The second wiring layer of at least one pad of 210.
例如,所述第五绝缘层可设置在所述硅层220和所述基板210之间,所述第五绝缘层内设置有第二布线层,所述硅层220的至少一个导电结构分别通过所述第二布线层连接至所述至少一个第一焊盘。For example, the fifth insulating layer may be disposed between the silicon layer 220 and the substrate 210, a second wiring layer is disposed in the fifth insulating layer, and at least one conductive structure of the silicon layer 220 may pass through The second wiring layer is connected to the at least one first pad.
可选地,在本申请的一些实施例中,所述第二布线层271中布线的线宽大于所述第一布线层231中布线的线宽,和/或,所述第二布线层271中布线的间距大于所述第一布线层231中布线的间距,以增加所述第二布线层271中布线的利用率。Optionally, in some embodiments of the present application, the line width of the wiring in the second wiring layer 271 is greater than the line width of the wiring in the first wiring layer 231, and/or, the second wiring layer 271 The pitch of the middle wiring is greater than the pitch of the wiring in the first wiring layer 231 to increase the utilization rate of the wiring in the second wiring layer 271.
换言之,RDL层位于硅层220的上表面和下表面。其中,上表面的第一布线层的线宽和/或线距较大,用于匹配基板210上的粗节距金属走线;下表面的第二布线层的线宽和/或线距较小,用于匹配芯片260上的细节距金属走线。In other words, the RDL layer is located on the upper surface and the lower surface of the silicon layer 220. Wherein, the line width and/or line pitch of the first wiring layer on the upper surface is relatively large, which is used to match the thick-pitch metal wiring on the substrate 210; the line width and/or line pitch of the second wiring layer on the lower surface is relatively large. Small, used to match the fine pitch metal traces on the chip 260.
图5是图2所述的集成装置200的另一变形结构的示意图。FIG. 5 is a schematic diagram of another modified structure of the integrated device 200 described in FIG. 2.
如图5所示,在本申请的一些实施例中,可以将所述硅层220分割成多个独立的硅层单元,以避免由于所述硅层220在温度较高的工艺过程中,由于热膨胀系数不匹配导致的硅层开裂的问题。As shown in FIG. 5, in some embodiments of the present application, the silicon layer 220 may be divided into a plurality of independent silicon layer units, so as to avoid that the silicon layer 220 is in a higher temperature process due to The problem of cracking of the silicon layer caused by the mismatch of thermal expansion coefficient.
换言之,所述硅层220可包括多个硅层单元,所述第一绝缘层230延伸至所述多个硅层单元中的每一个硅层单元的周围区域。可选地,所述多个硅层单元中的每一个硅层单元至少设置有一个导电结构,以实现电信号在每一个硅层单元的垂直方向的传递。In other words, the silicon layer 220 may include a plurality of silicon layer units, and the first insulating layer 230 extends to a surrounding area of each of the plurality of silicon layer units. Optionally, each silicon layer unit of the plurality of silicon layer units is provided with at least one conductive structure, so as to realize the transmission of electrical signals in the vertical direction of each silicon layer unit.
其中,所述多个硅层单元可等间距分布,也可以不等间距分布。所述多个硅层单元的尺寸可以部分相同,也可以全部相同,本申请对此不做具体限定。例如,可以根据实际需求设定每个硅层单元的尺寸以及导电结构的数量。Wherein, the plurality of silicon layer units may be distributed at equal intervals, or may be distributed at unequal intervals. The sizes of the multiple silicon layer units may be partly the same, or all of them may be the same, which is not specifically limited in this application. For example, the size of each silicon layer unit and the number of conductive structures can be set according to actual requirements.
结合图5来说,所述多个硅层单元可以包括2个硅层单元221。其中,左侧的硅层单元221设置有两个导电结构,右侧的硅层单元221设置有一个导电结构。With reference to FIG. 5, the multiple silicon layer units may include two silicon layer units 221. Among them, the silicon layer unit 221 on the left is provided with two conductive structures, and the silicon layer unit 221 on the right is provided with one conductive structure.
图6是图2所示的集成装置200的变形结构的另一示意图。FIG. 6 is another schematic diagram of a modified structure of the integrated device 200 shown in FIG. 2.
如图6所示,在本申请的一些实施例中,所述硅层220内可形成有无源器件280,以缩短所述无缘器件和集成在所述集成装置200上的芯片之间的距离,进而提高所述集成装置200的性能。例如,所述无源器件280包括电 容器。可选地,可以以层叠的方式设置所述电容器,以便设置多个并联的电容器,进而提升所述电容器的容值。进一步地,所述电容器中的电介质层或导电层可以形成有凹槽结构,以增加所述电容器的容值。As shown in FIG. 6, in some embodiments of the present application, a passive device 280 may be formed in the silicon layer 220 to shorten the distance between the passive device and the chip integrated on the integrated device 200 , Thereby improving the performance of the integrated device 200. For example, the passive device 280 includes a capacitor. Optionally, the capacitors may be arranged in a layered manner, so as to arrange a plurality of capacitors connected in parallel, thereby increasing the capacitance of the capacitors. Further, the dielectric layer or the conductive layer in the capacitor may be formed with a groove structure to increase the capacitance of the capacitor.
应理解,图2至图6仅为本申请的示例,不应理解为对本申请的限制。It should be understood that FIG. 2 to FIG. 6 are only examples of the present application, and should not be construed as a limitation to the present application.
例如,在其他可替代实施例中,可以直接省略第二绝缘层240或第三绝缘层241。即所述第一绝缘层230可直接设置在所述硅层220的上表面。又例如,可以直接省略第四绝缘层250。即所述硅层220可直接设置在所述基板210的上表面。For example, in other alternative embodiments, the second insulating layer 240 or the third insulating layer 241 may be directly omitted. That is, the first insulating layer 230 can be directly disposed on the upper surface of the silicon layer 220. For another example, the fourth insulating layer 250 can be omitted directly. That is, the silicon layer 220 can be directly disposed on the upper surface of the substrate 210.
又例如,在不冲突的前提下,本申请描述的各个实施例和/或各个实施例中的技术特征可以任意的相互组合,组合之后得到的技术方案也应落入本申请的保护范围。例如,也可以在图3所示的集成装置200中的硅层220中设置无源器件。For another example, under the premise of no conflict, the various embodiments described in this application and/or the technical features in each embodiment can be combined with each other arbitrarily, and the technical solutions obtained after the combination should also fall within the protection scope of this application. For example, passive devices may also be provided in the silicon layer 220 in the integrated device 200 shown in FIG. 3.
又例如,所述硅层220的至少一个通孔中的每一个通孔内也可以设置连接线缆,以将所述第一布线层231连接至所述基板210的焊盘上。For another example, a connecting cable may also be provided in each of the at least one through hole of the silicon layer 220 to connect the first wiring layer 231 to the pad of the substrate 210.
图7是本申请实施例的制备集成装置的方法300的示意性流程图。FIG. 7 is a schematic flowchart of a method 300 for preparing an integrated device according to an embodiment of the present application.
如图7所示,所述方法300可包括:As shown in FIG. 7, the method 300 may include:
S310,在基板的上表面形成硅层,所述基板的上表面设置有至少一个第一焊盘。S310: A silicon layer is formed on the upper surface of the substrate, and at least one first pad is provided on the upper surface of the substrate.
S320,形成所述硅层的至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘。S320, forming at least one conductive structure of the silicon layer, the at least one conductive structure respectively corresponding to the at least one first pad.
S330,在所述硅层的上方形成第一绝缘层。其中,所述第一绝缘层内设置有第一布线层,所述至少一个第一焊盘分别通过所述至少一个导电结构连接至所述第一布线层。S330, forming a first insulating layer on the silicon layer. Wherein, a first wiring layer is provided in the first insulating layer, and the at least one first pad is respectively connected to the first wiring layer through the at least one conductive structure.
简而言之,在所述基板的上方形成所述硅层后,在所述硅层的上方形成所述第一绝缘层。其中,所述第一绝缘层内设置有第一布线层,所述硅层用于支撑所述第一布线层。所述硅层设置有至少一个导电结构,由此所述第一布线层通过所述至少一个导电结构可连接至所述基板的至少一个第一焊盘,进而实现电信号在垂直方向上的传递。In short, after the silicon layer is formed on the substrate, the first insulating layer is formed on the silicon layer. Wherein, a first wiring layer is provided in the first insulating layer, and the silicon layer is used to support the first wiring layer. The silicon layer is provided with at least one conductive structure, whereby the first wiring layer can be connected to at least one first pad of the substrate through the at least one conductive structure, thereby realizing the transmission of electrical signals in the vertical direction .
在本申请的一些实施例中,所述硅层包括多晶硅层、非晶硅层和微晶硅层中的至少一层。In some embodiments of the present application, the silicon layer includes at least one of a polycrystalline silicon layer, an amorphous silicon layer, and a microcrystalline silicon layer.
在本申请的一些实施例中,所述S310可包括:In some embodiments of the present application, the S310 may include:
在所述基板上沉积所述硅层。The silicon layer is deposited on the substrate.
在本申请的一些实施例中,所述S320可包括:In some embodiments of the present application, the S320 may include:
形成所述硅层的至少一个通孔,所述至少一个通孔分别对应所述至少一个第一焊盘;其中,所述S330可包括:Forming at least one through hole of the silicon layer, the at least one through hole respectively corresponding to the at least one first pad; wherein, the S330 may include:
在所述硅层的上方形成所述第一绝缘层,所述第一布线层分别延伸至所述至少一个通孔内,且分别连接至所述至少一个第一焊盘,以形成所述至少一个导电结构。The first insulating layer is formed above the silicon layer, and the first wiring layer respectively extends into the at least one through hole and is respectively connected to the at least one first pad to form the at least one A conductive structure.
在本申请的一些实施例中,所述第一绝缘层和所述第一布线层均延伸至所述至少一个通孔中的第一通孔内,且所述第一通孔内的第一布线层位于所述第一通孔内的第一绝缘层的外侧。In some embodiments of the present application, both the first insulating layer and the first wiring layer extend into the first through hole in the at least one through hole, and the first through hole in the first through hole The wiring layer is located outside the first insulating layer in the first through hole.
在本申请的一些实施例中,所述至少一个通孔中的第二通孔内设置有导电柱,所述第一布线层连接至所述导电柱。In some embodiments of the present application, a conductive pillar is provided in a second through hole of the at least one through hole, and the first wiring layer is connected to the conductive pillar.
在本申请的一些实施例中,所述至少一个通孔中的每一个通孔的靠近所述第一绝缘层的开口的孔径大于同一通孔的靠近所述基板的开口的孔径。In some embodiments of the present application, the hole diameter of each of the at least one through hole close to the first insulating layer is larger than the hole diameter of the same through hole close to the substrate.
在本申请的一些实施例中,所述S330可包括:In some embodiments of the present application, the S330 may include:
在所述硅层的上方以及所述至少一个通孔中的每一个通孔的内壁形成第二绝缘层;在所述第二绝缘层的上方形成所述第一绝缘层。A second insulating layer is formed above the silicon layer and the inner wall of each of the at least one through hole; and the first insulating layer is formed above the second insulating layer.
在本申请的一些实施例中,所述S320可包括:In some embodiments of the present application, the S320 may include:
形成所述硅层的至少一个导电区,所述至少一个导电区分别对应所述至少一个第一焊盘,其中,所述至少一个导电区中每一个导电区的电阻率小于或等于预设阈值,以形成所述至少一个导电结构。At least one conductive region of the silicon layer is formed, and the at least one conductive region respectively corresponds to the at least one first pad, wherein the resistivity of each conductive region in the at least one conductive region is less than or equal to a preset threshold , To form the at least one conductive structure.
在本申请的一些实施例中,所述所述S330可包括:In some embodiments of the present application, the S330 may include:
在所述至少一个导电区中的每一个导电区的周围形成贯通所述硅层的凹环;在所述硅层和所述第一绝缘层之间以及所述凹环内,形成第三绝缘层;形成所述第三绝缘层的所述至少一个导电区中的每一个导电区对应的通孔;在所述第三绝缘层上形成所述第一绝缘层,所述至少一个导电区中的每一个导电区通过所述第三绝缘层上的同一导电区对应的通孔连接至所述第一布线层。A concave ring penetrating through the silicon layer is formed around each of the at least one conductive area; a third insulation is formed between the silicon layer and the first insulating layer and in the concave ring Layer; forming a through hole corresponding to each conductive region in the at least one conductive region of the third insulating layer; forming the first insulating layer on the third insulating layer, in the at least one conductive region Each conductive area of is connected to the first wiring layer through a through hole corresponding to the same conductive area on the third insulating layer.
在本申请的一些实施例中,所述S320可包括:In some embodiments of the present application, the S320 may include:
在所述基板的上方形成第四绝缘层;形成所述第四绝缘层的所述至少一个第一焊盘中的每一个第一焊盘对应的通孔;在所述第四绝缘层的上方形成 所述硅层,所述至少一个第一焊盘中的每一个第一焊盘通过所述第四绝缘层上的同一第一焊盘对应的通孔连接至同一第一焊盘对应的导电结构。A fourth insulating layer is formed above the substrate; a through hole corresponding to each first pad of the at least one first pad forming the fourth insulating layer; above the fourth insulating layer The silicon layer is formed, and each first pad of the at least one first pad is connected to a conductive pad corresponding to the same first pad through a through hole corresponding to the same first pad on the fourth insulating layer. structure.
在本申请的一些实施例中,所述S320可包括:In some embodiments of the present application, the S320 may include:
在所述基板上形成第五绝缘层,所述第五绝缘层内设置有第二布线层;在所述第五绝缘层上设置所述硅层,所述硅层的至少一个导电结构分别通过所述第二布线层连接至所述至少一个第一焊盘。A fifth insulating layer is formed on the substrate, and a second wiring layer is arranged in the fifth insulating layer; the silicon layer is arranged on the fifth insulating layer, and at least one conductive structure of the silicon layer passes through The second wiring layer is connected to the at least one first pad.
在本申请的一些实施例中,所述第二布线层中布线的线宽大于所述第一布线层中布线的线宽,和/或,所述第二布线层中布线的间距大于所述第一布线层中布线的间距。In some embodiments of the present application, the line width of the wiring in the second wiring layer is greater than the line width of the wiring in the first wiring layer, and/or the spacing of the wiring in the second wiring layer is greater than the line width of the wiring in the second wiring layer. The pitch of the wiring in the first wiring layer.
在本申请的一些实施例中,所述S330可包括:In some embodiments of the present application, the S330 may include:
将所述硅层分割成多个硅层单元;在所述多个硅层单元的上方以及所述多个硅层单元中的每一个硅层单元的周围区域形成所述第一绝缘层。The silicon layer is divided into a plurality of silicon layer units; the first insulating layer is formed above the plurality of silicon layer units and the surrounding area of each of the plurality of silicon layer units.
在本申请的一些实施例中,所述多个硅层单元中的每一个硅层单元至少设置有一个导电结构。In some embodiments of the present application, each silicon layer unit of the plurality of silicon layer units is provided with at least one conductive structure.
在本申请的一些实施例中,所述硅层内形成有无源器件。In some embodiments of the present application, passive devices are formed in the silicon layer.
在本申请的一些实施例中,所述无源器件包括电容器。In some embodiments of the present application, the passive device includes a capacitor.
在本申请的一些实施例中,所述方法300还可包括:In some embodiments of the present application, the method 300 may further include:
在所述第一绝缘层的上方设置芯片;其中,所述芯片靠近所述第一布线层的一侧设置有至少一个第二焊盘,所述至少一个第二焊盘分别连接至所述第一布线层。A chip is provided above the first insulating layer; wherein at least one second pad is provided on a side of the chip close to the first wiring layer, and the at least one second pad is respectively connected to the first A wiring layer.
在本申请的一些实施例中,所述第一布线层在靠近所述芯片的一侧设置有所述至少一个第二焊盘分别对应的至少一个链接焊盘,所述第一布线层在靠近所述硅层的一侧设置有所述至少一个第一焊盘分别对应的至少一个链接焊盘,其中,所述第一布线层在靠近所述芯片的一侧设置的连接焊盘的间距小于所述第一布线层在靠近所述硅层的一侧设置的连接焊盘的间距。In some embodiments of the present application, the first wiring layer is provided with at least one link pad corresponding to the at least one second pad on a side close to the chip, and the first wiring layer is close to the chip. One side of the silicon layer is provided with at least one link pad corresponding to the at least one first pad, wherein the distance between the connection pads provided on the side close to the chip of the first wiring layer is smaller than The pitch of the connection pads provided on the side of the first wiring layer close to the silicon layer.
应理解,方法实施例与产品实施例可以相互对应,类似的描述可以参照产品实施例。为了简洁,在此不再赘述。It should be understood that the method embodiment and the product embodiment may correspond to each other, and similar descriptions may refer to the product embodiment. For the sake of brevity, I will not repeat them here.
还应理解,所述方法300可以通过机器人或者数控加工方式来执行,用于执行所述方法300的设备软件或工艺可以通过执行保存在存储器中的计算机程序代码来执行上述方法300。It should also be understood that the method 300 may be executed by a robot or a numerical control processing method, and the device software or process used to execute the method 300 may execute the method 300 by executing computer program codes stored in a memory.
还应理解,在本申请的各种实施例中,上述各过程的序号的大小并不意 味着执行顺序的先后,各过程的执行顺序应以其功能和内在逻辑确定,而不应对本申请实施例的实施过程构成任何限定。It should also be understood that, in the various embodiments of the present application, the size of the sequence number of the above-mentioned processes does not mean the order of execution, and the execution order of each process should be determined by its function and internal logic, and should not be implemented in this application. The implementation process of the example constitutes any limitation.
图8至图14分别是本申请实施例的在制备图2所示的集成装置的过程中的各个阶段中所形成的结构的示意图。下面结合图8至图14对图2所示的集成装置200的制备方法进行说明。8 to 14 are respectively schematic diagrams of structures formed in various stages in the process of preparing the integrated device shown in FIG. 2 in an embodiment of the present application. The preparation method of the integrated device 200 shown in FIG. 2 will be described below in conjunction with FIG. 8 to FIG. 14.
步骤一:step one:
选取需要焊接芯片的基板。例如图8所示的基板210。Select the substrate where the chip needs to be soldered. For example, the substrate 210 shown in FIG. 8.
其中,所述基板210可以是玻璃、陶瓷或有机基板。有机基板可以包含树脂、玻纤、氧化硅球等filler。所述基板210的上表面设置有至少一个焊盘211。Wherein, the substrate 210 may be a glass, ceramic or organic substrate. The organic substrate may include fillers such as resin, glass fiber, and silica balls. At least one pad 211 is provided on the upper surface of the substrate 210.
步骤二:Step two:
利用沉积工艺,先在所述基板210的上表面(即设置有焊盘的一侧)沉积第四绝缘层250,然后在所述第四绝缘层250的上表面沉积硅层220,以形成图9所示的结构。Using a deposition process, a fourth insulating layer 250 is deposited on the upper surface of the substrate 210 (that is, the side where the pads are provided), and then a silicon layer 220 is deposited on the upper surface of the fourth insulating layer 250 to form a pattern. 9 shows the structure.
其中,所述绝缘层可以的材料可以是氧化硅、氮化硅、硅玻璃(例如USG、BSG、PSG或BPSG),也可以是涂布的可旋涂玻璃(SOG)、聚酰亚胺(PI),帕里纶(Parylene),苯并环丁烯(BCB)等。Wherein, the insulating layer can be made of silicon oxide, silicon nitride, silicon glass (such as USG, BSG, PSG or BPSG), or can be coated spin-on-glass (SOG), polyimide ( PI), Parylene, benzocyclobutene (BCB), etc.
需要说明的是,也可以忽略所述第四绝缘层250。即利用沉积工艺,直接在所述基板210的上表面沉积所述硅层220。It should be noted that the fourth insulating layer 250 can also be omitted. That is, the silicon layer 220 is directly deposited on the upper surface of the substrate 210 by using a deposition process.
步骤三:Step three:
利用光刻工艺结合干法刻蚀工艺(或者激光打孔工艺),在硅层220上制备至少一个通孔。所述至少一个通孔的底部停留在所述第四绝缘层250的上表面,以形成图10所示的结构。Using a photolithography process combined with a dry etching process (or a laser drilling process), at least one through hole is formed on the silicon layer 220. The bottom of the at least one through hole stays on the upper surface of the fourth insulating layer 250 to form the structure shown in FIG. 10.
当然,所述至少一个通孔的底部也可以停留在所述基板210的至少一个焊盘上。Of course, the bottom of the at least one through hole can also stay on the at least one pad of the substrate 210.
步骤四:Step 4:
利用沉积工艺,先在所述硅层220的至少一个通孔的内侧壁,以及硅层220的上表面沉积第二绝缘层240。然后利用刻蚀工艺,去除所述至少一个通孔的底部的第二绝缘层240(以及第四绝缘层250),以露出所述基板210的焊盘211,最后利用沉积工艺,在所述第二绝缘层240的上表面以及所述至少一个通孔的底部(即所述基板210的至少一个第一焊盘上,例如,图11 中的最左侧的两个通孔)沉积金属层232,以形成图11所示的结构。Using a deposition process, a second insulating layer 240 is first deposited on the inner sidewall of the at least one through hole of the silicon layer 220 and the upper surface of the silicon layer 220. Then, an etching process is used to remove the second insulating layer 240 (and the fourth insulating layer 250) at the bottom of the at least one through hole to expose the pad 211 of the substrate 210. Finally, a deposition process is used to A metal layer 232 is deposited on the upper surface of the second insulating layer 240 and the bottom of the at least one through hole (that is, on the at least one first pad of the substrate 210, for example, the two through holes on the leftmost side in FIG. 11) , To form the structure shown in Figure 11.
当然,也可以利用沉积工艺,将所述至少一个通孔内填满Cu、W等导电材料后,在所述第二绝缘层240的上表面以及所述导电材料的上表面(例如,图11中的最右侧的通孔)沉积所述金属层232。Of course, it is also possible to use a deposition process to fill the at least one through hole with conductive materials such as Cu and W, and then on the upper surface of the second insulating layer 240 and the upper surface of the conductive material (for example, FIG. 11 The metal layer 232 is deposited on the right-most via hole in ).
步骤五:Step Five:
利用光刻结合刻蚀(或腐蚀)工艺,图形化所述第二绝缘层240上方的金属层232,以形成第一布线层中的针对所述基板210的连接焊盘233,进而形成图12所示的结构。Using photolithography combined with an etching (or etching) process, the metal layer 232 above the second insulating layer 240 is patterned to form a connection pad 233 in the first wiring layer for the substrate 210, thereby forming FIG. 12 The structure shown.
步骤六:Step Six:
在所述第二绝缘层240和所述连接焊盘233上制作与针对所述基板210的连接焊盘233连接的布线,以及与所述布线连接的针对芯片的连接焊盘以及第一绝缘层230。其中针对所述基板210的连接焊盘233、针对芯片的连接焊盘,以及针对基板210的连接焊盘233和针对芯片的连接焊盘之间的布线形成第一布线层231,所述第一布线层231设置在所述第一绝缘层230的内部,以形成图13所述的结构。On the second insulating layer 240 and the connection pad 233, a wiring connected to the connection pad 233 of the substrate 210, a connection pad for a chip and a first insulating layer connected to the wiring are made 230. The first wiring layer 231 is formed for the connection pads 233 of the substrate 210, the connection pads for the chip, and the wiring between the connection pads 233 of the substrate 210 and the connection pads for the chip. The wiring layer 231 is disposed inside the first insulating layer 230 to form the structure described in FIG. 13.
步骤七:Step Seven:
将长好微凸点的芯片260焊接到所述第一布线层231的针对所述芯片260的连接焊盘上。例如,通过锡球将将长好微凸点的芯片260焊接到所述第一布线层231的针对所述芯片260的连接焊盘上,以形成图14所示的结构。The chip 260 with the micro bumps is soldered to the connection pad of the first wiring layer 231 for the chip 260. For example, the chip 260 with long micro bumps is soldered to the connection pad of the first wiring layer 231 for the chip 260 through a solder ball to form the structure shown in FIG. 14.
图15至图18分别是本申请实施例的在制备图3所示的集成装置的过程中的各个阶段中所形成的结构的示意图。下面结合图15至图18对图3所示的集成装置200的制备方法进行说明。15 to 18 are schematic diagrams of the structures formed at various stages in the process of preparing the integrated device shown in FIG. 3 according to the embodiments of the present application. The preparation method of the integrated device 200 shown in FIG. 3 will be described below in conjunction with FIG. 15 to FIG. 18.
步骤一:step one:
选取基板210后,利用沉积工艺,在所述基板210的上表面沉积硅层220,然后通过局部离子注入掺杂并激光退火,以在所述基板210的每一个焊盘211的上方形成导电区225,进而形成图15所示的结构。After the substrate 210 is selected, a deposition process is used to deposit a silicon layer 220 on the upper surface of the substrate 210, and then doped by local ion implantation and laser annealing to form a conductive area above each pad 211 of the substrate 210 225, further forming the structure shown in FIG. 15.
步骤二:Step two:
利用光刻工艺结合刻蚀工艺,在每一个导电区225的周围形成贯通所述硅层220的凹环(或间隙),然后利用沉积工艺,在所述硅层220的上表面以及所述凹环内沉积第三绝缘层241,以形成图16所述的结构。Using a photolithography process combined with an etching process, a concave ring (or gap) penetrating through the silicon layer 220 is formed around each conductive area 225, and then a deposition process is used to form the upper surface of the silicon layer 220 and the concave ring A third insulating layer 241 is deposited in the ring to form the structure described in FIG. 16.
步骤三:Step three:
然后利用刻蚀工艺,在每一个导电区225的上方形成所述第三绝缘层241的开孔,并在所述基板210的焊盘211上制作针对所述基板210的连接焊盘、与针对所述基板210的连接焊盘233连接的布线,以及与所述布线连接的针对芯片的连接焊盘,在所述第三绝缘层241的上方制备第一绝缘层230。其中针对所述基板210的连接焊盘、针对芯片的连接焊盘,以及针对基板210的连接焊盘和针对芯片的连接焊盘之间的布线形成第一布线层231,所述第一布线层231设置在所述第一绝缘层230的内部,以形成图17所述的结构。Then, an etching process is used to form an opening of the third insulating layer 241 above each conductive area 225, and a connection pad for the substrate 210 and a connection pad for the substrate 210 are formed on the pad 211 of the substrate 210. The wiring connected to the connection pad 233 of the substrate 210 and the connection pad for the chip connected to the wiring, and a first insulating layer 230 is prepared on the third insulating layer 241. The first wiring layer 231 is formed for the connection pads of the substrate 210, the connection pads for the chip, and the wiring between the connection pads of the substrate 210 and the connection pads for the chip. 231 is disposed inside the first insulating layer 230 to form the structure described in FIG. 17.
步骤四:Step 4:
将长好微凸点的芯片260焊接到所述第一布线层231的针对所述芯片260的连接焊盘上。例如,通过锡球将将长好微凸点的芯片260焊接到所述第一布线层231的针对所述芯片260的连接焊盘上,以形成图18所示的结构。The chip 260 with the micro bumps is soldered to the connection pad of the first wiring layer 231 for the chip 260. For example, the chip 260 with long micro bumps is soldered to the connection pad of the first wiring layer 231 for the chip 260 through a solder ball to form the structure shown in FIG. 18.
应理解,上文涉及的刻蚀工艺可以包括以下工艺中的至少一种:It should be understood that the etching process mentioned above may include at least one of the following processes:
干法刻蚀工艺、湿法刻蚀工艺和激光刻蚀工艺。Dry etching process, wet etching process and laser etching process.
进一步地,所述干法蚀刻(dry etching)工艺可以包括以下刻蚀工艺中的至少一种:反应性离子蚀刻(reactive ion etching)、离子束刻蚀(ion beam etching)等。所述湿法刻蚀工艺的化学原料可以包括但不限于含氢氟酸的刻蚀液。在本申请的一些实施例中,采用干法刻蚀与湿法刻蚀相结合的刻蚀方法,或者采用激光刻蚀结合湿法刻蚀的方法,能够有效保证刻蚀的形状以及底面平整度等。Further, the dry etching process may include at least one of the following etching processes: reactive ion etching, ion beam etching, and the like. The chemical raw materials of the wet etching process may include, but are not limited to, an etching solution containing hydrofluoric acid. In some embodiments of the present application, the combination of dry etching and wet etching, or the combination of laser etching and wet etching, can effectively ensure the shape of the etching and the flatness of the bottom surface. Wait.
还应理解,上文涉及的沉积工艺包括但不限于:It should also be understood that the deposition processes mentioned above include but are not limited to:
物理气相沉积(Physical Vapor Deposition,PVD)工艺和/或化学气相沉积(Chemical Vapor Deposition,CVD)工艺。例如,热氧化、等离子体增强化学的气相沉积法(Plasma Enhanced Chemical Vapor Deposition,PECVD)、低压力化学气相沉积法(Low Pressure Chemical Vapor Deposition,LPCVD)等)、原子层沉积(Atomic layer deposition,ALD)、电镀、旋涂或喷涂。Physical Vapor Deposition (PVD) process and/or Chemical Vapor Deposition (CVD) process. For example, thermal oxidation, plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), etc.), atomic layer deposition (ALD) ), electroplating, spin coating or spraying.
还应理解,本申请实施例中涉及的制备工艺(例如沉积工艺或刻蚀工艺)仅为示例,不应理解为对本申请的限制。换言之,能够制备结构相同或类似的的集成装置的工艺均在本申请所保护的范围内。It should also be understood that the preparation process (such as a deposition process or an etching process) involved in the embodiments of the present application is only an example, and should not be construed as a limitation of the present application. In other words, the processes capable of preparing integrated devices with the same or similar structure are all within the scope of protection of the present application.
本领域普通技术人员可以意识到,结合本文中所公开的实施例描述的各示例的制备方法,能够以电子硬件、或者计算机软件和电子硬件的结合来实现。这些功能究竟以硬件还是软件方式来执行,取决于技术方案的特定应用和设计约束条件。专业技术人员可以对每个特定的应用来使用不同方法来实现所描述的功能,但是这种实现不应认为超出本申请的范围。A person of ordinary skill in the art may be aware that the preparation methods of the examples described in the embodiments disclosed in this specification can be implemented by electronic hardware or a combination of computer software and electronic hardware. Whether these functions are performed by hardware or software depends on the specific application and design constraint conditions of the technical solution. Professionals and technicians can use different methods for each specific application to implement the described functions, but such implementation should not be considered beyond the scope of this application.
在本申请所提供的几个实施例中,应该理解到,所揭露的集成装置、集成装置内的部件和制备集成装置的方法,可以通过其它的方式实现。例如,以上所描述的集成装置实施例仅仅是示例性的。例如,所述层的划分,仅仅为一种逻辑功能划分,实际实现时可以有另外的划分方式。例如多个层或器件可以结合或者可以集成。又例如一些特征(例如所述第二绝缘层240或第三绝缘层241)可以忽略或不制备。In the several embodiments provided in this application, it should be understood that the disclosed integrated device, the components in the integrated device, and the method for preparing the integrated device may be implemented in other ways. For example, the integrated device embodiment described above is only exemplary. For example, the division of the layers is only a logical function division, and there may be other division methods in actual implementation. For example, multiple layers or devices can be combined or can be integrated. For another example, some features (such as the second insulating layer 240 or the third insulating layer 241) can be ignored or not prepared.
例如,在其他可替代实施例中,所述第一绝缘层230和所述第二绝缘层240(或第三绝缘层241)可以合并为一个层。For example, in other alternative embodiments, the first insulating layer 230 and the second insulating layer 240 (or the third insulating layer 241) may be combined into one layer.
以上所述,仅为本申请的具体实施方式,但本申请的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本申请揭露的技术范围内,可轻易想到变化或替换,都应涵盖在本申请的保护范围之内。因此,本申请的保护范围应以所述权利要求的保护范围为准。The above are only specific implementations of this application, but the protection scope of this application is not limited to this. Any person skilled in the art can easily think of changes or substitutions within the technical scope disclosed in this application. Should be covered within the scope of protection of this application. Therefore, the protection scope of this application should be subject to the protection scope of the claims.

Claims (39)

  1. 一种集成装置,其特征在于,包括:An integrated device, characterized in that it comprises:
    基板,所述基板的上表面设置有至少一个第一焊盘;A substrate, the upper surface of the substrate is provided with at least one first pad;
    硅层,所述硅层设置在所述基板的上方,所述硅层设置有至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘;A silicon layer, the silicon layer is provided above the substrate, the silicon layer is provided with at least one conductive structure, and the at least one conductive structure respectively corresponds to the at least one first pad;
    第一绝缘层,所述第一绝缘层设置在所述硅层的上方,所述第一绝缘层内设置有第一布线层,所述至少一个第一焊盘分别通过所述至少一个导电结构连接至所述第一布线层。A first insulating layer, the first insulating layer is disposed above the silicon layer, a first wiring layer is disposed in the first insulating layer, and the at least one first pad passes through the at least one conductive structure respectively Connected to the first wiring layer.
  2. 根据权利要求1所述的集成装置,其特征在于,所述硅层包括多晶硅层、非晶硅层和微晶硅层中的至少一层。The integrated device according to claim 1, wherein the silicon layer includes at least one of a polycrystalline silicon layer, an amorphous silicon layer, and a microcrystalline silicon layer.
  3. 根据权利要求1或2所述的集成装置,其特征在于,所述硅层为沉积在所述基板上的沉积层。The integrated device according to claim 1 or 2, wherein the silicon layer is a deposition layer deposited on the substrate.
  4. 根据权利要求1至3中任一项所述的集成装置,其特征在于,所述硅层形成有所述至少一个第一焊盘分别对应的至少一个通孔,其中,所述第一布线层分别延伸至所述至少一个通孔内,且分别连接至所述至少一个第一焊盘,以形成所述至少一个导电结构。The integrated device according to any one of claims 1 to 3, wherein the silicon layer is formed with at least one through hole corresponding to the at least one first pad, wherein the first wiring layer Respectively extend into the at least one through hole and respectively connect to the at least one first pad to form the at least one conductive structure.
  5. 根据权利要求4所述的集成装置,其特征在于,所述第一绝缘层和所述第一布线层均延伸至所述至少一个通孔中的第一通孔内,且所述第一通孔内的第一布线层位于所述第一通孔内的第一绝缘层的外侧。The integrated device according to claim 4, wherein the first insulating layer and the first wiring layer both extend into the first through hole of the at least one through hole, and the first through hole The first wiring layer in the hole is located outside the first insulating layer in the first through hole.
  6. 根据权利要求4或5所述的集成装置,其特征在于,所述至少一个通孔中的第二通孔内设置有导电柱,所述第一布线层连接至所述导电柱。The integrated device according to claim 4 or 5, wherein a conductive pillar is provided in a second through hole of the at least one through hole, and the first wiring layer is connected to the conductive pillar.
  7. 根据权利要求4至6中任一项所述的集成装置,其特征在于,所述至少一个通孔中的每一个通孔的靠近所述第一绝缘层的开口的孔径大于同一通孔的靠近所述基板的开口的孔径。The integrated device according to any one of claims 4 to 6, wherein the aperture of each of the at least one through hole close to the opening of the first insulating layer is larger than that of the same through hole. The aperture of the opening of the substrate.
  8. 根据权利要求4至7中任一项所述的集成装置,其特征在于,所述集成装置还包括:The integrated device according to any one of claims 4 to 7, wherein the integrated device further comprises:
    第二绝缘层;Second insulating layer
    其中,所述第二绝缘层设置在所述硅层和所述第一绝缘层之间,且延伸至所述至少一个通孔中每一个通孔的内壁。Wherein, the second insulating layer is disposed between the silicon layer and the first insulating layer, and extends to the inner wall of each through hole of the at least one through hole.
  9. 根据权利要求1至3中任一项所述的集成装置,其特征在于,所述硅 层形成有所述至少一个第一焊盘分别对应的至少一个导电区,其中,所述至少一个导电区中每一个导电区的电阻率小于或等于预设阈值,以形成所述至少一个导电结构。The integrated device according to any one of claims 1 to 3, wherein the silicon layer is formed with at least one conductive area corresponding to the at least one first pad, wherein the at least one conductive area The resistivity of each conductive region is less than or equal to a preset threshold to form the at least one conductive structure.
  10. 根据权利要求9所述的集成装置,其特征在于,所述集成装置还包括:The integrated device according to claim 9, wherein the integrated device further comprises:
    第三绝缘层;The third insulating layer;
    其中,所述硅层在所述至少一个导电区的周围形成有贯通所述硅层的凹环,所述第三绝缘层设置在所述硅层和所述第一绝缘层之间,且延伸至所述凹环内,所述第三绝缘层形成有所述至少一个导电区中每一个导电区对应的通孔,所述至少一个导电区中的每一个导电区通过所述第三绝缘层上的同一导电区对应的通孔连接至所述第一布线层。Wherein, the silicon layer is formed with a concave ring penetrating the silicon layer around the at least one conductive area, and the third insulating layer is disposed between the silicon layer and the first insulating layer and extends Into the concave ring, the third insulating layer is formed with a through hole corresponding to each conductive area in the at least one conductive area, and each conductive area in the at least one conductive area passes through the third insulating layer The through holes corresponding to the same conductive area on the upper side are connected to the first wiring layer.
  11. 根据权利要求1至10中任一项所述的集成装置,其特征在于,所述集成装置还包括:The integrated device according to any one of claims 1 to 10, wherein the integrated device further comprises:
    第四绝缘层;The fourth insulating layer;
    其中,所述第四绝缘层设置在所述基板和所述硅层之间,所述第四绝缘层形成有所述至少一个第一焊盘中的每一个第一焊盘对应的通孔,所述至少一个第一焊盘中的每一个第一焊盘通过所述第四绝缘层上的同一第一焊盘对应的通孔连接至同一第一焊盘对应的导电结构。Wherein, the fourth insulating layer is disposed between the substrate and the silicon layer, and the fourth insulating layer is formed with a through hole corresponding to each first pad of the at least one first pad, Each first pad of the at least one first pad is connected to a conductive structure corresponding to the same first pad through a through hole corresponding to the same first pad on the fourth insulating layer.
  12. 根据权利要求1至11中任一项所述的集成装置,其特征在于,所述集成装置还包括:The integrated device according to any one of claims 1 to 11, wherein the integrated device further comprises:
    第五绝缘层;The fifth insulating layer;
    其中,所述第五绝缘层设置在所述硅层和所述基板之间,所述第五绝缘层内设置有第二布线层,所述硅层的至少一个导电结构分别通过所述第二布线层连接至所述至少一个第一焊盘。Wherein, the fifth insulating layer is disposed between the silicon layer and the substrate, a second wiring layer is disposed in the fifth insulating layer, and at least one conductive structure of the silicon layer passes through the second The wiring layer is connected to the at least one first pad.
  13. 根据权利要求12所述的集成装置,其特征在于,所述第二布线层中布线的线宽大于所述第一布线层中布线的线宽,和/或,所述第二布线层中布线的间距大于所述第一布线层中布线的间距。The integrated device according to claim 12, wherein the line width of the wiring in the second wiring layer is greater than the line width of the wiring in the first wiring layer, and/or the wiring in the second wiring layer The pitch of is greater than the pitch of the wiring in the first wiring layer.
  14. 根据权利要求1至13中任一项所述的集成装置,其特征在于,所述硅层包括多个硅层单元,所述第一绝缘层延伸至所述多个硅层单元中的每一个硅层单元的周围区域。The integrated device according to any one of claims 1 to 13, wherein the silicon layer comprises a plurality of silicon layer units, and the first insulating layer extends to each of the plurality of silicon layer units The surrounding area of the silicon layer unit.
  15. 根据权利要求14所述的集成装置,其特征在于,所述多个硅层单元 中的每一个硅层单元至少设置有一个导电结构。The integrated device according to claim 14, wherein each silicon layer unit of the plurality of silicon layer units is provided with at least one conductive structure.
  16. 根据权利要求1至15中任一项所述的集成装置,其特征在于,所述硅层内形成有无源器件。The integrated device according to any one of claims 1 to 15, wherein a passive device is formed in the silicon layer.
  17. 根据权利要求16所述的集成装置,其特征在于,所述无源器件包括电容器。The integrated device of claim 16, wherein the passive device comprises a capacitor.
  18. 根据权利要求1至17中任一项所述的集成装置,其特征在于,所述集成装置还包括:The integrated device according to any one of claims 1 to 17, wherein the integrated device further comprises:
    芯片,所述芯片设置在所述第一绝缘层的上方,所述芯片靠近所述第一布线层的一侧设置有至少一个第二焊盘,所述至少一个第二焊盘分别连接至所述第一布线层。A chip, the chip is arranged above the first insulating layer, at least one second pad is arranged on a side of the chip close to the first wiring layer, and the at least one second pad is respectively connected to the Mentioned first wiring layer.
  19. 根据权利要求18所述的集成装置,其特征在于,所述第一布线层在靠近所述芯片的一侧设置有所述至少一个第二焊盘分别对应的至少一个链接焊盘,所述第一布线层在靠近所述硅层的一侧设置有所述至少一个第一焊盘分别对应的至少一个链接焊盘,其中,所述第一布线层在靠近所述芯片的一侧设置的连接焊盘的间距小于所述第一布线层在靠近所述硅层的一侧设置的连接焊盘的间距。The integrated device according to claim 18, wherein the first wiring layer is provided with at least one link pad corresponding to the at least one second pad on a side close to the chip, and the first wiring layer is A wiring layer is provided with at least one link pad corresponding to the at least one first pad on the side close to the silicon layer, wherein the first wiring layer is connected to the side close to the chip. The pitch of the pads is smaller than the pitch of the connection pads provided on the side of the first wiring layer close to the silicon layer.
  20. 一种制备集成装置的方法,其特征在于,包括:A method for preparing an integrated device, characterized in that it comprises:
    在基板的上表面形成硅层,所述基板的上表面设置有至少一个第一焊盘;Forming a silicon layer on the upper surface of the substrate, and at least one first pad is provided on the upper surface of the substrate;
    形成所述硅层的至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘;Forming at least one conductive structure of the silicon layer, the at least one conductive structure respectively corresponding to the at least one first pad;
    在所述硅层的上方形成第一绝缘层;Forming a first insulating layer above the silicon layer;
    其中,所述第一绝缘层内设置有第一布线层,所述至少一个第一焊盘分别通过所述至少一个导电结构连接至所述第一布线层。Wherein, a first wiring layer is provided in the first insulating layer, and the at least one first pad is respectively connected to the first wiring layer through the at least one conductive structure.
  21. 根据权利要求1所述的方法,其特征在于,所述硅层包括多晶硅层、非晶硅层和微晶硅层中的至少一层。The method according to claim 1, wherein the silicon layer includes at least one of a polycrystalline silicon layer, an amorphous silicon layer, and a microcrystalline silicon layer.
  22. 根据权利要求1或2所述的方法,其特征在于,所述在基板的上表面形成硅层,包括:The method according to claim 1 or 2, wherein the forming a silicon layer on the upper surface of the substrate comprises:
    在所述基板上沉积所述硅层。The silicon layer is deposited on the substrate.
  23. 根据权利要求20至22中任一项所述的方法,其特征在于,所述形成所述硅层的至少一个导电结构,包括:The method according to any one of claims 20 to 22, wherein the forming at least one conductive structure of the silicon layer comprises:
    形成所述硅层的至少一个通孔,所述至少一个通孔分别对应所述至少一 个第一焊盘;其中,所述在所述硅层的上方形成第一绝缘层,包括:Forming at least one through hole of the silicon layer, the at least one through hole respectively corresponding to the at least one first pad; wherein the forming a first insulating layer above the silicon layer includes:
    在所述硅层的上方形成所述第一绝缘层,所述第一布线层分别延伸至所述至少一个通孔内,且分别连接至所述至少一个第一焊盘,以形成所述至少一个导电结构。The first insulating layer is formed above the silicon layer, and the first wiring layer respectively extends into the at least one through hole and is respectively connected to the at least one first pad to form the at least one A conductive structure.
  24. 根据权利要求23所述的方法,其特征在于,所述第一绝缘层和所述第一布线层均延伸至所述至少一个通孔中的第一通孔内,且所述第一通孔内的第一布线层位于所述第一通孔内的第一绝缘层的外侧。22. The method of claim 23, wherein the first insulating layer and the first wiring layer both extend into a first through hole of the at least one through hole, and the first through hole The first wiring layer inside is located outside the first insulating layer inside the first through hole.
  25. 根据权利要求23或24所述的方法,其特征在于,所述至少一个通孔中的第二通孔内设置有导电柱,所述第一布线层连接至所述导电柱。The method according to claim 23 or 24, wherein a conductive pillar is provided in a second through hole of the at least one through hole, and the first wiring layer is connected to the conductive pillar.
  26. 根据权利要求23至25中任一项所述的方法,其特征在于,所述至少一个通孔中的每一个通孔的靠近所述第一绝缘层的开口的孔径大于同一通孔的靠近所述基板的开口的孔径。The method according to any one of claims 23 to 25, wherein the aperture of each through hole of the at least one through hole close to the first insulating layer is larger than that of the same through hole. The aperture of the opening of the substrate.
  27. 根据权利要求23至26中任一项所述的方法,其特征在于,所述在所述硅层上形成第一绝缘层,包括:The method according to any one of claims 23 to 26, wherein the forming a first insulating layer on the silicon layer comprises:
    在所述硅层的上方以及所述至少一个通孔中的每一个通孔的内壁形成第二绝缘层;Forming a second insulating layer above the silicon layer and the inner wall of each of the at least one through hole;
    在所述第二绝缘层的上方形成所述第一绝缘层。The first insulating layer is formed on the second insulating layer.
  28. 根据权利要求27所述的方法,其特征在于,所述形成所述硅层的至少一个导电结构,包括:The method of claim 27, wherein the forming at least one conductive structure of the silicon layer comprises:
    形成所述硅层的至少一个导电区,所述至少一个导电区分别对应所述至少一个第一焊盘,其中,所述至少一个导电区中每一个导电区的电阻率小于或等于预设阈值,以形成所述至少一个导电结构。At least one conductive region of the silicon layer is formed, and the at least one conductive region respectively corresponds to the at least one first pad, wherein the resistivity of each conductive region in the at least one conductive region is less than or equal to a preset threshold , To form the at least one conductive structure.
  29. 根据权利要求28所述的方法,其特征在于,所述在所述硅层上形成第一绝缘层,包括:The method of claim 28, wherein the forming a first insulating layer on the silicon layer comprises:
    在所述至少一个导电区中的每一个导电区的周围形成贯通所述硅层的凹环;Forming a concave ring penetrating the silicon layer around each of the at least one conductive area;
    在所述硅层和所述第一绝缘层之间以及所述凹环内,形成第三绝缘层;Forming a third insulating layer between the silicon layer and the first insulating layer and in the concave ring;
    形成所述第三绝缘层的所述至少一个导电区中的每一个导电区对应的通孔;Forming a through hole corresponding to each conductive region of the at least one conductive region of the third insulating layer;
    在所述第三绝缘层上形成所述第一绝缘层,所述至少一个导电区中的每一个导电区通过所述第三绝缘层上的同一导电区对应的通孔连接至所述第 一布线层。The first insulating layer is formed on the third insulating layer, and each conductive area of the at least one conductive area is connected to the first insulating layer through a through hole corresponding to the same conductive area on the third insulating layer. Wiring layer.
  30. 根据权利要求20至29中任一项所述的方法,其特征在于,所述在基板的上表面形成硅层,包括:The method according to any one of claims 20 to 29, wherein the forming a silicon layer on the upper surface of the substrate comprises:
    在所述基板的上方形成第四绝缘层;Forming a fourth insulating layer above the substrate;
    形成所述第四绝缘层的所述至少一个第一焊盘中的每一个第一焊盘对应的通孔;Forming a through hole corresponding to each first pad of the at least one first pad of the fourth insulating layer;
    在所述第四绝缘层的上方形成所述硅层,所述至少一个第一焊盘中的每一个第一焊盘通过所述第四绝缘层上的同一第一焊盘对应的通孔连接至同一第一焊盘对应的导电结构。The silicon layer is formed above the fourth insulating layer, and each first pad of the at least one first pad is connected through a through hole corresponding to the same first pad on the fourth insulating layer To the conductive structure corresponding to the same first pad.
  31. 根据权利要求20至30中任一项所述的方法,其特征在于,所述在基板的上表面形成硅层,包括:The method according to any one of claims 20 to 30, wherein the forming a silicon layer on the upper surface of the substrate comprises:
    在所述基板上形成第五绝缘层,所述第五绝缘层内设置有第二布线层;Forming a fifth insulating layer on the substrate, and a second wiring layer is provided in the fifth insulating layer;
    在所述第五绝缘层上设置所述硅层,所述硅层的至少一个导电结构分别通过所述第二布线层连接至所述至少一个第一焊盘。The silicon layer is provided on the fifth insulating layer, and at least one conductive structure of the silicon layer is respectively connected to the at least one first pad through the second wiring layer.
  32. 根据权利要求31所述的方法,其特征在于,所述第二布线层中布线的线宽大于所述第一布线层中布线的线宽,和/或,所述第二布线层中布线的间距大于所述第一布线层中布线的间距。The method according to claim 31, wherein the line width of the wiring in the second wiring layer is greater than the line width of the wiring in the first wiring layer, and/or the line width of the wiring in the second wiring layer The pitch is greater than the pitch of the wiring in the first wiring layer.
  33. 根据权利要求20至32中任一项所述的方法,其特征在于,所述在所述硅层的上方形成第一绝缘层,包括:The method according to any one of claims 20 to 32, wherein the forming a first insulating layer on the silicon layer comprises:
    将所述硅层分割成多个硅层单元;Dividing the silicon layer into a plurality of silicon layer units;
    在所述多个硅层单元的上方以及所述多个硅层单元中的每一个硅层单元的周围区域形成所述第一绝缘层。The first insulating layer is formed above the plurality of silicon layer units and a surrounding area of each silicon layer unit of the plurality of silicon layer units.
  34. 根据权利要求33所述的方法,其特征在于,所述多个硅层单元中的每一个硅层单元至少设置有一个导电结构。The method according to claim 33, wherein each silicon layer unit of the plurality of silicon layer units is provided with at least one conductive structure.
  35. 根据权利要求20至34中任一项所述的方法,其特征在于,所述硅层内形成有无源器件。The method according to any one of claims 20 to 34, wherein a passive device is formed in the silicon layer.
  36. 根据权利要求35所述的方法,其特征在于,所述无源器件包括电容器。The method of claim 35, wherein the passive device comprises a capacitor.
  37. 根据权利要求20至36中任一项所述的方法,其特征在于,所述方法还包括:The method according to any one of claims 20 to 36, wherein the method further comprises:
    在所述第一绝缘层的上方设置芯片;Disposing a chip above the first insulating layer;
    其中,所述芯片靠近所述第一布线层的一侧设置有至少一个第二焊盘,所述至少一个第二焊盘分别连接至所述第一布线层。Wherein, at least one second pad is provided on a side of the chip close to the first wiring layer, and the at least one second pad is respectively connected to the first wiring layer.
  38. 根据权利要求37所述的方法,其特征在于,所述第一布线层在靠近所述芯片的一侧设置有所述至少一个第二焊盘分别对应的至少一个链接焊盘,所述第一布线层在靠近所述硅层的一侧设置有所述至少一个第一焊盘分别对应的至少一个链接焊盘,其中,所述第一布线层在靠近所述芯片的一侧设置的连接焊盘的间距小于所述第一布线层在靠近所述硅层的一侧设置的连接焊盘的间距。The method according to claim 37, wherein the first wiring layer is provided with at least one link pad corresponding to the at least one second pad on a side close to the chip, and the first wiring layer is The wiring layer is provided with at least one link pad corresponding to the at least one first pad on the side close to the silicon layer, wherein the first wiring layer is provided with a connection pad on the side close to the chip. The pitch of the pads is smaller than the pitch of the connection pads provided on the side of the first wiring layer close to the silicon layer.
  39. 一种集成装置,其特征在于,包括:An integrated device, characterized in that it comprises:
    按照权利要求20至38中任一项所述的方法制备的集成装置。An integrated device prepared according to the method of any one of claims 20 to 38.
PCT/CN2019/104733 2019-09-06 2019-09-06 Integrated device and manufacturing method therefor WO2021042377A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
PCT/CN2019/104733 WO2021042377A1 (en) 2019-09-06 2019-09-06 Integrated device and manufacturing method therefor
CN201980004321.XA CN111095544B (en) 2019-09-06 2019-09-06 Integrated device and preparation method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2019/104733 WO2021042377A1 (en) 2019-09-06 2019-09-06 Integrated device and manufacturing method therefor

Publications (1)

Publication Number Publication Date
WO2021042377A1 true WO2021042377A1 (en) 2021-03-11

Family

ID=70398786

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2019/104733 WO2021042377A1 (en) 2019-09-06 2019-09-06 Integrated device and manufacturing method therefor

Country Status (2)

Country Link
CN (1) CN111095544B (en)
WO (1) WO2021042377A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117038599A (en) * 2023-10-07 2023-11-10 之江实验室 Chip packaging structure and packaging method

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112652573A (en) * 2020-12-07 2021-04-13 海光信息技术股份有限公司 Packaging method and chip

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100244251A1 (en) * 2008-09-29 2010-09-30 Panasonic Corporation Semiconductor device and method for fabricating the same
CN104465973A (en) * 2014-11-21 2015-03-25 江阴长电先进封装有限公司 Wafer-level packaging method of semiconductor device
US9773749B2 (en) * 2012-11-14 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Warpage control of semiconductor die package
US20180301405A1 (en) * 2015-12-26 2018-10-18 Intel Corporation Conductive base embedded interconnect
CN109449141A (en) * 2017-06-07 2019-03-08 联发科技股份有限公司 Semiconductor packages
CN109665487A (en) * 2018-12-26 2019-04-23 中芯集成电路(宁波)有限公司 A kind of MEMS device wafer scale system packaging method and encapsulating structure

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102148221B (en) * 2010-02-10 2013-04-24 精材科技股份有限公司 Electronic component package and manufacturing method therefor
TWI649839B (en) * 2017-03-15 2019-02-01 矽品精密工業股份有限公司 Electronic package and substrate structure thereof
CN207868196U (en) * 2017-12-15 2018-09-14 深圳市汇顶科技股份有限公司 A kind of capacitor
CN109075141B (en) * 2018-07-26 2020-02-07 深圳市汇顶科技股份有限公司 Chip packaging structure, method and terminal equipment
CN210167357U (en) * 2019-09-06 2020-03-20 深圳市汇顶科技股份有限公司 Integrated device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100244251A1 (en) * 2008-09-29 2010-09-30 Panasonic Corporation Semiconductor device and method for fabricating the same
US9773749B2 (en) * 2012-11-14 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Warpage control of semiconductor die package
CN104465973A (en) * 2014-11-21 2015-03-25 江阴长电先进封装有限公司 Wafer-level packaging method of semiconductor device
US20180301405A1 (en) * 2015-12-26 2018-10-18 Intel Corporation Conductive base embedded interconnect
CN109449141A (en) * 2017-06-07 2019-03-08 联发科技股份有限公司 Semiconductor packages
CN109665487A (en) * 2018-12-26 2019-04-23 中芯集成电路(宁波)有限公司 A kind of MEMS device wafer scale system packaging method and encapsulating structure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117038599A (en) * 2023-10-07 2023-11-10 之江实验室 Chip packaging structure and packaging method

Also Published As

Publication number Publication date
CN111095544B (en) 2022-02-18
CN111095544A (en) 2020-05-01

Similar Documents

Publication Publication Date Title
KR101692434B1 (en) Semiconductor device and method of manufacturing the same
US10475726B2 (en) Low CTE component with wire bond interconnects
TWI739198B (en) Semiconductor device package and device for semiconductor packaging
US11444067B2 (en) Stacked interposer structures, microelectronic device assemblies including same, and methods of fabrication, and related electronic systems
KR20200123402A (en) Conductive vias in semiconductor packages and methods of forming same
TWI566372B (en) Device with integrated passive component
WO2021042377A1 (en) Integrated device and manufacturing method therefor
TWI806297B (en) Semiconductor package structure
US11715696B2 (en) Semiconductor devices with recessed pads for die stack interconnections
US11380611B2 (en) Chip-on-wafer structure with chiplet interposer
US10943883B1 (en) Planar wafer level fan-out of multi-chip modules having different size chips
CN210167357U (en) Integrated device
TWI723793B (en) Semiconductor device and method of forming the same
TWI751792B (en) A semiconductor package structure
TWI756864B (en) Semiconductor structure and method of forming thereof
TWI758151B (en) Semiconductor package structure
US12027455B2 (en) Chip-on-wafer structure with Chiplet Interposer
US20230378132A1 (en) Semiconductor package and method of manufacturing the same
TWI792433B (en) Semiconductor device and method for manufacturing semiconductor device
US20240030187A1 (en) Semiconductor package and method of manufacturing semiconductor package
TW202414614A (en) Semiconductor element
TW202339140A (en) Semiconductor package and method of forming the same
TW202410357A (en) Antenna device and method forming thereof
TW202213672A (en) Integrated substrate structure, electronic assembly, and manufacturing method thereof
JP2022027738A (en) Semiconductor package and method of manufacture

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19944001

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19944001

Country of ref document: EP

Kind code of ref document: A1