CN210167357U - Integrated device - Google Patents

Integrated device Download PDF

Info

Publication number
CN210167357U
CN210167357U CN201921488017.0U CN201921488017U CN210167357U CN 210167357 U CN210167357 U CN 210167357U CN 201921488017 U CN201921488017 U CN 201921488017U CN 210167357 U CN210167357 U CN 210167357U
Authority
CN
China
Prior art keywords
layer
insulating layer
pad
silicon layer
integrated device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201921488017.0U
Other languages
Chinese (zh)
Inventor
陆斌
沈健
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shenzhen Goodix Technology Co Ltd
Original Assignee
Shenzhen Goodix Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shenzhen Goodix Technology Co Ltd filed Critical Shenzhen Goodix Technology Co Ltd
Priority to CN201921488017.0U priority Critical patent/CN210167357U/en
Application granted granted Critical
Publication of CN210167357U publication Critical patent/CN210167357U/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Providing an integrated device, the integrated device comprising: the upper surface of the substrate is provided with at least one first bonding pad; the silicon layer is arranged above the substrate and provided with at least one conductive structure, and the at least one conductive structure corresponds to the at least one first bonding pad respectively; the first insulating layer is arranged above the silicon layer, a first wiring layer is arranged in the first insulating layer, and the at least one first pad is connected to the first wiring layer through the at least one conducting structure. Based on the technical scheme, the thickness and the cost of the integrated device can be effectively reduced.

Description

Integrated device
Technical Field
Embodiments of the present application relate to the field of chip packaging, and more particularly, to integrated devices.
Background
Currently, 2.5-dimensional (D) interposer (silicon/glass interposer) is an important advanced packaging structure in the post-molar era.
Specifically, as shown in fig. 1, the 2.5D interposer 120 selects a silicon chip or Glass as a substrate, a redistribution layer (RDL) is first fabricated on two surfaces of the substrate 121 by using a wafer process, and then vertical transmission of electrical signals from a wiring layer 123 on the front surface of the interposer to a wiring layer 124 on the back surface of the interposer is achieved Through a Through-silicon Via (TSV) or a Through-Glass Via (TGV) 122 plated with copper.
In the packaging process, a chip (chip)130 is packaged on the substrate 110 in the form of an inverted stub through the 2.5D interposer 120. For example, the 2.5D interposer 120 and the chip 130 are connected by micro bumps or solder balls with a smaller pitch; meanwhile, the 2.5D interposer 120 and the substrate 110 are connected by bumps or solder balls with a larger pitch.
However, the 2.5D interposer 120 not only needs to integrate complete set of preparation processes such as TSV/TGV, copper plating, metal planarization, wafer thinning, rewiring, fine-pitch copper bumps, high-precision chip bonding, etc., but also has a complex mounting process and increased cost. Also, the thickness of the product 100 formed based on the 2.5D interposer 120 is too great.
SUMMERY OF THE UTILITY MODEL
Provided are an integrated device and a method of manufacturing the same, which can reduce the cost and thickness of the integrated device.
In a first aspect, an integrated device is provided, comprising:
the upper surface of the substrate is provided with at least one first bonding pad;
a silicon layer disposed over the substrate, the silicon layer having at least one conductive structure disposed over the at least one first pad, respectively;
the first insulating layer is arranged above the silicon layer, a first wiring layer is arranged in the first insulating layer, and the at least one first pad is connected to the first wiring layer through the at least one conducting structure.
By arranging a layer of silicon layer on the upper surface of the substrate and arranging at least one conductive structure in the silicon layer, which corresponds to the at least one first pad respectively, not only can high-density metal interconnection in the vertical and horizontal directions be realized, but also the use of a 2.5D adapter plate can be avoided. Compared with a 2.5D adapter plate, the preparation process of the integrated device and the integration process of integrating the chip to be integrated into the integrated device are simple, the cost of the integrated device can be reduced, and the total thickness of the integrated device can be reduced.
Meanwhile, the silicon layer supports the first wiring layer, so that the difference of the thermal expansion coefficients between the substrate and the chip to be integrated can be relieved as much as possible, and the performance of the integrated device is improved.
In addition, by supporting the first wiring layer with the silicon layer, a passive device such as a capacitor can be integrated in the silicon layer to improve the performance of the integrated apparatus.
In some possible implementations, the silicon layer includes at least one of a polycrystalline silicon layer, an amorphous silicon layer, and a microcrystalline silicon layer.
In some possible implementations, the silicon layer is a deposited layer deposited on the substrate.
In some possible implementations, the silicon layer is formed with at least one via corresponding to each of the at least one first pad, wherein the first wiring layers extend into the at least one via and are connected to the at least one first pad, respectively, to form the at least one conductive structure.
In some possible implementations, the first insulating layer and the first wiring layer each extend into a first via of the at least one via, and the first wiring layer within the first via is located outside the first insulating layer within the first via.
In some possible implementations, a conductive pillar is disposed within a second via of the at least one via, and the first routing layer is connected to the conductive pillar.
In some possible implementations, an aperture of an opening of each of the at least one via hole near the first insulating layer is larger than an aperture of an opening of the same via hole near the substrate.
In some possible implementations, the integrated device further includes:
a second insulating layer;
wherein the second insulating layer is disposed between the silicon layer and the first insulating layer and extends to an inner wall of each of the at least one via.
In some possible implementations, the silicon layer is formed with at least one conductive region corresponding to each of the at least one first pad, wherein a resistivity of each of the at least one conductive region is less than or equal to a preset threshold value to form the at least one conductive structure.
In some possible implementations, the integrated device further includes:
a third insulating layer;
the silicon layer is provided with a recessed ring penetrating through the silicon layer around the at least one conductive region, the third insulating layer is arranged between the silicon layer and the first insulating layer and extends into the recessed ring, a through hole corresponding to each conductive region in the at least one conductive region is formed in the third insulating layer, and each conductive region in the at least one conductive region is connected to the first wiring layer through the through hole corresponding to the same conductive region in the third insulating layer.
In some possible implementations, the integrated device further includes:
a fourth insulating layer;
the fourth insulating layer is arranged between the substrate and the silicon layer, a through hole corresponding to each first pad in the at least one first pad is formed in the fourth insulating layer, and each first pad in the at least one first pad is connected to the conductive structure corresponding to the same first pad through the through hole corresponding to the same first pad in the fourth insulating layer.
In some possible implementations, the integrated device further includes:
a fifth insulating layer;
the fifth insulating layer is arranged between the silicon layer and the substrate, a second wiring layer is arranged in the fifth insulating layer, and at least one conducting structure of the silicon layer is connected to the at least one first bonding pad through the second wiring layer.
In some possible implementations, the line width of the wires in the second wiring layer is greater than the line width of the wires in the first wiring layer, and/or the pitch of the wires in the second wiring layer is greater than the pitch of the wires in the first wiring layer.
In some possible implementations, the silicon layer includes a plurality of silicon layer units, and the first insulating layer extends to a surrounding area of each of the plurality of silicon layer units.
In some possible implementations, each of the plurality of silicon layer units is provided with at least one conductive structure.
In some possible implementations, passive devices are formed within the silicon layer.
In some possible implementations, the passive device includes a capacitor.
In some possible implementations, the integrated device further includes:
the chip is arranged above the first insulating layer, one side, close to the first wiring layer, of the chip is provided with at least one second bonding pad, and the at least one second bonding pad is connected to the first wiring layer respectively.
In some possible implementation manners, the first wiring layer is provided with at least one link pad corresponding to each of the at least one second pad on a side close to the chip, the first wiring layer is provided with at least one link pad corresponding to each of the at least one first pad on a side close to the silicon layer, and a pitch of the link pads of the first wiring layer on a side close to the chip is smaller than a pitch of the link pads of the first wiring layer on a side close to the silicon layer.
In a second aspect, there is provided a method of making an integrated device, comprising:
forming a silicon layer on the upper surface of a substrate, wherein at least one first bonding pad is arranged on the upper surface of the substrate;
forming at least one conductive structure of the silicon layer, the at least one conductive structure corresponding to the at least one first pad, respectively;
forming a first insulating layer over the silicon layer;
and a first wiring layer is arranged in the first insulating layer, and the at least one first pad is connected to the first wiring layer through the at least one conductive structure respectively.
In some possible implementations, the silicon layer includes at least one of a polycrystalline silicon layer, an amorphous silicon layer, and a microcrystalline silicon layer.
In some possible implementations, the forming a silicon layer on the upper surface of the substrate includes:
depositing the silicon layer on the substrate.
In some possible implementations, the forming at least one conductive structure of the silicon layer includes:
forming at least one through hole of the silicon layer, wherein the at least one through hole respectively corresponds to the at least one first bonding pad; wherein the forming a first insulating layer over the silicon layer comprises:
and forming a first insulating layer above the silicon layer, wherein the first wiring layers respectively extend into the at least one through hole and are respectively connected to the at least one first bonding pad to form the at least one conductive structure.
In some possible implementations, the first insulating layer and the first wiring layer each extend into a first via of the at least one via, and the first wiring layer within the first via is located outside the first insulating layer within the first via.
In some possible implementations, a conductive pillar is disposed within a second via of the at least one via, and the first routing layer is connected to the conductive pillar.
In some possible implementations, an aperture of an opening of each of the at least one via hole near the first insulating layer is larger than an aperture of an opening of the same via hole near the substrate.
In some possible implementations, the forming a first insulating layer on the silicon layer includes:
forming a second insulating layer over the silicon layer and an inner wall of each of the at least one via;
forming the first insulating layer over the second insulating layer.
In some possible implementations, the forming at least one conductive structure of the silicon layer includes:
forming at least one conductive region of the silicon layer, the at least one conductive region corresponding to the at least one first pad, respectively, wherein a resistivity of each of the at least one conductive region is less than or equal to a predetermined threshold to form the at least one conductive structure.
In some possible implementations, the forming a first insulating layer on the silicon layer includes:
forming a recessed ring through the silicon layer around each of the at least one conductive region;
forming a third insulating layer between the silicon layer and the first insulating layer and within the recessed ring;
forming a via corresponding to each of the at least one conductive region of the third insulating layer;
and forming the first insulating layer on the third insulating layer, wherein each conductive area in the at least one conductive area is connected to the first wiring layer through a through hole corresponding to the same conductive area on the third insulating layer.
In some possible implementations, the forming a silicon layer on the upper surface of the substrate includes:
forming a fourth insulating layer over the substrate;
forming a through hole corresponding to each of the at least one first pad of the fourth insulating layer;
and forming the silicon layer above the fourth insulating layer, wherein each first pad of the at least one first pad is connected to the conductive structure corresponding to the same first pad through the through hole corresponding to the same first pad on the fourth insulating layer.
In some possible implementations, the forming a silicon layer on the upper surface of the substrate includes:
forming a fifth insulating layer on the substrate, wherein a second wiring layer is arranged in the fifth insulating layer;
and arranging the silicon layer on the fifth insulating layer, wherein at least one conductive structure of the silicon layer is connected to the at least one first pad through the second wiring layer respectively.
In some possible implementations, the line width of the wires in the second wiring layer is greater than the line width of the wires in the first wiring layer, and/or the pitch of the wires in the second wiring layer is greater than the pitch of the wires in the first wiring layer.
In some possible implementations, the forming a first insulating layer over the silicon layer includes:
dividing the silicon layer into a plurality of silicon layer units;
the first insulating layer is formed over the plurality of silicon layer units and a surrounding area of each of the plurality of silicon layer units.
In some possible implementations, each of the plurality of silicon layer units is provided with at least one conductive structure.
In some possible implementations, passive devices are formed within the silicon layer.
In some possible implementations, the passive device includes a capacitor.
In some possible implementations, the method further includes:
disposing a chip over the first insulating layer;
and at least one second bonding pad is arranged on one side of the chip close to the first wiring layer and is respectively connected to the first wiring layer.
In some possible implementation manners, the first wiring layer is provided with at least one link pad corresponding to each of the at least one second pad on a side close to the chip, the first wiring layer is provided with at least one link pad corresponding to each of the at least one first pad on a side close to the silicon layer, and a pitch of the link pads of the first wiring layer on a side close to the chip is smaller than a pitch of the link pads of the first wiring layer on a side close to the silicon layer.
In a third aspect, an integrated device is provided, comprising:
an integrated device made according to the method described in the second aspect and any one of the possible implementations of the second aspect.
Drawings
Fig. 1 is an example of an existing chip mounting scheme.
Fig. 2 is a schematic structural diagram of an integrated device according to an embodiment of the present application.
Fig. 3 to 6 are schematic views of modified structures of the integrated device shown in fig. 2.
Fig. 7 is a schematic flow chart of preparing an integrated device according to an embodiment of the present application.
Fig. 8 to 14 are schematic views of structures formed at various stages in a process of manufacturing the integrated device shown in fig. 2 according to an embodiment of the present application.
Fig. 15 to 18 are schematic views of structures formed at various stages in the process of manufacturing the integrated device shown in fig. 3 according to an embodiment of the present application.
Detailed Description
The integrated device and the method for manufacturing the same according to the present application will be described in detail with reference to the accompanying drawings.
It should be understood that the integrated device to which the present application relates may be applied to various electronic apparatuses. Such as portable or mobile computing devices, e.g., smart phones, laptops, tablets, gaming devices, etc., and other electronic devices, e.g., electronic databases, automobiles, Automated Teller Machines (ATMs), etc.
It should be noted that, for convenience of description, like reference numerals denote like parts in the embodiments of the present application, and a detailed description of the like parts is omitted in different embodiments for the sake of brevity. It should be understood that the thickness, length, width and other dimensions of the various components in the embodiments of the present application and the overall thickness, length, width and other dimensions of the integrated device shown in the drawings are only exemplary and should not constitute any limitation to the present application.
Further, in the embodiments shown below, the same reference numerals are given to the same structures among the structures shown in the different embodiments for the convenience of understanding, and detailed descriptions of the same structures are omitted for the sake of brevity.
Fig. 2 is a schematic structural diagram of an integrated device according to an embodiment of the present application.
As shown in fig. 2, the integrated device 200 may include a Substrate (Substrate)210, a silicon layer 220 over the Substrate 210, and a first insulating layer 230 over the silicon layer 220.
The upper surface of the substrate 210 may be provided with at least one first pad, the silicon layer 220 may be provided with at least one conductive structure above the at least one first pad, the at least one conductive structure corresponds to the at least one first pad, a first wiring layer 231 is provided in the first insulating layer 230, and the at least one first pad is connected to the first wiring layer through the at least one conductive structure.
In other words, the integrated device 200 may include a substrate 210, a silicon layer 220 over the substrate 210, a first insulating layer 230 over the silicon layer 220, and a first wiring layer 231 in the first insulating layer 230, wherein the silicon layer 220 is used for supporting the first wiring layer 231, and the first insulating layer 230 is used for protecting and insulating the first wiring layer 231. The first wiring layers 231 are respectively connected to at least one first pad of the substrate 210 through at least one conductive structure in the silicon layer 220 to enable vertical transfer of electrical signals from the first wiring layers 231 to the at least one first pad on the substrate 210.
By providing the silicon layer 220 on the upper surface of the substrate 210 and providing at least one conductive structure in the silicon layer 220 corresponding to the at least one first pad, respectively, not only can high-density metal interconnection in the vertical and horizontal directions be achieved, but also the use of a 2.5D interposer can be avoided. Compared with a 2.5D adapter plate, the preparation process of the integrated device and the integration process of integrating the chip to be integrated into the integrated device are simple, the cost of the integrated device 200 can be reduced, and the overall thickness of the integrated device 200 can be reduced.
Meanwhile, the silicon layer 220 supports the first wiring layer 231, so that the difference of the thermal expansion coefficients between the substrate 210 and the chip to be integrated can be relieved as much as possible, and the performance of the integrated device 200 can be improved.
In addition, by supporting the first wiring layer 231 through the silicon layer 220, a passive device such as a capacitor may be integrated in the silicon layer 220 to improve performance of the integrated device 200.
It should be understood that the substrate 210 can provide electrical connection, protection, support, heat dissipation, assembly, etc. for various chips, so as to achieve the purpose of multi-pin, reduce the volume of the packaged product, improve electrical performance and heat dissipation, and ultra-high density or multi-chip modularization.
For example, the substrate 210 may be any type of flexible or rigid, organic or inorganic substrate used in various packaging technologies. The material of the substrate 210 includes, but is not limited to, quartz, glass, ceramic, and various resins. The organic substrate may further include fillers (fillers) such as glass fiber and silica spheres, for example, FR4 substrate, Bismaleimide Triazine (BT) resin substrate. Wherein FR4 is a code for a grade of flame resistant material.
The at least one first Pad of the substrate 210 may be a Pad (Pad) that may have been prepared on one surface thereof before entering the integration process flow, or may be a Pad that is prepared after entering the integration process flow. The upper surface of the substrate 210 may be a surface on which a pad has been prepared, or a surface opposite to the lower surface of the silicon layer 220 is the upper surface of the substrate 210.
Referring to fig. 2, the substrate 210 may include 3 pads 211, and each pad 211 may be connected to an internal circuit in the substrate 210.
The silicon layer 220 may be a polycrystalline silicon layer, an amorphous silicon layer or a microcrystalline silicon layer, or a material layer formed of a mixed material including silicon, and the silicon layer 220 may be a deposited layer deposited on the substrate 210. For example, the symmetry 220 may be a deposition layer formed of a mixed material including polysilicon or amorphous silicon.
The first insulating layer 230 may be a material layer or a deposition layer formed of any material having an insulating property. For example, the material of the first insulating layer 230 may include, but is not limited to, silicon oxide, silicon nitride, silicon glass, Spin On Glass (SOG), Polyimide (PI), Parylene, benzocyclobutene (BCB), and the like. The Silicon Glass includes, but is not limited to, Undoped Silicon Glass (USG), borosilicate Glass (BSG), phosphosilicate Glass (PSG), borophosphosilicate Glass (BPSG). For another example, the material of the first insulating layer 230 may be inorganic materials, such as silicon oxide synthesized from Tetraethoxysilane (TEOS), silicon oxide, silicon nitride, and ceramics. For another example, the first insulating layer 230 may be a stacked layer of the above materials, or the first insulating layer may be a material layer formed of a material obtained by mixing the above materials.
The first wiring layer 231 may include a connection pad for at least one pad of the substrate 210, a wiring connected to the connection pad for at least one pad of the substrate 210, and a connection pad for a chip to be integrated. Wherein a lower surface of the first insulating layer 230 exposes connection pads respectively for at least one pad of the substrate 210, and an upper surface of the first insulating layer 230 exposes connection pads respectively for at least one pad of the substrate 210.
Alternatively, in some embodiments of the present application, the first wiring layer 231 may pass through the silicon layer 220 and be connected to at least one first pad of the substrate 210.
For example, as shown in fig. 2, the silicon layer 220 may be formed with at least one through hole corresponding to each of the at least one first pad, wherein the first wiring layers 231 respectively extend into the at least one through hole and are respectively connected to the at least one first pad on the substrate 210 to form at least one conductive structure of the silicon layer 220.
In other words, the silicon layer 220 forms at least one through hole respectively above the at least one first pad, the at least one through hole being used to provide an accommodation space for the first wiring layer 231 so that the first wiring layer 231 can be connected to the at least one first pad. The at least one via and the first routing layer 231 within the via may be used to form the at least one conductive structure for connecting the first routing layer 231 to at least one first pad of the substrate 210.
As an example, the first insulating layer 230 and the first wiring layer 231 each extend into a first via of the at least one via, and the first wiring layer 231 within the first via is located outside the first insulating layer 230 within the first via.
In other words, the first wiring layer 231 is located on an inner wall of the first via and connected to at least one first pad of the substrate 210, and the first insulating layer 230 fills a region surrounded by the first wiring layer 231 in the first via.
In connection with fig. 2, the first via may be the leftmost via on the silicon layer 220.
As another example, a conductive pillar is disposed in a second via of the at least one via, and the first wiring layer 231 is connected to the conductive pillar.
In other words, the second via hole may be filled with a conductive material identical to that of the first wiring layer 231, and the first wiring layer 231 is connected to the conductive material in the second via hole, thereby forming a conductive structure of the silicon layer 220.
In connection with fig. 2, the second via may be the rightmost via on the silicon layer 220.
Of course, the first wiring layer 231 may also extend into a second through hole of the at least one through hole and fill the second through hole to form a conductive structure of the silicon layer 220, which is not particularly limited in this application.
It is to be understood that the at least one through hole may include at least one first through hole and/or at least one second through hole, which is not particularly limited in the present application.
Optionally, in some embodiments of the present application, each of the at least one through-hole is an axisymmetric through-hole. For example, the aperture of the opening of each of the at least one via hole near the first insulating layer is larger than the aperture of the opening of the same via hole near the substrate, so that the first insulating layer 230 or the first wiring layer 231 extends into the via hole.
In connection with fig. 2, each of the at least one via may be a truncated inverted cone structure. It is understood that in other alternative embodiments, each of the at least one through-hole may be other shaped through-holes, such as an inverted trapezoidal through-hole.
As shown in fig. 2, in some embodiments of the present application, the integrated device 200 may further include a second insulating layer 240 to protect and insulate the first wiring layer 231.
For example, the second insulating layer 240 is disposed between the silicon layer 220 and the first insulating layer 230, and extends to an inner wall of each of the at least one via.
In other words, the second insulating layer 240 covers only the upper surface of the silicon layer 220 and the inner wall of each of the at least one through hole, so that the first wiring layer 231 can be connected to the at least one first pad on the substrate 210 through the second insulating layer 230.
It should be understood that the material of the second insulating layer 240 may be the same as or different from the material of the first insulating layer 230, and the present application is not limited thereto.
As shown in fig. 2, in some embodiments of the present application, the integrated device 200 may further include a fourth insulating layer 250 to protect and insulate the substrate 210.
For example, the fourth insulating layer 250 may be disposed between the substrate 210 and the silicon layer 220, and the fourth insulating layer 250 may be formed with a through hole corresponding to each of the at least one first pad, so that each of the at least one first pad is connected to the conductive structure corresponding to the same first pad through the through hole corresponding to the same first pad on the fourth insulating layer 250.
In other words, the fourth insulating layer 250 may be disposed between the substrate 210 and the silicon layer 220, and through holes are respectively formed above at least one first pad of the base 210 so that the first wiring layer 231 can pass through the fourth insulating layer 250 and be connected to the at least one first pad.
It should be understood that the material of the fourth insulating layer 250 may be the same as or different from the material of the first insulating layer 230, and the present application is not limited thereto.
As shown in fig. 2, in some embodiments of the present application, the integrated device 200 may further include a chip 260, and the chip 260 may be used for processing and/or transceiving signals.
For example, the chip 260 may be disposed above the first insulating layer 230, and a side of the chip 260 close to the first wiring layer 231 is provided with at least one second pad respectively connected to the first wiring layer 231.
In other words, the chip 260 can be connected to the substrate 210 through the first wiring layer 231, which avoids using an interposer, and can reduce not only the process complexity but also the thickness of the integrated device 200.
It should be appreciated that the chip 260 may be any type or size of chip. For example, the chip 260 may be a special chip or a security chip for performing complex encryption and decryption algorithms. The security chip may be a chip (e.g., a processor) provided with a circuit, various chips in the field of internet of things, and the like. For example, the chip 260 may include devices or components of transistors, resistors, capacitors, inductors, and other elements and wires, and for example, the chip 260 may be a microelectronic device or component carrying an integrated circuit (integrated circuit).
Optionally, in some embodiments of the present application, the first wiring layer 231 is provided with at least one link pad corresponding to the at least one second pad respectively at a side close to the chip 260, the first wiring layer 231 is provided with at least one link pad corresponding to the at least one first pad respectively at a side close to the silicon layer 220, wherein a pitch of the connection pads provided at a side close to the chip 260 by the first wiring layer 231 is smaller than a pitch of the connection pads provided at a side close to the silicon layer 220 by the first wiring layer 231.
Wherein the at least one second pad of the chip 260 may be connected to the connection pad of the first wiring layer 231 by a solder ball or other connection member. At least one chip 260 may be disposed over the first insulating layer 230.
Referring to fig. 2, 3 chips 260 are disposed over the first insulating layer, and the 3 chips 260 are provided with 9 pads 261 in total. At this time, the first wiring layer 231 is provided with 9 connection pads at a side close to the 3 chips 260 to be connected to the 9 pads 261, respectively, and the first wiring layer 231 is provided with 3 connection pads at a side close to the silicon layer 220 to be connected to the 3 pads 211 of the substrate 210, respectively.
Of course, in other alternative embodiments, the pitch of the connection pads of the first wiring layer 231 disposed on the side close to the chip 260 may be greater than or equal to the pitch of the connection pads of the first wiring layer 231 disposed on the side close to the silicon layer 220.
Fig. 3 is a schematic diagram of a modified structure of the integrated device 200 shown in fig. 2.
As shown in fig. 3, in some embodiments of the present application, the silicon layer 220 may be formed with at least one conductive region corresponding to the at least one first pad, wherein a resistivity of each of the at least one conductive region is less than or equal to a predetermined threshold value to form the at least one conductive structure. For example, each of the at least one conductive region may be a pillar conductive region 225.
In other words, the silicon layer 220 may form at least one conductive region over at least one first pad of the substrate 210, respectively, the at least one conductive region serving as the at least one conductive structure, whereby it can be ensured that the at least one first pad may be electrically connected to the first wiring layer 231 through the silicon layer 220.
It is to be understood that in other alternative implementations, each of the at least one conductive region may also be a conductive region of other shapes, which is not specifically limited in this application.
As shown in fig. 3, in some embodiments of the present application, the integrated device 200 further includes a third insulating layer 241 to protect and insulate the first wiring layer 231.
For example, the silicon layer 220 is formed with a recessed ring penetrating through the silicon layer 220 around the at least one conductive region, the third insulating layer 241 is disposed between the silicon layer 220 and the first insulating layer 230 and extends into the recessed ring, the third insulating layer 241 is formed with a through hole corresponding to each of the at least one conductive region, and each of the at least one conductive region is connected to the first wiring layer 231 through a through hole corresponding to the same conductive region on the third insulating layer 241.
In other words, the third insulating layer 241 is formed with a via hole above each of the at least one conductive region so that the first wiring layer 231 can be connected to the at least one conductive region through the third insulating layer 241.
Fig. 4 is a schematic diagram of another modified structure of the integrated device 200 shown in fig. 2.
As shown in fig. 4, in some embodiments of the present application, the integrated device 200 may further include a fifth insulating layer to provide a second wiring layer on the substrate 210 for connecting the first wiring layer 231 to at least one pad of the substrate 210.
For example, the fifth insulating layer may be disposed between the silicon layer 220 and the substrate 210, a second wiring layer is disposed in the fifth insulating layer, and at least one conductive structure of the silicon layer 220 is connected to the at least one first pad through the second wiring layer, respectively.
Optionally, in some embodiments of the present application, a line width of the wires in the second wiring layer 271 is greater than a line width of the wires in the first wiring layer 231, and/or a pitch of the wires in the second wiring layer 271 is greater than a pitch of the wires in the first wiring layer 231, so as to increase a utilization rate of the wires in the second wiring layer 271.
In other words, the RDL layers are located on the upper and lower surfaces of the silicon layer 220. The line width and/or line distance of the first wiring layer on the upper surface is large, and the first wiring layer is used for matching with the coarse-pitch metal routing on the substrate 210; the second wiring layer on the lower surface has a smaller line width and/or line spacing for matching the fine-pitch metal traces on the chip 260.
Fig. 5 is a schematic diagram of another alternative configuration of the integrated device 200 depicted in fig. 2.
As shown in fig. 5, in some embodiments of the present application, the silicon layer 220 may be divided into a plurality of independent silicon layer units to avoid the problem of silicon layer cracking due to mismatch of thermal expansion coefficients of the silicon layer 220 during a process in which the temperature is high.
In other words, the silicon layer 220 may include a plurality of silicon layer units, and the first insulating layer 230 extends to a peripheral region of each of the plurality of silicon layer units. Optionally, each of the plurality of silicon layer units is provided with at least one conductive structure to realize transmission of an electrical signal in a vertical direction of each silicon layer unit.
The silicon layer units can be distributed at equal intervals or unequal intervals. The plurality of silicon layer units may have partially the same size or may all have the same size, which is not specifically limited in this application. For example, the size of each silicon layer unit and the number of conductive structures may be set according to actual requirements.
Referring to fig. 5, the plurality of silicon layer units may include 2 silicon layer units 221. Wherein the left silicon layer unit 221 is provided with two conductive structures, and the right silicon layer unit 221 is provided with one conductive structure.
Fig. 6 is another schematic diagram of a modified structure of the integrated device 200 shown in fig. 2.
As shown in fig. 6, in some embodiments of the present application, passive devices 280 may be formed in the silicon layer 220 to shorten a distance between the passive devices and a chip integrated on the integrated device 200, thereby improving performance of the integrated device 200. For example, the passive device 280 includes a capacitor. Alternatively, the capacitors may be arranged in a stacked manner so as to provide a plurality of capacitors connected in parallel, thereby increasing the capacitance of the capacitors. Further, the dielectric layer or the conductive layer in the capacitor may be formed with a groove structure to increase the capacitance value of the capacitor.
It should be understood that fig. 2-6 are only examples of the present application and should not be construed as limiting the present application.
For example, in other alternative embodiments, the second insulating layer 240 or the third insulating layer 241 may be omitted directly. That is, the first insulating layer 230 may be directly disposed on the upper surface of the silicon layer 220. For another example, the fourth insulating layer 250 may be directly omitted. That is, the silicon layer 220 may be directly disposed on the upper surface of the substrate 210.
For another example, the embodiments and/or technical features of the embodiments described in the present application may be arbitrarily combined with each other, and the technical solutions obtained after the combination also fall within the scope of the present application. For example, passive devices may also be provided in the silicon layer 220 in the integrated device 200 shown in fig. 3.
For another example, a connection cable may be disposed in each of the at least one through hole of the silicon layer 220 to connect the first wiring layer 231 to the pad of the substrate 210.
Fig. 7 is a schematic flow chart diagram of a method 300 of preparing an integrated device according to an embodiment of the present application.
As shown in fig. 7, the method 300 may include:
and S310, forming a silicon layer on the upper surface of the substrate, wherein the upper surface of the substrate is provided with at least one first bonding pad.
S320, forming at least one conductive structure of the silicon layer, wherein the at least one conductive structure corresponds to the at least one first bonding pad respectively.
And S330, forming a first insulating layer above the silicon layer. And a first wiring layer is arranged in the first insulating layer, and the at least one first pad is connected to the first wiring layer through the at least one conductive structure respectively.
In short, after the silicon layer is formed over the substrate, the first insulating layer is formed over the silicon layer. The first wiring layer is arranged in the first insulating layer, and the silicon layer is used for supporting the first wiring layer. The silicon layer is provided with at least one conductive structure, whereby the first wiring layer is connectable to at least one first pad of the substrate via the at least one conductive structure, thereby enabling the transfer of electrical signals in a vertical direction.
In some embodiments of the present application, the silicon layer includes at least one of a polycrystalline silicon layer, an amorphous silicon layer, and a microcrystalline silicon layer.
In some embodiments of the present application, the S310 may include:
depositing the silicon layer on the substrate.
In some embodiments of the present application, the S320 may include:
forming at least one through hole of the silicon layer, wherein the at least one through hole respectively corresponds to the at least one first bonding pad; wherein the S330 may include:
forming the first insulating layer over the silicon layer, the first wiring layers extending into the at least one via respectively and connected to the at least one first pad respectively to form the at least one conductive structure.
In some embodiments of the present application, the first insulating layer and the first wiring layer each extend into a first via of the at least one via, and the first wiring layer within the first via is located outside the first insulating layer within the first via.
In some embodiments of the present application, a conductive pillar is disposed within a second via of the at least one via, and the first routing layer is connected to the conductive pillar.
In some embodiments of the present application, an aperture of an opening of each of the at least one via proximate to the first insulating layer is larger than an aperture of an opening of the same via proximate to the substrate.
In some embodiments of the present application, the S330 may include:
forming a second insulating layer over the silicon layer and an inner wall of each of the at least one via; forming the first insulating layer over the second insulating layer.
In some embodiments of the present application, the S320 may include:
forming at least one conductive region of the silicon layer, the at least one conductive region corresponding to the at least one first pad, respectively, wherein a resistivity of each of the at least one conductive region is less than or equal to a predetermined threshold to form the at least one conductive structure.
In some embodiments of the present application, the S330 may include:
forming a recessed ring through the silicon layer around each of the at least one conductive region; forming a third insulating layer between the silicon layer and the first insulating layer and within the recessed ring; forming a via corresponding to each of the at least one conductive region of the third insulating layer; and forming the first insulating layer on the third insulating layer, wherein each conductive area in the at least one conductive area is connected to the first wiring layer through a through hole corresponding to the same conductive area on the third insulating layer.
In some embodiments of the present application, the S320 may include:
forming a fourth insulating layer over the substrate; forming a through hole corresponding to each of the at least one first pad of the fourth insulating layer; and forming the silicon layer above the fourth insulating layer, wherein each first pad of the at least one first pad is connected to the conductive structure corresponding to the same first pad through the through hole corresponding to the same first pad on the fourth insulating layer.
In some embodiments of the present application, the S320 may include:
forming a fifth insulating layer on the substrate, wherein a second wiring layer is arranged in the fifth insulating layer; and arranging the silicon layer on the fifth insulating layer, wherein at least one conductive structure of the silicon layer is connected to the at least one first pad through the second wiring layer respectively.
In some embodiments of the present application, a line width of the wiring in the second wiring layer is greater than a line width of the wiring in the first wiring layer, and/or a pitch of the wiring in the second wiring layer is greater than a pitch of the wiring in the first wiring layer.
In some embodiments of the present application, the S330 may include:
dividing the silicon layer into a plurality of silicon layer units; the first insulating layer is formed over the plurality of silicon layer units and a surrounding area of each of the plurality of silicon layer units.
In some embodiments of the present application, each of the plurality of silicon layer units is provided with at least one conductive structure.
In some embodiments of the present application, passive devices are formed within the silicon layer.
In some embodiments of the present application, the passive device comprises a capacitor.
In some embodiments of the present application, the method 300 may further comprise:
disposing a chip over the first insulating layer; and at least one second bonding pad is arranged on one side of the chip close to the first wiring layer and is respectively connected to the first wiring layer.
In some embodiments of the present application, the first wiring layer is provided with at least one link pad corresponding to the at least one second pad respectively on a side close to the chip, the first wiring layer is provided with at least one link pad corresponding to the at least one first pad respectively on a side close to the silicon layer, wherein a distance between the connection pads provided on a side close to the chip by the first wiring layer is smaller than a distance between the connection pads provided on a side close to the silicon layer by the first wiring layer.
It is to be understood that method embodiments and product embodiments may correspond to one another and that similar descriptions may refer to product embodiments. For brevity, no further description is provided herein.
It should also be understood that the method 300 may be performed robotically or by numerically controlled machining, and that the device software or processes used to perform the method 300 may perform the method 300 described above by executing computer program code stored in memory.
It should also be understood that, in the various embodiments of the present application, the sequence numbers of the above-mentioned processes do not mean the execution sequence, and the execution sequence of each process should be determined by its function and inherent logic, and should not constitute any limitation to the implementation process of the embodiments of the present application.
Fig. 8 to 14 are schematic views of structures formed at various stages in a process of manufacturing the integrated device shown in fig. 2 according to an embodiment of the present application. A method for manufacturing the integrated device 200 shown in fig. 2 will be described with reference to fig. 8 to 14.
The method comprises the following steps:
and selecting a substrate needing to be welded with a chip. Such as substrate 210 shown in fig. 8.
The substrate 210 may be a glass, ceramic or organic substrate. The organic substrate may include a filler such as resin, glass fiber, and silica spheres. The upper surface of the substrate 210 is provided with at least one pad 211.
Step two:
a fourth insulating layer 250 is deposited on the upper surface (i.e., the side where the bonding pads are disposed) of the substrate 210 by a deposition process, and then a silicon layer 220 is deposited on the upper surface of the fourth insulating layer 250, so as to form the structure shown in fig. 9.
The insulating layer may be made of silicon oxide, silicon nitride, silicon glass (such as USG, BSG, PSG, or BPSG), or spin-on-glass (SOG), Polyimide (PI), Parylene (Parylene), benzocyclobutene (BCB), or the like.
Note that the fourth insulating layer 250 may be omitted. I.e., the silicon layer 220 is deposited directly on the upper surface of the substrate 210 using a deposition process.
Step three:
at least one through hole is prepared on the silicon layer 220 using a photolithography process in combination with a dry etching process (or a laser drilling process). The bottom of the at least one via hole rests on the upper surface of the fourth insulating layer 250 to form the structure shown in fig. 10.
Of course, the bottom of the at least one via hole may also rest on the at least one pad of the substrate 210.
Step four:
a second insulating layer 240 is deposited on the inner sidewall of the at least one through hole of the silicon layer 220 and the upper surface of the silicon layer 220 by a deposition process. Then, the second insulating layer 240 (and the fourth insulating layer 250) at the bottom of the at least one through hole is removed by an etching process to expose the pad 211 of the substrate 210, and finally, the metal layer 232 is deposited on the upper surface of the second insulating layer 240 and the bottom of the at least one through hole (i.e., on the at least one first pad of the substrate 210, for example, the two leftmost through holes in fig. 11) by a deposition process to form the structure shown in fig. 11.
Of course, the metal layer 232 may also be deposited on the upper surface of the second insulating layer 240 and the upper surface of the conductive material (e.g., the rightmost via in fig. 11) after the at least one via is filled with a conductive material such as Cu or W by a deposition process.
Step five:
the metal layer 232 above the second insulating layer 240 is patterned by using a photolithography and etching (or etching) process to form connection pads 233 for the substrate 210 in the first wiring layer, thereby forming the structure shown in fig. 12.
Step six:
wires connected to the connection pads 233 of the substrate 210, and connection pads for a chip and the first insulating layer 230 connected to the wires are formed on the second insulating layer 240 and the connection pads 233. Wherein a first wiring layer 231 is formed for the connection pads 233 of the substrate 210, the connection pads for the chip, and the wiring between the connection pads 233 of the substrate 210 and the connection pads for the chip, the first wiring layer 231 being disposed inside the first insulating layer 230 to form the structure illustrated in fig. 13.
Step seven:
a die 260 with elongated micro bumps is soldered to connection pads of the first wiring layer 231 for the die 260. The microbumped chip 260 is soldered to the connection pads for the chip 260 of the first wiring layer 231 by, for example, solder balls to form the structure shown in fig. 14.
Fig. 15 to 18 are schematic views of structures formed at various stages in the process of manufacturing the integrated device shown in fig. 3 according to an embodiment of the present application. A method for manufacturing the integrated device 200 shown in fig. 3 will be described with reference to fig. 15 to 18.
The method comprises the following steps:
after selecting the substrate 210, depositing a silicon layer 220 on the upper surface of the substrate 210 by a deposition process, and then doping by local ion implantation and laser annealing to form a conductive region 225 above each pad 211 of the substrate 210, thereby forming the structure shown in fig. 15.
Step two:
a recessed ring (or gap) penetrating the silicon layer 220 is formed around each conductive region 225 by using a photolithography process in combination with an etching process, and then a third insulating layer 241 is deposited on the upper surface of the silicon layer 220 and in the recessed ring by using a deposition process to form the structure illustrated in fig. 16.
Step three:
then, an opening of the third insulating layer 241 is formed above each conductive region 225 by using an etching process, and a connection pad for the substrate 210, a wiring connected to the connection pad 233 for the substrate 210, and a connection pad for a chip connected to the wiring are formed on the pad 211 of the substrate 210, and the first insulating layer 230 is prepared above the third insulating layer 241. Wherein a first wiring layer 231 is formed for the connection pads of the substrate 210, for the chip, and for the wiring between the connection pads of the substrate 210 and the connection pads for the chip, the first wiring layer 231 being disposed inside the first insulating layer 230 to form the structure illustrated in fig. 17.
Step four:
a die 260 with elongated micro bumps is soldered to connection pads of the first wiring layer 231 for the die 260. The microbumped chip 260 is soldered to the connection pad for the chip 260 of the first wiring layer 231 by, for example, a solder ball to form the structure shown in fig. 18.
It should be appreciated that the etching process referred to above may include at least one of the following processes:
dry etching process, wet etching process and laser etching process.
Further, the dry etching (dry etching) process may include at least one of the following etching processes: reactive ion etching (reactive ion etching), ion beam etching (ion beam etching), and the like. The chemical raw material of the wet etching process may include, but is not limited to, an etching solution containing hydrofluoric acid. In some embodiments of the present application, an etching method combining dry etching and wet etching, or a method combining laser etching and wet etching is adopted, so that the etched shape, the bottom surface flatness, and the like can be effectively ensured.
It should also be understood that the deposition processes referred to above include, but are not limited to:
a Physical Vapor Deposition (PVD) process and/or a Chemical Vapor Deposition (CVD) process. For example, thermal oxidation, Plasma Enhanced Chemical Vapor Deposition (PECVD), Low Pressure Chemical Vapor Deposition (LPCVD), etc.), Atomic Layer Deposition (ALD), plating, spin coating, or spray coating.
It should also be understood that the fabrication processes (e.g., deposition processes or etching processes) referred to in the embodiments of the present application are merely examples and should not be construed as limiting the present application. In other words, processes capable of producing integrated devices of the same or similar structure are within the scope of the present application.
Those of ordinary skill in the art will appreciate that the various illustrative methods of making described in connection with the embodiments disclosed herein may be implemented as electronic hardware, or combinations of computer software and electronic hardware. Whether such functionality is implemented as hardware or software depends upon the particular application and design constraints imposed on the implementation. Skilled artisans may implement the described functionality in varying ways for each particular application, but such implementation decisions should not be interpreted as causing a departure from the scope of the present application.
In the several embodiments provided in the present application, it should be understood that the disclosed integrated device, components within the integrated device, and method of preparing the integrated device may be implemented in other ways. For example, the integrated device embodiments described above are merely exemplary. For example, the division of the layers is only one logic function division, and there may be another division manner in actual implementation. For example, multiple layers or devices may be combined or may be integrated. Also for example, some features (e.g., the second insulating layer 240 or the third insulating layer 241) may be omitted or not fabricated.
For example, in other alternative embodiments, the first insulating layer 230 and the second insulating layer 240 (or the third insulating layer 241) may be combined into one layer.
The above description is only for the specific embodiments of the present application, but the scope of the present application is not limited thereto, and any person skilled in the art can easily conceive of the changes or substitutions within the technical scope of the present application, and shall be covered by the scope of the present application. Therefore, the protection scope of the present application shall be subject to the protection scope of the claims.

Claims (19)

1. An integrated device, comprising:
the upper surface of the substrate is provided with at least one first bonding pad;
a silicon layer disposed over the substrate, the silicon layer having at least one conductive structure disposed over the at least one first pad, respectively;
the first insulating layer is arranged above the silicon layer, a first wiring layer is arranged in the first insulating layer, and the at least one first pad is connected to the first wiring layer through the at least one conducting structure.
2. The integrated device of claim 1, wherein the silicon layer comprises at least one of a polycrystalline silicon layer, an amorphous silicon layer, and a microcrystalline silicon layer.
3. The integrated device of claim 1, wherein the silicon layer is a deposited layer deposited on the substrate.
4. The integrated device of claim 1, wherein the silicon layer is formed with at least one via corresponding to each of the at least one first pad, and wherein the first routing layers extend into the at least one via and are connected to the at least one first pad, respectively, to form the at least one conductive structure.
5. The integrated device of claim 4, wherein the first insulating layer and the first routing layer each extend into a first via of the at least one via, and wherein the first routing layer within the first via is outside the first insulating layer within the first via.
6. The integrated device of claim 4, wherein a conductive pillar is disposed within a second via of the at least one via, the first routing layer being connected to the conductive pillar.
7. The integrated device of claim 4, wherein an aperture of an opening of each of the at least one via proximate to the first insulating layer is larger than an aperture of an opening of the same via proximate to the substrate.
8. The integrated device of claim 4, further comprising:
a second insulating layer;
wherein the second insulating layer is disposed between the silicon layer and the first insulating layer and extends to an inner wall of each of the at least one via.
9. The integrated device of claim 1, wherein the silicon layer is formed with at least one conductive region corresponding to each of the at least one first pad, and wherein a resistivity of each of the at least one conductive region is less than or equal to a predetermined threshold to form the at least one conductive structure.
10. The integrated device of claim 9, further comprising:
a third insulating layer;
the silicon layer is provided with a recessed ring penetrating through the silicon layer around the at least one conductive region, the third insulating layer is arranged between the silicon layer and the first insulating layer and extends into the recessed ring, a through hole corresponding to each conductive region in the at least one conductive region is formed in the third insulating layer, and each conductive region in the at least one conductive region is connected to the first wiring layer through the through hole corresponding to the same conductive region in the third insulating layer.
11. The integrated device of any one of claims 1 to 10, further comprising:
a fourth insulating layer;
the fourth insulating layer is arranged between the substrate and the silicon layer, a through hole corresponding to each first pad in the at least one first pad is formed in the fourth insulating layer, and each first pad in the at least one first pad is connected to the conductive structure corresponding to the same first pad through the through hole corresponding to the same first pad in the fourth insulating layer.
12. The integrated device of any one of claims 1 to 10, further comprising:
a fifth insulating layer;
the fifth insulating layer is arranged between the silicon layer and the substrate, a second wiring layer is arranged in the fifth insulating layer, and at least one conducting structure of the silicon layer is connected to the at least one first bonding pad through the second wiring layer.
13. The integrated device of claim 12, wherein a line width of the wires in the second wiring layer is greater than a line width of the wires in the first wiring layer, and/or wherein a pitch of the wires in the second wiring layer is greater than a pitch of the wires in the first wiring layer.
14. The integrated device of any one of claims 1 to 10, wherein the silicon layer comprises a plurality of silicon layer cells, the first insulating layer extending to a surrounding region of each of the plurality of silicon layer cells.
15. The integrated device of claim 14, wherein each of the plurality of silicon layer units is provided with at least one conductive structure.
16. The integrated device of any of claims 1-10, wherein passive devices are formed within the silicon layer.
17. The integrated device of claim 16, wherein the passive component comprises a capacitor.
18. The integrated device of any one of claims 1 to 10, further comprising:
the chip is arranged above the first insulating layer, one side, close to the first wiring layer, of the chip is provided with at least one second bonding pad, and the at least one second bonding pad is connected to the first wiring layer respectively.
19. The integrated device according to claim 18, wherein the first wiring layer is provided with at least one link pad corresponding to each of the at least one second pad on a side close to the chip, and the first wiring layer is provided with at least one link pad corresponding to each of the at least one first pad on a side close to the silicon layer, wherein a pitch of the connection pads provided on the side close to the chip by the first wiring layer is smaller than a pitch of the connection pads provided on the side close to the silicon layer by the first wiring layer.
CN201921488017.0U 2019-09-06 2019-09-06 Integrated device Active CN210167357U (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201921488017.0U CN210167357U (en) 2019-09-06 2019-09-06 Integrated device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201921488017.0U CN210167357U (en) 2019-09-06 2019-09-06 Integrated device

Publications (1)

Publication Number Publication Date
CN210167357U true CN210167357U (en) 2020-03-20

Family

ID=69796149

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201921488017.0U Active CN210167357U (en) 2019-09-06 2019-09-06 Integrated device

Country Status (1)

Country Link
CN (1) CN210167357U (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111095544A (en) * 2019-09-06 2020-05-01 深圳市汇顶科技股份有限公司 Integrated device and preparation method thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111095544A (en) * 2019-09-06 2020-05-01 深圳市汇顶科技股份有限公司 Integrated device and preparation method thereof
CN111095544B (en) * 2019-09-06 2022-02-18 深圳市汇顶科技股份有限公司 Integrated device and preparation method thereof

Similar Documents

Publication Publication Date Title
US11855059B2 (en) Fan-out package with cavity substrate
US10475726B2 (en) Low CTE component with wire bond interconnects
US11444067B2 (en) Stacked interposer structures, microelectronic device assemblies including same, and methods of fabrication, and related electronic systems
TWI566372B (en) Device with integrated passive component
US12087697B2 (en) Semiconductor devices with recessed pads for die stack interconnections
CN111095544B (en) Integrated device and preparation method thereof
TWI806297B (en) Semiconductor package structure
US11380611B2 (en) Chip-on-wafer structure with chiplet interposer
US20240312898A1 (en) Chip-on-wafer structure with chiplet interposer
CN210167357U (en) Integrated device
TWI758151B (en) Semiconductor package structure
TWI751792B (en) A semiconductor package structure
TWI852376B (en) Semiconductor device and method of forming the same
US20230378132A1 (en) Semiconductor package and method of manufacturing the same
CN220324449U (en) Semiconductor package
WO2024193132A1 (en) Chip stacking structure and electronic device
TW202414614A (en) Semiconductor element
TW202213672A (en) Integrated substrate structure, electronic assembly, and manufacturing method thereof
KR20240093934A (en) 3D semiconductor package with stacked passive devices
CN114883197A (en) Semiconductor structure and forming method thereof

Legal Events

Date Code Title Description
GR01 Patent grant
GR01 Patent grant