WO2020115109A1 - Verfahren zum in situ schutz einer aluminiumschicht und optische anordnung für den vuv-wellenlängenbereich - Google Patents

Verfahren zum in situ schutz einer aluminiumschicht und optische anordnung für den vuv-wellenlängenbereich Download PDF

Info

Publication number
WO2020115109A1
WO2020115109A1 PCT/EP2019/083621 EP2019083621W WO2020115109A1 WO 2020115109 A1 WO2020115109 A1 WO 2020115109A1 EP 2019083621 W EP2019083621 W EP 2019083621W WO 2020115109 A1 WO2020115109 A1 WO 2020115109A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
layer
interior
aluminum oxide
etching process
Prior art date
Application number
PCT/EP2019/083621
Other languages
English (en)
French (fr)
Inventor
Vitaliy Shklover
Original Assignee
Carl Zeiss Smt Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss Smt Gmbh filed Critical Carl Zeiss Smt Gmbh
Priority to JP2021532158A priority Critical patent/JP7504885B2/ja
Publication of WO2020115109A1 publication Critical patent/WO2020115109A1/de
Priority to US17/340,623 priority patent/US11525946B2/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/14Protective coatings, e.g. hard coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/0006Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00 with means to keep optical surfaces clean, e.g. by preventing or removing dirt, stains, contamination, condensation
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/063Illuminating optical parts
    • G01N2201/0636Reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • the invention relates to a method for in-situ protection of a surface of an aluminum layer of a VUV radiation-reflecting coating of an optical element, which is arranged in an interior of an optical arrangement for the VUV wavelength range, before the growth of an aluminum oxide layer.
  • the invention also relates to an optical arrangement for the VUV wavelength range, in particular an inspection system or a VUV lithography system, comprising: an interior in which at least one optical element is arranged, which has a coating reflecting VUV radiation with an aluminum layer, and at least a gas inlet for supplying a gas to the interior.
  • VUV wavelength range For optical applications in the vacuum ultraviolet wavelength range between approx. 110 nm and approx. 200 nm (VUV wavelength range), transmitting optical elements can only be used to a very limited extent. For the most part, one is in optical arrangements for the VUV wavelength range, for example for microscopy or for semiconductor inspection, eg for mask or wafer inspection, rely on the use of reflective optics.
  • (Highly) reflective coatings for optical elements for the VUV wavelength range often have an aluminum layer, since aluminum has a high reflectivity of about 0.8-0, 9 or above in the VUV wavelength range.
  • aluminum layer is, for example, from the article “Protected and enhanced aluminum mirrors for the VUV” by S. Wilbrandt et al., Applied Optics, Vol. 53, No. 4, February 2014 known, protective layers or protective coatings in the form of metal fluorides, e.g. in the form of MgF2, AIF3 or LiF, to be applied to the aluminum layer.
  • a natural aluminum oxide layer (AI2O3 layer) of 2-3 nm layer thickness forms almost instantaneously upon contact with ambient air (see the article "On the Vacuum-Ultraviolet Reflectance of Evaporated Aluminum before and during Oxidation", R. P.
  • the aluminum oxide layer absorbs radiation in the VUV wavelength range so strongly that the
  • ALD atomic layer deposition
  • EUV applications include self-cleaning metal layers, e.g. made of ruthenium, in which the oxidation can be prevented by adding hydrogen. Since the reaction enthalpy of aluminum with
  • Oxygen is significantly larger than that of ruthenium, a reduction of aluminum oxide to aluminum by hydrogen alone is not possible. A protective layer of ruthenium on an aluminum layer would, however, cause excessive absorption for radiation in the VUV wavelength range.
  • hydrogen it is in principle possible to at least partially remove the aluminum oxide layer, i.e. reducing the aluminum oxide to aluminum, but this is technologically very demanding.
  • the object of the invention is to provide a method for in situ protection of a
  • a method of the type mentioned at the outset comprising: carrying out an atomic layer etching process for layer-by-layer removal of the aluminum oxide layer, the atomic layer etching process comprising a surface modification step and a material detaching step, the interior being pulsed in the surface modification step at least one boron halide, in particular BBr3 or BCb, as
  • a preferably pulsed plasma is generated at least during the material stripping step.
  • the invention it is proposed to remove the aluminum oxide layer on an optical element in situ, that is to say in the installed state in an optical arrangement for the VUV wavelength range, at least partially, in order to increase, ie to increase the thickness, and thus to form a to prevent comparatively thick aluminum oxide layer during operation of the optical arrangement.
  • the layer-by-layer removal of the aluminum oxide layer means that the aluminum oxide layer is removed in layers to a residual thickness which is sufficiently thin that the reflection of the optical element is not impaired too much due to the absorption of the aluminum oxide layer.
  • Atomic layer etching process does not affect the underlying aluminum layer
  • the atomic layer etching process ideally achieves dynamic protection of the aluminum layer, i.e. at a certain thickness of the aluminum oxide layer, a stable equilibrium is established between the growth of the aluminum oxide layer by oxygen in the vicinity of the optical element and the removal by the atomic layer etching process.
  • An atomic layer etching process is a self-limiting process which, like atomic layer deposition (ALD), is based on surface-limited reactions and in which in each cycle of process steps there would normally only be one atom or one small number of (atomic) layers is removed, even if the reactants are present in excess.
  • a self-limiting ALE process like atomic layer deposition (ALD), is based on surface-limited reactions and in which in each cycle of process steps there would normally only be one atom or one small number of (atomic) layers is removed, even if the reactants are present in excess.
  • a first step represents a surface modification step and a chronologically subsequent second step represents an etching or material detachment step.
  • the two or possibly more successive steps are successive in time
  • the reflective optical element more precisely at least the surface of the aluminum oxide layer, is exposed to a surface-modifying reactant, which is also referred to as a chemical precursor and which the surface of the
  • Alumina layer changed typically adsorbed on the surface.
  • the change can be, for example, by chemisorption, i.e. by breaking chemical bonds in the aluminum oxide layer.
  • the excess precursor or surface-modifying reactant is removed from the interior in which the optical element is arranged, as a rule pumped out or washed away.
  • the aluminum oxide layer or its surface is typically exposed to a high-energy species (radicals, ions, photons) which the chemically weakened top (s) of the
  • At least one (gaseous) boron halide preferably BBr3 and / or BCb, is fed into the interior as a surface-modifying reactant.
  • gaseous boron halide preferably BBr3 and / or BCb
  • such an ALE process can make volatile
  • gaseous boron trihalides typically BBr 3 , BCb, but generally not BF 3 ZU, since these are easier to handle than
  • Fluorine compounds The etching of an aluminum oxide layer and an aluminum layer underneath with a gas plasma which contains a trihalide and possibly chlorine is known from semiconductor technology, cf. for example US 4,030,967, which is incorporated herein by reference in its entirety.
  • the material stripping step involves an energy-induced reaction, typically with a material releasing reactant. For the supply of energy for the reaction on the top layer of the
  • Aluminum oxide layer is required, there are various options.
  • the energy can be supplied in the form of heat in order to raise the temperature at the surface.
  • an at least during the material stripping step occurs in the interior, preferably on a surface of the aluminum oxide layer preferably produces pulsed plasma.
  • the plasma can be supplied in the form of heat in order to raise the temperature at the surface.
  • material-releasing reactant is brought into an excited energy state and / or radicals of the material-releasing reactant can be formed in order to promote or enable the material-releasing reaction on the surface.
  • the plasma sources typically used in the semiconductor industry for exciting or for generating a plasma are based on the use of electrical fields, for example via a capacitively coupled plasma, as is e.g. in the article "Plasma generation and plasma sources", H. Conrads and M. Schmidt, Plasma Sources Sei. Technol. 9, 441-454 (2000), cf. also US 201 1/0139748 A1, which describes an ALE process using a high-frequency plasma
  • the plasma is generated as a remote plasma.
  • a remote plasma is a plasma
  • Plasma sources which generate a remote plasma are generally designed to generate propagating waves, cf.
  • the propagating waves are used for plasma excitation in a respective plasma gas.
  • antennas, microwave starters, etc. can be used to generate propagating waves.
  • the remote plasma is selected from the group comprising: inductively coupled (high-frequency) plasma, high-frequency or microwave surface wave plasma, electron cyclotron resonance microwave plasma and helicon wave plasma.
  • An inductively coupled plasma can be generated, for example, with the aid of coils that are excited by means of a high-frequency (HF) generator.
  • Surface waves or a surface wave plasma can be generated with the aid of antennas or so-called launchers, which are excited in the HF or microwave wavelength range.
  • An electron cyclotron resonance microwave plasma or so-called helicon waves can also be generated with the aid of suitable antenna configurations and used for the excitation or generation of a plasma.
  • Plasma generation using plasma sources by generating propagating electromagnetic waves is referred to the article by S. Shinohara cited above, which is referred to in his
  • the surface modification step and / or the material detaching step is / are carried out during a break in operation of the optical arrangement.
  • the entire atomic layer etching process can be carried out during or during the breaks in operation of the optical arrangement. This can be a nuisance
  • VUV radiation present in the operation of the optical arrangement with the reactant (s) of the atomic layer etching process can be avoided.
  • a transport or an object is exchanged which is inspected with the aid of the optical arrangement (for example a mask or a wafer) or which is exposed with the aid of the optical arrangement (for example a wafer).
  • at least the material detaching step is carried out during irradiation of the optical element with VUV radiation.
  • the VUV radiation already present in the optical arrangement itself can be used for light-supported or photochemical atomic layer etching. Examples of creating a
  • At least one material-releasing reactant which preferably contains chlorine, is pulsed into the interior in the material-releasing step.
  • the reactant can be chlorine gas, BBr3 and / or BCb as in the surface modification step, a mixture thereof, etc.
  • no reactant should be used that contains components present in the interior or construction elements (e.g. walls, brackets etc.) contaminated or
  • the gas mixture supplied to the interior in the surface modification step or in the material detaching step can contain, for example, hydrogen or one or more noble gases.
  • the atomic layer etching process is carried out until the aluminum oxide layer reaches a thickness of less than 5 nm or the aluminum oxide layer is kept to a thickness of less than 5 nm by the atomic layer etching process.
  • the atomic layer etching process can be performed during the breaks in operation of the optical device. With the aid of measurements carried out beforehand, the etching rate in the atomic layer etching process can be selected or set such that the aluminum oxide layer is removed virtually completely during the respective break in operation, so that only an extremely thin layer remains
  • the etching rate can also be set or adapted to the growth rate in such a way that a dynamic equilibrium is established in which the thickness of the aluminum oxide layer does not rises above the above value.
  • Aluminum layer depends on the gas composition in the interior and can also be measured in advance to determine the dynamic
  • Aluminum oxide layer-dependent property of the optical element, in particular the reflectivity of the optical element is monitored, and the atomic layer etching process is started or controlled as a function of the at least one monitored property.
  • the atomic layer etching process can be started, for example, when the reflectivity of the optical element falls below a predetermined value, so that it is necessary to reduce the thickness of the aluminum oxide layer in order not to impair the effectiveness of the optical arrangement.
  • the layer thickness can be controlled analogously or similarly to the method described in EP 1 522 895 B1, in which a dynamic protective layer is applied to a mirror in order to protect it from etching with ions. There the reflectivity of the mirror is monitored and the thickness of the
  • Protective layer controlled based on the monitored reflectivity can be carried out at predetermined time intervals without monitoring the optical element. In this case too, however, it must be ensured that only the aluminum oxide layer and not the one located below it
  • Aluminum layer is removed by the atomic layer etching process.
  • Another aspect of the invention relates to an optical arrangement of the type mentioned at the outset, in which the gas inlet for the pulsed supply of at least one boron halide, preferably BBr3 and / or BCb, is formed in the interior, which acts as a surface-modifying reactant
  • Surface modification step of an atomic layer etching process serves for the in situ dynamic protection of the surface of the aluminum layer against the growth of an aluminum oxide layer, the optical arrangement having a plasma source for the preferably pulsed generation of a plasma in the interior, in particular on a surface of the aluminum oxide layer.
  • the gas inlet is a controllable inlet, which typically has a valve for the pulsed supply of the boron halide.
  • the gas inlet or, if appropriate, a plurality of gas inlets can be designed to supply the interior, optionally pulsed, to the surface-modifying reactants, the material-releasing reactants or a purge gas.
  • the gas inlet or the optical arrangement can be used for this purpose
  • control device which suitably controls the supply of the reactants or the purge gas to the atomic layer etching process in the
  • the rate or the mass flow of the respective reactants and / or the pulse rate can optionally be set in order to set the etching rate in the atomic layer etching process or
  • the optical arrangement has a plasma source for the preferably pulsed generation of a plasma in the interior, preferably on a surface of the aluminum oxide layer.
  • the plasma is preferably generated in a pulsed manner during the material detaching step, in which at least one material-releasing reactant, which preferably contains chlorine, is pulsed into the interior.
  • the optical arrangement can be one
  • Have control device for example in the form of a control computer, to control the plasma source and the gas inlet synchronously, so that the material-releasing reactant is brought into a plasma state.
  • the plasma source for generating a remote plasma in particular for generating an inductively coupled plasma, is preferred Surface wave plasma, a microwave plasma or one
  • the gas inlet is designed to supply the interior with a material-releasing reactant, which preferably contains chlorine, at least during a material removal step of the atomic layer etching process.
  • a material-releasing reactant can be chlorine gas, a boron halide, in particular BBrs and / or BC, or another reactant which is suitable and which is modified in the surface modification step (s). Remove layer (s) of the aluminum oxide layer.
  • a light source which is designed for the pulsed irradiation of the optical element with VUV radiation during operation of the optical arrangement at least during the material detachment step of the atomic layer etching process.
  • a photochemical atomic layer etching process is designed for the pulsed irradiation of the optical element with VUV radiation during operation of the optical arrangement at least during the material detachment step of the atomic layer etching process.
  • Reactants is used so that the plasma source described above for generating an energy input can be dispensed with.
  • the atomic layer etching process is carried out during operation of the optical arrangement, generally continuously, so that the thickness of the aluminum oxide layer is permanently within a desired thickness range or below a predetermined thickness or a thickness threshold.
  • Such a continuous cleaning or such a continuous etching by means of an atomic layer etching process is also possible when using a plasma source to generate an energy input.
  • the gas inlet can be controlled to supply the respective reactants to the interior only during the breaks in operation of the optical arrangement.
  • Fig. 1 is a schematic representation of an optical arrangement for the
  • FIG. 2 shows a schematic illustration of an optical arrangement in the form of a wafer inspection system
  • 3a, b are schematic representations of a plasma source and one
  • optical element with a reflective coating which has an aluminum layer and an aluminum oxide layer grown on the aluminum layer
  • 4a-d are schematic representations of four steps of an atomic layer etching process for removing an atomic layer
  • FIG. 1 schematically shows an optical arrangement 1 in the form of a VUV lithography system, in particular for wavelengths in the VUV
  • the essential components of the VUV lithography system 1 have two optical systems in the form of an illumination system 12 and a projection system 14. To carry out an exposure process, the VUV lithography system 1 has a radiation source 10, in which it is
  • it can be an excimer laser that emits VUV radiation 11 at a wavelength in the VUV wavelength range of, for example, 193 nm, 157 nm or 126 nm and can be an integral part of the VUV lithography system 1.
  • the VUV radiation 1 1 emitted by the radiation source 10 is processed with the aid of the illumination system 12 in such a way that a mask 13, also called a reticle, can be illuminated.
  • the lighting system 12 has both transmissive and reflective optical elements. 1 shows a transmissive optical element 120, which bundles the VUV radiation 11, and a reflective optical element 121, which deflects the VUV radiation 1 1, for example.
  • a transmissive optical element 120 which bundles the VUV radiation 11
  • a reflective optical element 121 which deflects the VUV radiation 1 1, for example.
  • Lighting system 12 different transmissive, reflective or other optical elements can be combined with each other in any, even more complex manner.
  • the transmissive optical element 120 and the reflective optical element 121 are arranged in an interior 122a of a housing 122 of the lighting system 12.
  • the mask 13 has a structure on its surface, which on an optical element 15 to be exposed, for example a wafer, in the frame the production of semiconductor components, is transmitted using the projection system 14.
  • the mask 13 is designed as a transmissive optical element.
  • the mask 13 can also be designed as a reflective optical element.
  • Projection system 14 has at least one in the example shown
  • transmissive optical element In the example shown, two transmissive optical elements 140, 141 are represented, which serve, for example, to reduce the structures on the mask 13 to the size desired for the exposure of the wafer 15.
  • the projection system 14 can also reflective optical elements can be provided and any optical elements can be any in a known manner
  • optical arrangements without transmissive optical elements can also be used for VUV lithography.
  • the wafer inspection system 2 has a radiation source 20, the VUV radiation 21 of which is directed onto a wafer 25 by means of an optical system 22.
  • the radiation 21 is reflected by a concave mirror 220 onto the wafer 25.
  • a mask to be examined could be arranged instead of the wafer 25.
  • the radiation reflected, diffracted and / or refracted by the wafer 25 is directed from a further concave mirror 221 also belonging to the optical system 22 to a detector 23 for further evaluation.
  • the optical system 22 of the wafer inspection system 2 has a housing 24, in the interior 24a of which the two reflecting optical elements or mirrors 220, 221 are arranged.
  • the radiation source 20 can be, for example, exactly one radiation source or a combination of several individual radiation sources, an essentially continuous one
  • one or more narrow-band radiation sources 20 can also be used.
  • the wavelength or the wavelength band of the radiation 21 generated by the radiation source 20 is preferably in the range between 100 nm and 200 nm, particularly preferably in the VUV wavelength range between 110 nm and 190 nm.
  • the optical element 4 shown in FIG. 3 is a mirror which has a substrate 5, which in the example shown can be quartz (glass), in particular titanium-doped quartz glass, a ceramic or a glass ceramic.
  • a reflective coating 6 is applied to the substrate 5, which has a continuous
  • the aluminum layer 7 can be applied directly to the substrate 5, as shown in the example shown in FIG. 3.
  • a can be between the aluminum layer 7 and the substrate 5
  • Functional layer can be applied in the form of an adhesion promoter layer.
  • the material of the adhesion promoter layer can be selected from a large number of materials, care being taken to ensure that there is sufficient adhesion to both the substrate 5 and the aluminum layer 7.
  • Other functional layers for example a smoothing layer and / or a polishing layer, can also be provided between the aluminum layer 7 and the substrate 5.
  • a (native) aluminum oxide layer (A Oa layer) 8 is grown on a surface 7a of the aluminum layer 7 facing away from the substrate 5.
  • the thickness D of the aluminum oxide layer 8 should be as small as possible; ideally, the surface 7a of the aluminum layer 7 should be completely free of
  • Be alumina i.e. ideally, the aluminum oxide layer 8 is not present. Because the presence of oxidizing, as a rule
  • the (native) aluminum oxide layer 8 should be removed as completely as possible from the surface 7a of the aluminum layer 7 without changing the surface 7a of the aluminum layer 7, for example because it is removed in whole or in part.
  • a so-called atomic layer etching process is carried out, which makes it possible to remove the aluminum oxide layer 8 in situ, i.e. in the installed state of the respective reflective optical element 121, 220,
  • the etching process is a cyclical, self-limiting process, which is described in more detail below with reference to FIGS. 4a-d
  • FIG. 4a which is also referred to as a surface modification step
  • the aluminum oxide layer 8 more precisely its surface 8a
  • a surface-modifying reactant which is shown in FIG. 4a in the form of open circles.
  • the VUV lithography system 1 has a gas inlet 123 for feeding BCh into the interior 122a of the housing 122.
  • the gas inlet 123 which is shown schematically in FIG. 1, enables a controllable, pulsed supply of gases into the
  • the wafer inspection system 2 shown in FIG. 2 also has a gas inlet 26, via which, analogously to FIG. 1, a boron trihalide, in the example shown in the form of BBrs, can be fed to the interior 24a of the optical system 22.
  • the gas inlet 26 shown in FIG. 2 also has a controllable valve 27 in order to enable a pulsed supply of different gases into the interior 24a.
  • the surface-modifying reactant in the form of the boron halide which is usually not BF3, is formed on the surface 8a
  • Aluminum oxide layer 8 adsorbs, typically only one atomic layer L of the surface-modifying reactant, as can be seen in FIG. 4b.
  • the use of boron halides which contain chlorine or bromine as the reactant has proven to be favorable compared to the use of fluorine, since the latter leads to reaction products which can damage other components in the respective interior 122a, 24a.
  • 4b shows the aluminum oxide layer 8 after the surface modification step and after a subsequent rinsing step in which the excess portion of the surface-modifying reactant, which has not been deposited on the surface 8a, using an inert purge gas, for example in the form of nitrogen, was removed from the interior 122a, 24a.
  • the housing 122, 24 is connected to a pump (not shown) or to a gas outlet.
  • a material-releasing reactant which in the example shown is chlorine gas (Cb)
  • Cb chlorine gas
  • further reactants can also be supplied to the interior 122a, 24a, for example hydrogen or one or more noble gases such as He, Ne, Kr Ar, Xe. The symbolized in Fig. 4c by a circle with an R.
  • material-releasing reactant is also adsorbed and undergoes a chemical reaction with the boron halide adsorbed on the surface 8a and the uppermost layer of the aluminum oxide layer 8 underneath, which leads to a detachment of the uppermost atomic layer L of the aluminum oxide layer 8.
  • 4d shows the aluminum oxide layer 8 after a further rinsing step in which the reaction product formed in the material detaching step and the material-releasing reactant, if any, from the
  • the respective gas inlet 123, 26 each has reactants in the surface modification step, the supply of, for example, chlorine as the material-removing reactant in the material detaching step and the purging gas (s) depicted) gas reservoir.
  • the respective gas reservoir is located with the controllable valve 124, 27 via an indicated one
  • a control device 9 for example in the form of a data processing system or a computer, is used to control a respective valve 124, 27, around the interior 122a, 24a, optionally the surface-modifying reactants, the
  • the energy can be generated using a pulsed plasma 31
  • the plasma 31 is preferably generated synchronized with the supply of the material-releasing reactant into the interior 122a, 24a, the
  • the plasma source 30 is designed to generate a remote plasma, ie the plasma source 30 is designed to generate plasma without electrodes.
  • the plasma source 30 is used to generate an inductively coupled plasma 31 and for this purpose has a radio frequency (HF, RF) generator 32 and a coil 33, between which one Impedance matching device 34 ("matching box") is arranged RF generator 32 can generate frequencies of, for example, approximately 13.56 MHz or 27.12 MHz.
  • the HF generator can also be designed to generate VHF waves with, for example, 40.68 MHz, 60 MHz, 81, 36 MHz or 100 MHz in order to generate the inductively coupled plasma 31 with the aid of the coil 33.
  • FIG. 3b shows a plasma source 30 which is designed to generate a remote plasma in the form of a microwave surface wave pasma 31.
  • the plasma source 30 has a microwave generator 32 and the impedance matching device 34 described above in order to excite a hollow waveguide 35 which surrounds the cylindrical optical element 4 in a ring.
  • a plurality of azimuthally distributed slots 36 are provided on its inner side facing the optical element 4.
  • the waveguide 35 with the slots 36 serves as an antenna for generating the surface wave plasma 31.
  • the plasma source 30 can also be designed in another way in order to generate an inductively coupled plasma, a surface wave plasma, an (electron cyclotron resonance) microwave plasma, a helicon wave plasma etc.
  • an inductively coupled plasma a surface wave plasma
  • an (electron cyclotron resonance) microwave plasma a helicon wave plasma etc.
  • Atomic layer etching process in particular the surface modification step or the material detaching step, to be carried out during the breaks in operation of the respective optical arrangement 1, 2.
  • the mask 13 is transported or exchanged.
  • a transport or an exchange of the wafer 25 take place. If necessary, the
  • Atomic layer etching process or the respective steps of the atomic layer etching process take place in the pulse pauses of the respective pulsed VUV light source 10, 20 in order to avoid interaction of the VUV radiation 11, 21 with the reactants as far as possible.
  • the control device 9 is designed to carry out the atomic layer etching process during a respective break in operation until the
  • Aluminum oxide layer 8 has a thickness D of less than about 5 nm.
  • the control device 9 can carry out a predetermined number of cycles of the atomic layer etching process, which was determined, for example, with the aid of experiments carried out beforehand. If necessary, at least one property of the reflective optical element 4, 121, 220, 221, which is dependent on the thickness D of the aluminum oxide layer 8, can be monitored, for example the reflectivity R, as exemplified in FIG. 2 for the second reflective optical element 221 of the optical system 22 is shown.
  • measurement radiation in the VUV wavelength range is radiated onto the optical element 221 with the aid of a measurement radiation source 222 and the intensity of the measurement radiation reflected at the optical element 221 is detected by means of a detector 223 in order to determine the reflectivity R of the optical To determine or monitor elements 221.
  • a detector 223 it is also possible to monitor other properties of the optical element 4, 121, 220, 221 which are dependent on the thickness D of the aluminum oxide layer 8.
  • the conductivity of the surface 7a of the aluminum layer 7 or of the optical element 4, 121, 220, 221 can be monitored, which also depends on the thickness D of the
  • Aluminum oxide layer 8 depends.
  • the required energy is supplied by the VUV radiation 11, 21, so that the provision of a plasma source 30 can generally be dispensed with.
  • control device 9 can be used to control, possibly regulate, the atomic layer etching process, in which there is a dynamic equilibrium between the removal of the aluminum oxide layer 8 by the atomic layer etching process and the growth of the
  • Aluminum oxide layer 8 through in the interior 112a, 24a or in the
  • Aluminum oxide layer 8 is permanently kept at less than 5 nm during operation of the optical arrangement 1, 2. Since the energy supplied in the material removal step is predetermined by the spectral characteristic and the power of the VUV radiation 11, 21, which is determined by the application or by the respective optical arrangement 1, 2, the control device 9 can be used to set a Desired etching rate, the supply, more precisely the mass or volume flow, which is supplied via the respective gas inlet 123, 26, and / or the pulse rate of the reactants supplied or the purge gas are suitably set.
  • control device 9 can optionally control the atomic layer etching process based on the monitored property of the reflecting optical element described above 4, 121, 220, 221.
  • care must be taken to ensure that the surface 7a of the aluminum layer 7 is not etched in the atomic layer etching process by one

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

Die Erfindung betrifft ein Verfahren zum in situ Schutz einer Oberfläche (7a) einer Aluminiumschicht (7) einer VUV-Strahlung (11, 21) reflektierenden Beschichtung (6) eines optischen Elements (4), das in einem Innenraum einer optischen Anordnung für den VUV-Wellenlängenbereich angeordnet ist, vor dem Anwachsen einer Aluminiumoxidschicht (8), umfassend: Durchführen eines Atomlagen-Ätzprozesses zum lagenweisen Entfernen der Aluminiumoxidschicht (8), wobei der Atomlagen-Ätzprozess einen Oberflächen-Modifikationsschritt sowie einen Material-Ablöseschritt umfasst, wobei in dem Oberflächen-Modifikationsschritt dem Innenraum gepulst mindestens ein Borhalogenid als oberflächenmodifizierender Reaktant zugeführt wird, und wobei in dem Innenraum an einer Oberfläche (8a) der Aluminiumoxidschicht (8) zumindest während des Material-Ablöseschritts ein Plasma (31) erzeugt wird. Der Atomlagen-Ätzprozess wird durchgeführt, bis die Aluminiumoxidschicht (8) eine Dicke (D) von weniger als 5 nm erreicht oder die Aluminiumoxidschicht (8) wird durch den Atomlagen-Ätzprozess auf einer Dicke (D) von weniger als 5 nm gehalten. Die Erfindung betrifft auch eine optische Anordnung für den VUV-Wellenlängenbereich, beispielsweise ein Inspektionssystem oder eine VUV-Lithographieanlage.

Description

Verfahren zum in situ Schutz einer Aluminiumschicht und optische Anordnung für den VUV-Wellenlängenbereich
Bezugnahme auf verwandte Anmeldungen
Diese Anmeldung beansprucht die Priorität der deutschen Patentanmeldung DE 10 2018 221 188.4 vom 07.12.2018, deren gesamter Offenbarungsgehalt durch Bezugnahme zum Inhalt dieser Anmeldung gemacht wird.
Hintergrund der Erfindung
Die Erfindung betrifft ein Verfahren zum in situ Schutz einer Oberfläche einer Aluminiumschicht einer VUV-Strahlung reflektierenden Beschichtung eines optischen Elements, das in einem Innenraum einer optischen Anordnung für den VUV-Wellenlängenbereich angeordnet ist, vor dem Anwachsen einer Aluminiumoxidschicht. Die Erfindung betrifft auch eine optische Anordnung für den VUV-Wellenlängenbereich, insbesondere ein Inspektionssystem oder eine VUV-Lithographieanlage, umfassend: einen Innenraum, in dem mindestens ein optisches Element angeordnet ist, das eine VUV-Strahlung reflektierende Beschichtung mit einer Aluminiumschicht aufweist, sowie mindestens einen Gaseinlass zur Zuführung eines Gases in den Innenraum.
Für optische Anwendungen im vakuumultravioletten Wellenlängenbereich zwischen ca. 110 nm und ca. 200 nm (VUV-Wellenlängenbereich) können transmittierende optische Elemente nur sehr eingeschränkt verwendet werden. Größtenteils ist man daher in optischen Anordnungen für den VUV- Wellenlängenbereich, z.B. für die Mikroskopie oder für die Halbleiter-Inspektion, z.B. zur Masken- oder zur Wafer-Inspektion, auf die Verwendung von reflektierenden Optiken angewiesen.
(Hoch-)reflektierende Beschichtungen für optische Elemente für den VUV- Wellenlängenbereich weisen häufig eine Aluminiumschicht auf, da Aluminium im VUV-Wellenlängenbereich eine hohe Reflektivität von ca. 0,8-0, 9 oder darüber aufweist. Um die Aluminiumschicht vor Oxidation zu schützen, ist es beispielsweise aus dem Artikel„Protected and enhanced aluminum mirrors for the VUV“ von S. Wilbrandt et al., Applied Optics, Vol. 53, No. 4, Februar 2014 bekannt, Schutzschichten bzw. Schutzbeschichtungen in Form von Metall- Flouriden, z.B. in Form von MgF2, AIF3 oder LiF, auf die Aluminiumschicht aufzubringen. Ohne eine solche Schutzschicht bildet sich beim Kontakt mit Umgebungsluft nahezu instantan eine native Aluminiumoxidschicht (AI2O3- Schicht) von 2-3 nm Schichtdicke (vgl. den Artikel„On the Vacuum-Ultraviolet Reflectance of Evaporated Aluminum before and during Oxidation“, R. P.
Madden et al., J. Opt. Soc. Am. 53, 620-625 (1963)). Die Aluminiumoxidschicht absorbiert Strahlung im VUV-Wellenlängenbereich so stark, dass die
Verwendung einer Aluminiumschicht ohne die Verwendung einer Schutzschicht in der Regel nicht attraktiv ist.
Aus dem Artikel„Enabling High Performance Mirrors for Astronomy with ALD“, ECS Trans. 50 (13), 141-148 (2013), F. Greer et al. sowie aus dem Artikel “Atomic Layer Deposition of Lithium Fluoride Optical Coatings for the
Ultraviolet”, Inorganics 6, 46 (2018), J. Hennessy et al., ist es bekannt,
Schichten einer Spiegel-Beschichtung für den VUV-Wellenlängenbereich, beispielsweise eine Aluminiumschicht oder eine Fluorid-Schicht, mit Hilfe der so genannten Atomlagendeposition (Atomic Layer Deposition, ALD) aufzubringen, um die Dichte der aufgebrachten Schichten zu erhöhen.
Bei Beschichtungen mit einer Aluminiumschicht als Basisschicht und
konventionell deponierten Schutzschichten diverser Art wurde jedoch auch bei der Bestrahlung unter Vakuumbedingungen, d.h. bei sehr geringem Sauerstoff- bzw. Wassergehalt in der Umgebung, ein massiver Reflexionsverlust sowie eine Zunahme des Streulichts beobachtet.
Eine bessere Schutzwirkung als mit fluoridischen Schichten wird im
Allgemeinen mit oxydischen Schichten erreicht. Allerdings absorbieren auch die Oxide mit den größten Bandlücken bereits innerhalb des benötigten (VUV- )Wellenlängenbereichs. Eine Lösung, die absorbierende Wirkung von Oxiden durch das Platzieren von oxydischen Lagen in den Minima des elektrischen Feldes zu reduzieren, ist in der DE102018211499.4 beschrieben.
Aus EUV-Anwend ungen sind selbstreinigende Metallschichten, z.B. aus Ruthenium, bekannt, bei denen die Oxidation durch Zugabe von Wasserstoff verhindert werden kann. Da die Reaktionsenthalpie von Aluminium mit
Sauerstoff erheblich größer ist als die von Ruthenium, ist eine Reduktion von Aluminiumoxid zu Aluminium durch Wasserstoff alleine nicht möglich. Eine Schutzschicht aus Ruthenium auf einer Aluminiumschicht würde für Strahlung im VUV-Wellenlängenbereich jedoch eine zu hohe Absorption verursachen. Durch die Verwendung von Wasserstoff ist es zwar grundsätzlich möglich, die Aluminiumoxidschicht zumindest teilweise zu entfernen, d.h. das Aluminiumoxid zu Aluminium zu reduzieren, dies ist aber technologisch sehr anspruchsvoll.
Aus dem Artikel„Enhanced atomic layer etching of native aluminum oxide for ultraviolet optical applications“, J. Hennessy et al, Journal of Vacuum Science & Technology A 35, 041512 (2017) ist es bekannt, eine native AbOs-Schicht durch Atomlagenätzen (Atomic layer etching, ALE) zu entfernen, indem diese abwechselnd T rimethylaluminium (TMA) und wasserfreiem Fluorwasserstoff (HF) ausgesetzt wird. In dem Artikel wird vorgeschlagen, die AhCh-Schicht durch den ALE-Prozess zu entfernen, bevor eine fluoridische Schutzschicht auf der Aluminiumschicht aufgebracht wird. Hierbei wird ausgenutzt, dass für den ALD-Prozess dieselbe Chemie, d.h. die abwechselnde Zuführung von TMA und von wasserfreiem Fluorwasserstoff, eingesetzt werden kann wie bei dem ALE- Prozess. Die dominierende Reaktion (ALE oder ALD) hängt von der Substrat- Temperatur ab und kann verändert werden, um den gewünschten Prozess (ALE oder ALD) ablaufen zu lassen.
Aufgabe der Erfindung
Aufgabe der Erfindung ist es, ein Verfahren zum in situ Schutz einer
Aluminiumschicht sowie eine optische Anordnung für den VUV- Wellenlängenbereich bereitzustellen, bei denen eine Degradation der
Oberfläche der Aluminiumschicht und/oder von Bauteilen der optischen
Anordnung möglichst vermieden werden kann.
Gegenstand der Erfindung
Diese Aufgabe wird gelöst durch ein Verfahren der eingangs genannten Art, umfassend: Durchführen eines Atomlagen-Ätzprozesses zum lagenweisen Entfernen der Aluminiumoxidschicht, wobei der Atomlagen-Ätzprozess einen Oberflächen-Modifikationsschritt und einen Material-Ablöseschritt umfasst, wobei in dem Oberflächen-Modifikationsschritt dem Innenraum gepulst mindestens ein Borhalogenid, insbesondere BBr3 oder BCb, als
oberflächenmodifizierender Reaktant zugeführt wird, und wobei in dem
Innenraum, bevorzugt an einer Oberfläche der Aluminiumoxidschicht, zumindest während des Material-Ablöseschritts ein bevorzugt gepulstes Plasma erzeugt wird.
Erfindungsgemäß wird vorgeschlagen, die Aluminiumoxidschicht an einem optischen Element in situ, d.h. im Einbauzustand in einer optischen Anordnung für den VUV-Wellenlängenbereich zumindest teilweise zu entfernen, um das Anwachsen, d.h. die Vergrößerung der Dicke, und somit die Bildung einer vergleichsweise dicken Aluminiumoxidschicht während des Betriebs der optischen Anordnung zu verhindern.
Unter dem lagenweisen Entfernen der Aluminiumoxidschicht wird verstanden, dass die Aluminiumoxidschicht lagenweise bis zu einer Restdicke abgetragen wird, die ausreichend dünn ist, dass die Reflexion des optischen Elements aufgrund der Absorption der Aluminiumoxidschicht nicht zu sehr beeinträchtigt wird. Idealerweise verbleibt eine möglichst dünne, ggf. nur eine oder mehrere Atomlagen dicke Aluminiumoxidschicht auf der Oberfläche der
Aluminiumschicht. Auf diese Weise ist sichergestellt, dass durch den
Atomlagen-Ätzprozess die darunter liegende Aluminiumschicht nicht
abgetragen wird, da dies die optischen Eigenschaften des optischen Elements beeinträchtigen würde. Durch den Atomlagen-Ätzprozess wird idealerweise ein dynamischer Schutz der Aluminiumschicht erreicht, d.h. es stellt sich bei einer bestimmten Dicke der Aluminiumoxidschicht ein stabiles Gleichgewicht zwischen dem Aufwachsen der Aluminiumoxidschicht durch in der Umgebung des optischen Elements befindlichen Sauerstoff und dem Abtragen durch den Atomlagen-Ätzprozess ein. Bei einem Atomlagen-Ätzprozess handelt es sich um einen seibstlimitierenden Prozess, der analog zur Atomlagen-Abscheidung (atomic layer deposition, ALD) auf oberflächen-limitierten Reaktionen basiert und bei dem in einem jeweiligen Zyklus von Prozessschritten in der Regel nur eine Atom läge oder eine geringe Anzahl von (Atom-)Lagen abgetragen wird, auch wenn die Reaktanten im Übermaß vorhanden sind. Um einen selbstlimitierenden ALE-Prozess
sicherzustellen, ist es typischerweise erforderlich, die Parameter des ALE- Prozesses in einem vorgegebenen Prozessfenster zu halten, vgl.
beispielsweise den Artikel„Challenges of Tailoring Surface Chemistry and Plasma/Surface Interactions to Advance Atomic Layer Etching“, S.U.
Engelmann et al, ECS J. Solid State Sei. Technol. 4(6), N5054-N5060 (2015). Beim einem Atomlagen-Ätzprozess wird typischerweise eine alternierende Sequenz bzw. ein Zyklus von zwei Prozessschritten (L/B/L/B/. , .), von drei Prozessschritten (A/B/C/A/B/C/...) oder von mehr Prozessschritten
durchgeführt, wobei im Falle von zwei Prozessschritten ein erster Schritt einen Oberflächen-Modifikationsschritt und ein zeitlich nachfolgender zweiter Schritt einen Ätz- bzw. Material-Ablösungsschritt darstellt. Die zwei oder ggf. mehr aufeinanderfolgenden Schritte werden zeitlich aufeinander folgend
durchgeführt, wobei zwischen den oben angegebenen Schritten typischerweise zusätzliche Spülschritte durchgeführt werden, um überschüssige Reaktanten bzw. bei den jeweiligen Reaktionen auftretende Reaktionsprodukte aus dem Innenraum zu entfernen.
In dem Oberflächen-Modifikationsschritt wird das reflektierende optische Element, genauer gesagt zumindest die Oberfläche der Aluminiumoxidschicht, einem oberflächenmodifizierenden Reaktanten ausgesetzt, der auch als chemischer Precursor bezeichnet wird und der die Oberfläche der
Aluminiumoxidschicht verändert, typischerweise an der Oberfläche adsorbiert wird. Die Veränderung kann beispielsweise durch Chemisorption, d.h. durch das Auflösen von chemischen Bindungen in der Aluminiumoxidschicht erfolgen.
Nach dem ersten Schritt, d.h. dem Oberflächen-Modifikationsschritt, wird der überschüssige Precursor bzw. oberflächenmodifizierende Reaktant aus dem Innenraum entfernt, in dem das optische Element angeordnet ist, und zwar in der Regel abgepumpt bzw. weggespült. In dem zweiten, materialablösenden Schritt wird die Aluminiumoxidschicht bzw. deren Oberfläche typischerweise einer hochenergetischen Spezies (Radikale, Ionen, Photonen) ausgesetzt, welche die chemisch geschwächte(n) oberste(n) Lage(n) der
Aluminiumoxidschicht ablöst. Auf diese Weise werden gelangen die abgelösten Reaktions- bzw. Abfall-Produkte in den Innenraum. Diese Abfall-Produkte werden nach dem Ende des zweiten Schritts ebenfalls aus dem Innenraum entfernt, typischerweise indem diese abgesaugt werden bzw, indem der Innenraum gespült wird.
Bei dem hier beschriebenen Atomlagen-Ätzprozess wird mindestens ein (gasförmiges) Borhalogenid, bevorzugt BBr3 und/oder BCb, dem Innenraum als oberflächenmodifizierender Reaktant zugeführt. Die Erfinder haben erkannt, dass die weiter oben beschriebene Entfernung der Aluminiumoxidschicht unter Verwendung von HF bzw. eines fluorhaltigen Plasmas sowohl mit
Arbeitsschutz- als auch mit technologischen Risiken verbunden ist.
Insbesondere können sich bei einem solchen ALE-Prozess flüchtige
fiuoridische Reaktionsprodukte bilden, die sich auf in dem Innenraum
befindlichen Bauelementen, beispielsweise auf weiteren optischen Elementen, ablagern bzw. diese angreifen, was zu deren Degradation führen kann. An Stelle der Verwendung von HF für den ALE-Prozess wird vorgeschlagen, gasförmige Bortrihalogenide, typischerweise BBr3, BCb, aber in der Regel nicht BF3 ZU verwenden, da diese einfacher zu handhaben sind als
Fluorverbindungen. Das Ätzen einer Aluminiumoxidschicht sowie einer darunter liegenden Aluminiumschicht mit einem Gasplasma, das ein Trihalogenid sowie ggf. Chlor enthält, ist aus der Halbleitertechnologie bekannt, vgl. beispielsweise die US 4,030,967, welche durch Bezugnahme in ihrer Gesamtheit zum Inhalt dieser Anmeldung gemacht wird.
Bei dem Material-Ablöseschritt erfolgt eine energieinduzierte Reaktion, typischerweise mit einem materialablösenden Reaktanten. Für die Zuführung der Energie, die für die Reaktion an der obersten Lage der
Aluminiumoxidschicht benötigt wird, bestehen verschiedene Möglichkeiten. Beispielweise kann die Energie in Form von Wärme zugeführt werden, um die Temperatur an der Oberfläche zu erhöhen. Bei dem Verfahren wird in dem Innenraum, bevorzugt an einer Oberfläche der Aluminiumoxidschicht, zumindest während des Material-Ablöseschritts ein bevorzugt gepulstes Plasma erzeugt. Durch das Plasma kann der
materialablösende Reaktant in einen angeregten Energiezustand versetzt und/oder es können Radikale des materialablösenden Reaktanten gebildet werden, um die materialablösende Reaktion an der Oberfläche zu fördern bzw. zu ermöglichen.
Für die Erzeugung des Plasmas bestehen grundsätzlich mehrere
Möglichkeiten. Die in der Halbleiterindustrie typischerweise verwendeten Plasma-Quellen zum Anregen bzw. zum Erzeugen eines Plasmas beruhen auf der Verwendung elektrischer Felder, beispielsweise über ein kapazitiv gekoppeltes Plasma, wie dies z.B. in dem Artikel„Plasma generation and plasma sources“, H. Conrads und M. Schmidt, Plasma Sources Sei. Technol. 9, 441 -454 (2000), beschrieben ist, vgl. auch die US 201 1/0139748 A1 , welche einen ALE-Prozess unter Verwendung eines Hochfrequenz-Plasmas
beschreibt, das zwischen zwei Elektroden erzeugt wird. Die Erzeugung von Plasmen mit Hilfe von elektrischen Feldern ist für Plansubstrate (Wafer) optimiert. In einer optischen Anordnung dürfen jedoch keine Elektroden im Weg stehen, insbesondere nicht in den Strahlengang der optischen Anordnung hineinragen.
Bei einer Weiterbildung wird das Plasma als Remote-Plasma erzeugt. Unter einem Remote-Plasma wird im Sinne dieser Anmeldung ein Plasma
verstanden, welches ohne die Verwendung von Elektroden (elektrodenlos) erzeugt wird. Plasma-Quellen, welche ein Remote-Plasma erzeugen, sind in der Regel zur Erzeugung von propagierenden Wellen ausgebildet, vgl.
beispielsweise den Artikel„Propagating Wave Characteristics for Plasma Production in Plasma Processing Field“, S. Shinohara, Japanese Journal of Applied Physics, 36, 4695-4703 (1997). Die propagierenden Wellen dienen zur Plasma-Anregung in einem jeweiligen Plasma-Gas. Für die Erzeugung von propagierenden Wellen können beispielsweise Antennen, Mikrowellen-Starter etc. verwendet werden. Bei einer Weiterbildung ist das Remote-Plasma ausgewählt aus der Gruppe umfassend: induktiv gekoppeltes (Hochfrequenz-)Plasma, Hochfrequenz- oder Mikrowellen-Oberflächenwellenplasma, Elektronen-Zyklotron-Resonanz- Mikrowellenplasma und Heliconwellen-Plasma. Ein induktiv gekoppeltes Plasma kann beispielsweise mit Hilfe von Spulen erzeugt werden, die mittels einer Hochfrequenz(HF)-Generators angeregt werden. Oberflächenwellen bzw. eines Oberflächenwellenplasma kann mit Hilfe von Antennen bzw. von so genannten Launchern erzeugt werden, die im HF- oder Mikrowellen- Wellenlängenbereich angeregt werden. Auch ein Elektronen-Zyklotron- Resonanz-Mikrowellenplasma oder so genannte Helicon-Wellen können mit Hilfe von geeigneten Antennen-Konfigurationen erzeugt und für die Anregung bzw. Erzeugung eines Plasmas verwendet werden. Für Details der
Plasmaerzeugung mit Hilfe von Plasma-Quellen durch die Erzeugung von propagierenden elektromagnetischen Wellen sei auf den weiter oben zitierten Artikel von S. Shinohara verwiesen, der durch Bezugnahme in seiner
Gesamtheit zum Inhalt dieser Anmeldung gemacht wird. Weitere Beispiele für die Erzeugung von Plasmen mit Hilfe von Plasma-Quellen sind in dem Artikel „Plasma and Ion Sources in Large Area Coatings: A Review“, Andre Anders, Surface and Coatings Technology (2005), Volume 200, Seiten 1893-1906 beschrieben, der ebenfalls durch Bezugnahme in seiner Gesamtheit zum Inhalt dieser Anmeldung gemacht wird.
Bei einer weiteren Variante wird/werden der Oberflächen-Modifikationsschritt und/oder der Material-Ablöseschritt in einer Betriebspause der optischen Anordnung durchgeführt. Insbesondere kann der gesamte Atomlagen- Ätzprozess während einer bzw. während der Betriebspausen der optischen Anordnung durchgeführt werden. Auf diese Weise kann eine störende
Wechselwirkung der im Betrieb der optischen Anordnung vorhandenen VUV- Strahlung mit dem bzw. den Reaktanten des Atomlagen-Ätzprozesses vermieden werden. In der Betriebspause kann beispielsweise ein Transport bzw. ein Austausch eines Objekts erfolgen, welches mit Hilfe der optischen Anordnung inspiziert wird (z.B. eine Maske oder ein Wafer) oder welches mit Hilfe der optischen Anordnung belichtet wird (z.B. ein Wafer). Bei einer alternativen Variante wird zumindest der Material-Ablöseschritt während einer Bestrahlung des optischen Elements mit VUV-Strahlung durchgeführt. In diesem Fall kann die in der optischen Anordnung ohnehin vorhandene VUV-Strahlung selbst für das lichtgestützte bzw. photochemische Atomlagen-Ätzen verwendet werden. Beispiele für die Erzeugung eines
Energieeintrags in die Oberfläche zur Durchführung des Material-Ablöseschritts, der auf der Zuführung von Strahlung bzw. von Licht beruht, sind in dem Artikel „Photochemical atomic layer deposition and etching“ von P.R. Chalker, Surface and Coatings Technology 291 , 258-263 (2016) beschrieben, vgl. auch den Artikel„Microstructuring of Si(100) by light induced dry etching in the VUV“ von U. Streller et al., Superlattices and Microstructures 23 (2) 445-451 (1998). Die Leistung und die spektrale Charakteristik der Strahlung, die zur Erzeugung des Energieeintrags verwendet wird, sind in diesem Fall durch die Anwendung vorgegeben. Die Ätzrate wird daher durch die Häufigkeit des bzw. der gepulst zugeführten Reaktanten bestimmt.
Bei einer Weiterbildung wird dem Innenraum in dem Material-Ablöseschritt mindestens ein materialablösender Reaktant gepulst zugeführt, der bevorzugt Chlor enthält. Bei dem Reaktanten kann es sich um Chlorgas handeln, um BBr3 und/oder um BCb wie bei dem Oberflächen-Modifikationsschritt, um eine Mischung derselben, etc. Auch in dem Material-Ablöseschritt sollte jedoch kein Reaktant verwendet werden, welcher in dem Innenraum vorhandene Bauteile bzw. Konstruktionselemente (z.B. Wände, Halter etc.) kontaminiert bzw.
metallische Bauteile in dem Innenraum angreift, wie dies bei fluoridischen Reaktanten, insbesondere bei HF, der Fall sein kann. Die chemische
Zusammensetzung der Gasmischung, welche einen jeweiligen
oberflächenmodifizierenden oder materialablösenden Reaktanten enthält, sollte zudem die Bildung von nicht-volatilen Reaktionsprodukten unterbinden oder zumindest stark einschränken. Fluoridische Reaktionsprodukte sind häufig nicht volatil. Dies gilt insbesondere für AIF3, weshalb die Verwendung von BF3 als oberflächenmodifizierender Reaktant ungünstig ist. Zusätzlich zu dem
materialablösenden oder oberflächenmodifizierenden Reaktanten kann die dem Innenraum in dem Oberflächen-Modifi kationsschritt bzw. in dem Material- Ablöseschritt zugeführte Gasmischung beispielsweise Wasserstoff oder eines oder mehrere Edelgase enthalten. Bei einer weiteren Variante wird der Atomlagen-Ätzprozess durchgeführt, bis die Aluminiumoxidschicht eine Dicke von weniger als 5 nm erreicht oder die Aluminiumoxidschicht wird durch den Atomlagen-Ätzprozess auf einer Dicke von weniger als 5 nm gehalten. Wie weiter oben beschrieben wurde, kann der Atomlagen-Ätzprozess während der Betriebspausen der optischen Anordnung durchgeführt werden. Mit Hilfe von vorab durchgeführten Messungen kann die Ätzrate bei dem Atomlagen-Ätzprozess so gewählt bzw. eingestellt werden, dass die Aluminiumoxidschicht in der jeweiligen Betriebspause praktisch vollständig abgetragen wird, so dass nur noch eine extrem dünne
Aluminiumoxidschicht auf der Aluminiumschicht verbleibt. Für den Fall, dass der Atomlagen-Ätzprozess zumindest teilweise während des Betriebs der optischen Anordnung durchgeführt wird, kann die Ätzrate ebenfalls so eingestellt bzw. auf die Rate des Aufwachsens angepasst werden, dass sich ein dynamisches Gleichgewicht einstellt, bei dem die Dicke der Aluminiumoxidschicht nicht über den oben genannten Wert ansteigt. Die Rate des Aufwachsens der
Aluminiumschicht hängt von der Gaszusammensetzung in dem Innenraum ab und kann ebenfalls vorab gemessen werden, um das dynamische
Gleichgewicht zwischen der Aufwachsrate und der Ätzrate der
Aluminiumoxidschicht geeignet einzustelien. Bei einer weiteren Variante wird mindestens eine von der Dicke der
Aluminiumoxidschicht abhängige Eigenschaft des optischen Elements, insbesondere die Reflektivität des optischen Elements, überwacht, und der Atomlagen-Ätzprozess wird in Abhängigkeit von der mindestens einen überwachten Eigenschaft gestartet oder gesteuert. Der Atomlagen-Ätzprozess kann beispielsweise gestartet werden, wenn die Reflektivität des optischen Elements einen vorgegebenen Wert unterschreitet, so dass es erforderlich wird, die Dicke der Aluminiumoxidschicht zu reduzieren, um die Effektivität der optischen Anordnung nicht zu verschlechtern. Die Steuerung der Schichtdicke kann analog bzw. ähnlich zu dem in der EP 1 522 895 B1 beschriebenen Verfahren erfolgen, bei dem eine dynamische Schutzschicht auf einen Spiegel aufgebracht wird, um diesen vor dem Ätzen mit Ionen zu schützen. Dort wird das Reflexionsvermögen des Spiegels überwacht und die Dicke der
Schutzschicht auf Basis des überwachten Reflexionsvermögens gesteuert. Abhängig von der Art der Anwendung kann der Atomlagen-Ätzprozess ohne eine Überwachung des optischen Elements in vorgegebenen Zeitabständen durchgeführt werden. Auch in diesem Fall muss allerdings sichergestellt sein, dass nur die Aluminiumoxidschicht und nicht die unter dieser befindliche
Aluminiumschicht durch den Atomlagen-Ätzprozess abgetragen wird.
Ein weiterer Aspekt der Erfindung betrifft eine optische Anordnung der eingangs genannten Art, bei welcher der Gaseinlass zur gepulsten Zuführung mindestens eines Borhalogenids, bevorzugt BBr3 und/oder BCb, in den Innenraum ausgebildet ist, das als oberflächenmodifizierender Reaktant eines
Oberflächen-Modifikationsschritts eines Atomlagen-Ätzprozesses zum in situ dynamischen Schutz der Oberfläche der Aluminiumschicht vor dem Anwachsen einer Aluminiumoxidschicht dient, wobei die optische Anordnung eine Plasma- Quelle zum bevorzugt gepulsten Erzeugen eines Plasmas in dem Innenraum, insbesondere an einer Oberfläche der Aluminiumoxidschicht, aufweist. Für die Zuführung des Borhalogenids in den Innenraum weist der Gaseinlass
typischerweise ein Gas-Reservoir auf, das zur Lagerung des Borhalogenids dient. Wie weiter oben beschrieben wurde, kann bei der Verwendung eines Borhalogenids für die Durchführung des Oberflächen-Modifikationsschritts eine Beschädigung von Bauelementen in dem innenraum vermieden werden, wie sie bei der Verwendung eines fluoridischen Reaktanten auftreten würde. Die Durchführung eines Atomlagen-Ätzprozesses ermöglicht einen homogenen, lagenweisen Abtrag der Aluminiumoxidschicht. Bei dem Gaseinlass handelt es sich um einen steuerbaren Einlass, der typischerweise ein Ventil zur gepulsten Zuführung des Borhalogenids aufweist.
Der Gaseinlass oder ggf. mehrere Gaseinlässe können ausgebildet sein, dem Innenraum wahlweise gepulst den oberflächenmodifizierenden Reaktanten, den materialablösenden Reaktanten oder ein Spülgas zuzuführen. Der Gaseinlass bzw. die optische Anordnung kann zu diesem Zweck eine
Steuerungseinrichtung aufweisen, welche die Zuführung der Reaktanten bzw. des Spülgases geeignet steuert, um den Atomlagen-Ätzprozess in dem
Innenraum ablaufen zu lassen. Insbesondere kann ggf. die Rate bzw. der Massenstrom des jeweiligen Reaktanten und/oder die Pulsrate eingestellt werden, um die Ätzrate bei dem Atomlagen-Ätzprozess einzustellen bzw.
erforderlichenfalls anzupassen.
Bei einer Ausführungsform weist die optische Anordnung eine Plasma-Quelle zum bevorzugt gepulsten Erzeugen eines Plasmas in dem Innenraum, bevorzugt an einer Oberfläche der Aluminiumoxidschicht, auf. Wie weiter oben in Zusammenhang mit dem Verfahren beschrieben wurde, wird das Plasma bevorzugt während des Material-Ablöseschritts gepulst erzeugt, bei welchem dem Innenraum mindestens ein materialablösender Reaktant gepulst zugeführt wird, der bevorzugt Chlor enthält. Die optische Anordnung kann eine
Steuerungseinrichtung beispielsweise in Form eines Steuerungscomputers aufweisen, um die Plasma-Quelle und den Gaseinlass synchron ansteuem, so dass der materialablösende Reaktant in einen Plasmazustand versetzt wird. Bevorzugt ist die Plasma-Quelle zur Erzeugung eines Remote-Plasmas, insbesondere zur Erzeugung eines induktiv gekoppelten Plasmas, eines Oberflächenwellenplasmas, eines Mikrowellenplasmas oder eines
Heliconwellen-Plasmas, ausgebildet. Wie weiter oben beschrieben wurde, hat sich die elektrodenlose Erzeugung eines (Remote-)Plasmas für die vorliegende Anwendung als günstig erwiesen.
Bei einer Weiterbildung ist der Gaseinlass ausgebildet, dem Innenraum zumindest während eines Material-Ablöseschritts des Atomlagen-Ätzprozesses einen materialablösenden Reaktanten zuzuführen, der bevorzugt Chlor enthält. Wie weiter oben beschrieben wurde, kann es sich bei dem materialablösenden Reaktanten um Chlorgas, um ein Borhalogenid, insbesondere um BBrs und/oder um BC , oder um einen anderen Reaktanten handeln, welcher geeignet ist, die in dem Oberflächen-Modifikationsschritt modifizierte(n) Lage(n) der Aluminiumoxidschicht abzutragen. Bei einer Weiterbildung dieser Ausführungsform umfasst die optische
Anordnung eine Lichtquelle, die zur gepulsten Bestrahlung des optischen Elements mit VUV-Strahlung im Betrieb der optischen Anordnung zumindest während des Material-Ablöseschritts des Atomlagen-Ätzprozesses ausgebildet ist. In diesem Fall kann ein photochemischer Atomlagen-Ätzprozess
durchgeführt werden, bei dem Licht zur Anregung des materialablösenden
Reaktanten dient, so dass auf die weiter oben beschriebene Plasma-Quelle zur Erzeugung eines Energieeintrags verzichtet werden kann. Bei der hier beschriebenen Ausführungsform wird der Atomlagen-Ätzprozess während des Betriebs der optischen Anordnung durchgeführt, und zwar in der Regel kontinuierlich, so dass die Dicke der Aluminiumoxidschicht dauerhaft innerhalb eines gewünschten Dickenbereichs bzw. unterhalb einer vorgegebenen Dicke bzw. einem Dickenschwellwert liegt. Eine solche kontinuierliche Reinigung bzw. ein solches kontinuierliches Ätzen mittels eines Atomlagen-Ätzprozesses ist aber auch bei der Verwendung einer Plasma-Quelle zur Erzeugung eines Energieeintrags möglich. Alternativ kann der Gaseinlass angesteuert werden, die jeweiligen Reaktanten dem Innenraum nur während der Betriebspausen der optischen Anordnung zuzuführen.
Weitere Merkmale und Vorteile der Erfindung ergeben sich aus der
nachfolgenden Beschreibung von Ausführungsbeispielen der Erfindung, anhand der Figuren der Zeichnung, die erfindungswesentliche Einzelheiten zeigen, und aus den Ansprüchen. Die einzelnen Merkmale können je einzeln für sich oder zu mehreren in beliebiger Kombination bei einer Variante der Erfindung verwirklicht sein.
Zeichnung
Ausführungsbeispiele sind in der schematischen Zeichnung dargestellt und werden in der nachfolgenden Beschreibung erläutert. Es zeigt
Fig. 1 eine schematische Darstellung einer optischen Anordnung für den
VUV-Wellenlängenbereich in Form einer VUV-Lithographieanlage,
Fig. 2 eine schematische Darstellung einer optischen Anordnung in Form eines Wafer-Inspektionssystems,
Fig. 3a, b schematische Darstellungen einer Plasma-Quelle und eines
optischen Elements mit einer reflektierenden Beschichtung, die eine Aluminiumschicht und eine auf die Aluminiumschicht aufgewachsene Aluminiumoxidschicht aufweist, sowie
Fig. 4a-d schematische Darstellungen von vier Schritten eines Atomlagen- Ätzprozesses zum Entfernen einer Atomlage der
Aluminiumoxidschicht. In der folgenden Beschreibung der Zeichnungen werden für gleiche bzw, funktionsgleiche Bauteile identische Bezugszeichen verwendet.
In Fig. 1 ist schematisch eine optische Anordnung 1 in Form einer VUV- Lithographieanlage, insbesondere für Wellenlängen im VUV-
Wellenlängenbereich zwischen ca. 110 nm und ca. 200 nm dargestellt. Die VUV-Lithographieanlage 1 weist als wesentliche Bestandteile zwei optische Systeme in Form eines Beleuchtungssystems 12 und eines Projektionssystems 14 auf. Für die Durchführung eines Belichtungsprozesses weist die VUV- Lithographieanlage 1 eine Strahlungsquelle 10 auf, bei der es sich
beispielsweise um einen Excimer-Laser handeln kann, der VUV-Strahlung 11 bei einer Wellenlänge im VUV-Wellenlängenbereich von beispielsweise 193 nm, 157 nm oder 126 nm emittiert und der integraler Bestandteil der VUV- Lithographieanlage 1 sein kann.
Die von der Strahlungsquelle 10 emittierte VUV-Strahlung 1 1 wird mit Hilfe des Beleuchtungssystems 12 so aufbereitet, dass damit eine Maske 13, auch Retikel genannt, ausgeleuchtet werden kann. Bei dem in Fig. 1 dargestellten Beispiel weist das Beleuchtungssystem 12 sowohl transmissive als auch reflektive optische Elemente auf. Stellvertretend sind in Fig. 1 ein transmissives optisches Element 120, welches die VUV-Strahlung 11 bündelt, sowie ein reflektives optisches Element 121 dargestellt, welches die VUV-Strahlung 1 1 beispielsweise umlenkt. In bekannter Weise können in dem
Beleuchtungssystem 12 verschiedenste transmissive, reflektive oder sonstige optische Elemente in beliebiger, auch komplexerer Weise miteinander kombiniert werden. Das transmissive optische Element 120 sowie das reflektierende optische Element 121 sind in einem Innenraum 122a eines Gehäuses 122 des Beleuchtungssystems 12 angeordnet. Die Maske 13 weist auf ihrer Oberfläche eine Struktur auf, die auf ein zu belichtendes optisches Element 15, beispielsweise einen Wafer, im Rahmen der Produktion von Halbleiterbauelementen, mithilfe des Projektionssystems 14 übertragen wird. Im gezeigten Beispiel ist die Maske 13 als transmissives optisches Element ausgebildet. In alternativen Ausführungen kann die Maske 13 auch als reflektierendes optisches Element ausgebildet sein. Das
Projektionssystem 14 weist im dargestellten Beispiel mindestens ein
transmissives optisches Element auf. Im gezeigten Beispiel weist sind stellvertretend zwei transmissive optische Elemente 140, 141 dargestellt, die beispielsweise dazu dienen, die Strukturen auf der Maske 13 auf die für die Belichtung des Wafers 15 gewünschte Größe zu verkleinern. Auch bei dem Projektionssystem 14 können u.a. reflektive optische Elemente vorgesehen sein und beliebige optische Elemente können in bekannter Weise beliebig
miteinander kombiniert werden. Es sei auch darauf hingewiesen, dass auch optische Anordnungen ohne transmissive optische Elemente für die VUV- Lithographie eingesetzt werden können.
In Fig. 2 ist schematisch eine beispielhafte Ausführung einer optischen
Anordnung in Form eines Wafer-Inspektionssystems 2 dargestellt. Die nachstehenden Erläuterungen gelten analog auch für Inspektionssysteme zur Inspektion von Masken.
Das Wafer-Inspektionssystem 2 weist eine Strahlungsquelle 20 auf, deren VUV- Strahlung 21 mittels eines optischen Systems 22 auf einen Wafer 25 gelenkt wird. Zu diesem Zweck wird die Strahlung 21 von einem konkaven Spiegel 220 auf den Wafer 25 reflektiert. Bei einem Masken-Inspektionssystem 2 könnte man anstelle des Wafers 25 eine zu untersuchende Maske anordnen. Die vom Wafer 25 reflektierte, gebeugte und/oder gebrochene Strahlung wird von einem ebenfalls zu dem optischen System 22 gehörigen weiteren konkaven Spiegel 221 auf einen Detektor 23 zur weiteren Auswertung geleitet. Das optische System 22 des Wafer-Inspektionssystems 2 weist ein Gehäuse 24 auf, in dessen Innenraum 24a die beiden reflektierenden optischen Elemente bzw. Spiegel 220, 221 angeordnet sind. Bei der Strahlungsquelle 20 kann es sich beispielsweise um genau eine Strahlungsquelle oder um eine Zusammenstellung von mehreren einzelnen Strahlungsquellen handeln, um ein im Wesentlichen kontinuierliches
Strahlungsspektrum zur Verfügung zu stellen. In Abwandlungen kann auch eine oder es können mehrere schmalbandige Strahlungsquellen 20 eingesetzt werden. Bevorzugt liegt die Wellenlänge bzw. das Wellenlängenband der von der Strahlungsquelle 20 erzeugten Strahlung 21 im Bereich zwischen 100 nm und 200 nm, besonders bevorzugt im VUV-Wellenlängenbereich zwischen 110 nm und 190 nm.
Fig. 3 zeigt ein optisches Element 4, welches zur Reflexion von Strahlung 11 ,
21 im VUV-Wellenlängenbereich ausgebiidet ist und welches beispielsweise eines der reflektierenden optischen Elemente 121 , 220, 221 von Fig. 1 oder von Fig. 2 bilden kann. Bei dem in Fig. 3 gezeigten optischen Element 4 handelt es sich um einen Spiegel, der ein Substrat 5 aufweist, bei dem es sich im gezeigten Beispiel um Quarz(-glas), insbesondere um titandotiertes Quarzglas, eine Keramik oder eine Glaskeramik handeln kann. Auf das Substrat 5 ist eine reflektierende Beschichtung 6 aufgebracht, die eine durchgehende
Aluminiumschicht 7 aufweist, die als Metallverspiegelung dient.
Die Aluminiumschicht 7 kann unmittelbar auf das Substrat 5 aufgebracht werden, wie dies bei dem in Fig. 3 gezeigten Beispiel dargestellt ist. Alternativ kann zwischen der Aluminiumschicht 7 und dem Substrat 5 eine
Funktionalschicht in Form einer Haftvermittlerschicht aufgebracht sein. Das Material der Haftvermittlerschicht kann aus einer Vielzahl von Materialien ausgewählt werden, wobei darauf geachtet werden sollte, dass sowohl zu dem Substrat 5 als auch zu der Aluminiumschicht 7 eine hinreichende Haftung besteht. Zwischen der Aluminiumschicht 7 und dem Substrat 5 können auch andere funktionale Schichten, beispielsweise eine Glättungsschicht und/oder eine Polierschicht, vorgesehen sein. Bei dem in Fig. 3 gezeigten Beispiel ist an einer dem Substrat 5 abgewandten Oberfläche 7a der Aluminiumschicht 7 eine (native) Aluminiumoxidschicht (A Oa-Schicht) 8 aufgewachsen. Da Aluminiumoxid im Gegensatz zu
Aluminium eine hohe Absorption im VUV-Wellenlängenbereich aufweist, sollte die Dicke D der Aluminiumoxidschicht 8 möglichst gering sein; idealerweise sollte die Oberfläche 7a der Aluminiumschicht 7 vollständig frei von
Aluminiumoxid sein, d.h. im Idealfall ist die Aluminiumoxidschicht 8 nicht vorhanden. Da sich das Vorhandensein von oxidierenden, in der Regel
Sauerstoff enthaltenden Spezies in dem jeweiligen Innenraum 122a, 24a, in dem das optische Element 121 , 220, 221 angeordnet ist, nicht vollständig vermeiden lässt, wächst in einem vergleichsweise kurzen Zeitraum eine vergleichsweise dicke Aluminiumoxidschicht 8 auf die Oberfläche 7a der Aluminiumschicht 7 auf.
Grundsätzlich sollte die (native) Aluminiumoxidschicht 8 möglichst vollständig von der Oberfläche 7a der Aluminiumschicht 7 entfernt werden, ohne dass hierbei die Oberfläche 7a der Aluminiumschicht 7 verändert wird, beispielsweise weil diese ganz oder in Teilbereichen abgetragen wird. Für das Entfernen der Aluminiumoxidschicht 8 wird ein so genannter Atomlagen-Ätzprozess durchgeführt, welcher es ermöglicht, die Aluminiumoxidschicht 8 in situ, d.h. im Einbauzustand des jeweiligen reflektierenden optischen Elements 121 , 220,
221 , lagenweise abzutragen. Idealerweise wird hierbei eine Atomlage nach der anderen abgetragen, d.h. es wird ein isotroper Ätzprozess durchgeführt, der ein uniformes Abtragen der Aluminiumoxidschicht 8 ermöglicht. Der Atomlagen-
Ätzprozess ist analog zur Atomlagen-Abscheidung ein zyklischer, selbstlimitierender Prozess, der nachfolgend anhand von Fig. 4a-d näher
beschrieben wird. In Fig. 4a-d sind mehrere Atomlagen der
Aluminiumoxidschicht 8 dargestellt, die übereinander angeordnet sind, wobei die einzelnen Konstituenten der Aluminiumoxidschicht 8 als ausgefüllte
(schwarze) Kreise dargestellt sind. In einem ersten, in Fig. 4a dargestellten Schritt, der auch als Oberflächen- Modifikationsschritt bezeichnet wird, wird der Aluminiumoxidschicht 8, genauer gesagt deren Oberfläche 8a, ein oberflächenmodifizierender Reaktant zugeführt, der in Fig. 4a in Form von nicht ausgefüllten Kreisen dargestellt ist. Bei der VUV-Lithographieanlage 1 von Fig. 1 wird der
oberflächenmodifizierende Reaktant dem Innenraum 122a des Gehäuses 122 bzw. der Kammer des Beleuchtungssystems 12 in Form eines Bortrihalogenids, genauer gesagt von BCh, gepulst zugeführt. Für die Zuführung von BCh in den Innenraum 122a des Gehäuses 122 weist die VUV-Lithographieanlage 1 einen Gaseinlass 123 auf. Der in Fig. 1 stark schematisch dargestellte Gaseinlass 123 ermöglicht eine steuerbare, gepulste Zuführung von Gasen in den
Innenraum 122a und weist zu diesem Zweck ein steuerbares Ventil 124 auf. Analog weist auch das in Fig. 2 dargestellte Wafer-Inspektionssystem 2 einen Gaseinlass 26 auf, über den analog zu Fig. 1 dem Innenraum 24a des optischen Systems 22 ein Bortrihalogenid, im gezeigten Beispiel in Form von BBrs, zugeführt werden kann. Der in Fig. 2 dargestellte Gaseinlass 26 weist ebenfalls ein steuerbares Ventil 27 auf, um eine gepulste Zuführung von unterschiedlichen Gasen in den Innenraum 24a zu ermöglichen.
Bei dem in Fig. 4a gezeigten Oberflächen-Modifikationsschritt wird der oberflächenmodifizierende Reaktant in Form des Borhalogenids, bei dem es sich in der Regel nicht um BF3 handelt, an der Oberfläche 8a der
Aluminiumoxidschicht 8 adsorbiert, und zwar typischerweise nur eine Atomlage L des oberflächenmodifizierenden Reaktanten, wie dies in Fig. 4b zu erkennen ist. Die Verwendung von Bor-Halogeniden, die Chlor oder Brom als Reaktant enthalten, hat sich gegenüber der Verwendung von Fluor als günstig erwiesen, da letzteres zu Reaktionsprodukten führt, die andere Bauteile in dem jeweiligen Innenraum 122a, 24a schädigen können. Fig. 4b zeigt die Aluminiumoxidschicht 8 nach dem Oberflächen- Mod ifi kationssch ritt und nach einem sich an diesen anschließenden Spülschritt, bei dem der überschüssige Anteil des oberflächenmodifizierenden Reaktanten, der sich nicht an der Oberfläche 8a abgelagert hat, mit Hilfe eines inerten Spülgases, z.B. in Form von Stickstoff, aus dem Innenraum 122a, 24a entfernt wurde. Für das Absaugen des Spülgases ist das Gehäuse 122, 24 mit einer nicht bildlich dargestellten Pumpe bzw. mit einem Gasauslass verbunden.
Nach der Adsorption des Borhalogenids BBr3, BC und dem anschließenden Spülschritt wird in einem Oberflächen-Ablöseschritt dem Innenraum 122a, 24a gepulst ein materialablösender Reaktant zugeführt, bei dem es sich im gezeigten Beispiel um Chlorgas (Cb) handelt. Alternativ oder zusätzlich zu CI2 können dem Innenraum 122a, 24a auch weitere Reaktanten zugeführt werden, beispielsweise Wasserstoff oder eines oder mehrere Edelgase wie He, Ne, Kr Ar, Xe. Der in Fig. 4c durch einen Kreis mit einem R symbolisierte
materialablösende Reaktant wird ebenfalls adsorbiert und geht mit dem an der Oberfläche 8a adsorbierten Borhalogenid und der darunter liegenden obersten Lage der Aluminiumoxidschicht 8 eine chemische Reaktion ein, die zu einer Ablösung der obersten Atomlage L der Aluminiumoxidschicht 8 führt.
Fig. 4d zeigt die Aluminiumoxidschicht 8 nach einem weiteren Spülschritt, in dem das bei dem Material-Ablöseschritt gebildete Reaktionsprodukt sowie der ggf. im Überschuss vorhandene materialablösende Reaktant aus dem
Innenraum 122a, 24a entfernt wurden. Wie in Fig. 4d zu erkennen ist, wurde bei den vier weiter oben beschriebenen Schritten des Atomlagen-Ätzprozesses genau eine Atomlage L der Aluminiumoxidschicht 8 entfernt bzw. abgetragen.
Für die Zuführung des Borhalogenids als oberflächenmodifizierendem
Reaktanten in dem Oberflächen-Modifikationsschritt, die Zuführung von z.B. Chlor als materialabtragendem Reaktanten in dem Material-Ablöseschritt sowie des bzw. der Spülgase weist der jeweilige Gaseinlass 123, 26 jeweils ein (nicht bildlich dargestelltes) Gasreservoir auf. Das jeweilige Gasreservoir steht mit dem steuerbaren Ventil 124, 27 über eine jeweils angedeutete
Zuführungsleitung in Verbindung. Eine Steuerungseinrichtung 9, beispielsweise in Form einer Datenverarbeitungsanlage bzw. eines Computers, dient zur Ansteuerung eines jeweiligen Ventils 124, 27, um dem Innenraum 122a, 24a wahlweise den oberflächenmodifizierenden Reaktanten, den
materialablösenden Reaktanten oder ein Spülgas zuzuführen.
Zum Erzeugen der chemischen Reaktion bei dem in Fig. 4c gezeigten Material- Ablöseschritt ist es typischerweise nicht ausreichend, den materialablösenden Reaktanten in Kontakt mit der Oberfläche 8a zu bringen. Vielmehr ist in der Regel die Überwindung einer Aktivierungsenergie erforderlich, d.h. es handelt sich um eine energieinduzierte Reaktion. Für die Einbringung bzw. die
Zuführung der für die Reaktion erforderlichen Energie bestehen verschiedene Möglichkeiten.
Beispielsweise kann die Energie mit Hilfe eines gepulsten Plasmas 31
zugeführt werden, welches in dem Innenraum 122a, 24a, genauer gesagt an der Oberfläche 8a der Aluminiumoxidschicht 8, mit Hilfe einer Plasma-Quelle 30 erzeugt wird, beispielweise wie dies in Fig. 3a, b dargestellt ist. Die Erzeugung des Plasmas 31 erfolgt bevorzugt synchronisiert mit der Zuführung des materialablösenden Reaktanten in den Innenraum 122a, 24a, wobei die
Synchronisierung mit Hilfe der Steuerungseinrichtung 9 erfolgt. Die Plasma-Quelle 30 ist bei dem in Fig. 3a gezeigten Beispiel zur Erzeugung eines Remote-Plasmas ausgebildet, d.h. die Plasma-Quelle 30 ist zur elektrodenlosen Plasma-Erzeugung ausgebildet. Bei dem in Fig. 3a gezeigten Beispiel dient die Plasma-Quelle 30 zur Erzeugung eines induktiv gekoppelten Plasmas 31 und weist zu diesem Zweck einen Hochfrequenz(HF, englisch RF(radio frequency))-Generator 32 sowie eine Spule 33 auf, zwischen denen eine Impedanz-Anpassungseinrichtung 34 („matching box") angeordnet ist. Der HF-Generator 32 kann beispielsweise Frequenzen von z.B. ca. 13,56 MHz oder 27,12 MHz erzeugen. Der HF-Generator kann auch zur Erzeugung von VHF- Wellen mit z.B. 40,68 MHz, 60 MHz, 81 ,36 MHz oder 100 MHz ausgebildet sein, um mit Hilfe der Spule 33 das induktiv gekoppelte Plasma 31 zu erzeugen.
Fig. 3b zeigt eine Plasma-Quelle 30, die zur Erzeugung eines Remote-Plasmas in Form eines Mikrowellen-Oberflächenwellen-Pasmas 31 ausgebildet ist. Die Plasma-Quelle 30 weist einen Mikrowellen-Generator 32 sowie die weiter oben beschriebene Impedanz-Anpassungseinrichtung 34 auf, um einen das zylinderförmige optische Element 4 ringförmig umgebenden Hohl-Wellenleiter 35 anzuregen. In dem ringförmigen Wellenleiter 35, von dem in Fig. 3b nur ein Abschnitt dargestellt ist, sind an seiner dem optischen Element 4 zugewandten Innenseite eine Mehrzahl von azimutal verteilten Schlitzen 36 angebracht. Der Wellenleiter 35 mit den Schlitzen 36 dient als Antenne zur Erzeugung des Oberflächenwellen-Plasmas 31.
Grundsätzlich kann die Plasma-Quelle 30 auch auf andere Weise ausgebildet sein, um ein induktiv gekoppeltes Plasma, ein Oberflächenwellenplasma, ein (Elektron-Zyklotron-Resonanz-)Mikrowellenplasma, ein Heliconwellen-Plasma etc. zu erzeugen. Für Details bezüglich der Ausgestaltung von derartigen Plasma-Quellen 30 sei auf die eingangs zitierten Artikel von S. Shinohara sowie von Andre Anders verwiesen.
Um zu vermeiden, dass die VUV-Strahlung 11 , 21 mit den jeweiligen
Reaktanten wechselwirkt, ist es günstig, den weiter oben beschriebenen
Atomlagen-Ätzprozess, insbesondere der Oberfiächen-Modifikationsschritt bzw. der Material-Ablöseschritt, in den Betriebspausen der jeweiligen optischen Anordnung 1 , 2 durchzuführen. In einer solchen Betriebspause kann
beispielsweise bei der in Fig. 1 dargestellten VUV-Lithographieanlage 1 ein T ransport bzw. ein Austausch der Maske 13 erfolgen. Bei dem in Fig. 2 dargestellten Wafer-Inspektionssystem 2 kann entsprechend ein Transport bzw. ein Austausch des Wafers 25 erfolgen. Gegebenenfalls kann auch der
Atomlagen-Ätzprozess bzw. die jeweiligen Schritte des Atomlagen- Ätzprozesses in den Pulspausen der jeweiligen gepulst betriebenen VUV- Lichtquelle 10, 20 erfolgen, um eine Wechselwirkung der VUV-Strahlung 11 , 21 mit den Reaktanten möglichst zu vermeiden.
Die Steuerungseinrichtung 9 ist ausgebildet, den Atomlagen-Ätzprozess während einer jeweiligen Betriebspause so lange durchzuführen, bis die
Aluminiumoxidschicht 8 eine Dicke D von weniger als ca. 5 nm erreicht hat. Zu diesem Zweck kann die Steuerungseinrichtung 9 eine vorgegebene Anzahl von Zyklen des Atomlagen-Ätzprozesses durchführen, die beispielsweise mit Hilfe von vorab durchgeführten Experimenten bestimmt wurde. Gegebenenfalls kann mindestens eine von der Dicke D der Aluminiumoxidschicht 8 abhängige Eigenschaft des reflektierenden optischen Elements 4, 121 , 220, 221 überwacht werden, beispielsweise die Reflektivität R, wie dies beispielhaft in Fig. 2 für das zweite reflektierende optische Element 221 des optischen Systems 22 dargestellt ist.
Wie in Fig. 2 zu erkennen ist, wird mit Hilfe einer Messstrahlungsquelle 222 Messstrahlung im VUV-Wellenlängenbereich auf das optische Element 221 eingestrahlt und die Intensität der an dem optischen Element 221 reflektierten Messstrahlung wird mittels eines Detektors 223 detektiert, um die Reflektivität R des optischen Elements 221 zu bestimmen bzw. zu überwachen. Alternativ zu einer optischen Messung ist es auch möglich, andere Eigenschaften des optischen Elements 4, 121 , 220, 221 zu überwachen, die von der Dicke D der Aluminiumoxidschicht 8 abhängig sind. Beispielsweise kann die Leitfähigkeit der Oberfläche 7a der Aluminiumschicht 7 bzw. des optischen Elements 4, 121 , 220, 221 überwacht werden, die ebenfalls von der Dicke D der
Aluminiumoxidschicht 8 abhängt. Alternativ zur Durchführung des Atomlagen-Ätzprozesses in den Betriebspausen der jeweiligen optischen Anordnung 1 , 2 ist es möglich, den Atomlagen-Ätzprozess, speziell den Material-Ablöseschritt, im Betrieb der optischen Anordnung 1 , 2, d.h. während der Bestrahlung des optischen
Elements 4, 121, 220, 221 mit der VUV-Strahlung 11 , 21 der jeweiligen
Lichtquelle 10, 20 durchzuführen. Die für die chemische Reaktion in dem
Materia I-Ablösesch ritt erforderliche Energie wird in diesem Fall durch die VUV- Strahlung 11 , 21 geliefert, so dass in der Regel auf das Vorsehen einer Plasma- Quelle 30 verzichtet werden kann.
In diesem Fall kann mit Hilfe der Steuerungseinrichtung 9 eine Steuerung, ggf. eine Regelung, des Atomlagen-Ätzprozesses erfolgen, bei der sich ein dynamisches Gleichgewicht zwischen dem Abtrag der Aluminiumoxidschicht 8 durch den Atomlagen-Ätzprozess und dem Aufwachsen der
Aluminiumoxidschicht 8 durch in dem Innenraum 112a, 24a bzw. in der
Umgebung des optischen Elements 4, 121 , 220, 221 vorhandene oxidierende Spezies einstellt. Auf diese Weise kann ein dynamischer
G leichgewichtszusta nd erreicht werden, bei welcher die Dicke D der
Aiuminiumoxidschicht 8 im Betrieb der optischen Anordnung 1 , 2 dauerhaft bei weniger als 5 nm gehalten wird. Da die in dem Material-Ablöseschritt zugeführte Energie durch die spektrale Charakteristik und die Leistung der VUV-Strahlung 11 , 21 vorgegeben ist, die durch die Anwendung bzw. durch die jeweilige optische Anordnung 1 , 2 bestimmt ist, kann die Steuerungseinrichtung 9 zur Einstellung einer gewünschten Ätzrate die Zuführung, genauer gesagt den Massen- bzw. Volumenstrom, der über den jeweiligen Gaseinlass 123, 26 zugeführt wird, und/oder die Pulsrate der zugeführten Reaktanten bzw. des Spülgases geeignet einstellen.
Gegebenenfalls kann die Steuerungseinrichtung 9 auch in diesem Fall zur Steuerung/Regelung des Atomlagen-Ätzprozesses auf die weiter oben beschriebene überwachte Eigenschaft des reflektierenden optischen Elements 4, 121 , 220, 221 zurückgreifen. Alternativ ist es möglich, den Atomlagen- Ätzprozess bzw. die Ätzrate anhand von vorab durchgeführten Experimenten so zu steuern, dass die Dicke D der Aluminiumoxidschicht 8 einen Wert von 5 nm nicht überschreitet und mindestens eine Atomlage der Aluminiumoxidschicht 8 auf der Oberfläche 7a der Aluminiumschicht 7 verbleibt. In allen weiter oben beschriebenen Fällen ist darauf zu achten, dass bei dem Atomlagen-Ätzprozess die Oberfläche 7a der Aluminiumschicht 7 nicht geätzt wird, um eine
Degradation der optischen Eigenschaften des jeweiligen reflektierenden optischen Elements 4, 121 , 220, 221 zu vermeiden.

Claims

Patentansprüche
1. Verfahren zum in situ dynamischen Schutz einer Oberfläche (7a) einer
Aluminiumschicht (7) einer VUV-Strahlung (11 , 21 ) reflektierenden
Beschichtung (6) eines optischen Elements (4; 121 , 220, 221 ), das in einem Innenraum (122a, 24a) einer optischen Anordnung (1 , 2) für den VUV- Wellenlängenbereich angeordnet ist, vor dem Anwachsen einer
Aluminiumoxidschicht (8), umfassend:
Durchführen eines Atomlagen-Ätzprozesses zum lagenweisen Entfernen der Aluminiumoxidschicht (8) von der Oberfläche (7a) der Aluminiumschicht (7), wobei der Atomlagen-Ätzprozess einen Oberflächen-Modifi kationsschritt sowie einen Material-Ablöseschritt umfasst, wobei in dem Oberflächen- Modifikationsschritt dem Innenraum (122a, 24a) gepulst mindestens ein Borhalogenid als oberflächenmodifizierender Reaktant zugeführt wird, wobei in dem Innenraum (122a, 24a) an einer Oberfläche (8a) der
Aluminiumoxidschicht (8) zumindest während des Material-Ablöseschritts ein Plasma erzeugt wird,
wobei der Atomlagen-Ätzprozess durchgeführt wird, bis die
Aluminiumoxidschicht (8) eine Dicke (D) von weniger als 5 nm erreicht oder
wobei die Aluminiumoxidschicht (8) durch den Atomlagen-Ätzprozess auf einer Dicke (D) von weniger als 5 nm gehalten wird.
2. Verfahren nach Anspruch 1 , bei dem das Plasma gepulst erzeugt wird.
3. Verfahren nach Anspruch 1 oder 2, bei dem das Plasma als Remote-Plasma erzeugt wird.
4. Verfahren nach Anspruch 3, bei dem das Remote-Plasma ausgewählt ist aus der Gruppe umfassend: induktiv gekoppeltes Plasma,
Oberflächenwellenplasma, Mikrowellenplasma und Heliconwellen-Plasma.
5. Verfahren nach einem der vorhergehenden Ansprüche, bei dem der
Oberflächen-Modifikationsschritt und/oder der Material-Ablöseschritt in einer Betriebspause der optischen Anordnung (1 , 2) durchgeführt werden.
6. Verfahren nach einem der Ansprüche 1 bis 4, bei dem zumindest der
Material-Ablöseschritt während einer Bestrahlung des optischen Elements (4; 121 , 220, 221 ) mit VUV-Strahlung (11 , 21 ) durchgeführt wird.
7. Verfahren nach einem der vorhergehenden Ansprüche, bei welchem dem Innenraum (122a, 24a) in dem Material-Ablöseschritt mindestens ein materialablösender Reaktant gepulst zugeführt wird, der bevorzugt Chlor (Cb) enthält.
8. Verfahren nach einem der vorhergehenden Ansprüche, bei dem mindestens eine von der Dicke (D) der Aluminiumoxidschicht (8) abhängige Eigenschaft des reflektiven optischen Elements (4; 121 , 220, 221 ), insbesondere die Reflektivität (R) des optischen Elements (4; 121 , 220, 221), überwacht wird, und der Atomlagen-Ätzprozess in Abhängigkeit von der mindestens einen überwachten Eigenschaft (R) gestartet oder gesteuert wird.
9. Verfahren nach einem der vorhergehenden Ansprüche, bei dem in dem
Oberflächen-Modifikationsschritt dem Innenraum (122a, 24a) gepulst BBr3 und/oder BCh als oberflächenmodifizierender Reaktant zugeführt wird.
10. Optische Anordnung für den VUV-Welleniängenbereich, insbesondere
Inspektionssystem (2) oder VUV-Lithographieanlage (1 ), umfassend:
einen Innenraum (122a, 24a), in dem mindestens ein optisches Element (4, 121 , 220, 221 ) angeordnet ist, das eine VUV-Strahlung (11 , 21 )
reflektierende Beschichtung (6) mit einer Aluminiumschicht (7) aufweist, sowie mindestens einen Gaseinlass (123, 26) zur Zuführung eines Gases (BBrs, BCb, Cb) in den Innenraum (122a, 24a),
dadurch gekennzeichnet,
dass der Gaseinlass (123, 26) zur gepulsten Zuführung mindestens eines Borhalogenids, bevorzugt BBr3 und/oder BCb, in den Innenraum (122a, 24a) ausgebildet ist, das als oberflächenmodifizierender Reaktant eines
Oberflächen-Modifikationsschritts eines Atomlagen-Ätzprozesses zum in situ dynamischen Schutz einer Oberfläche (7a) der Aluminiumschicht (7) vor dem Anwachsen einer Aluminiumoxidschicht (8) dient, sowie dass die optische Anordnung (2) eine Plasma-Quelle (30) zum bevorzugt gepulsten Erzeugen eines Plasmas (31 ) an einer Oberfläche (8a) der
Aluminiumoxidschicht (8) in dem Innenraum (122a, 24a) aufweist.
11. Optische Anordnung nach Anspruch 10, bei welcher die Plasma-Quelle (30) zur Erzeugung eines Remote-Plasmas (31 ), bevorzugt zur Erzeugung eines induktiv gekoppelten Plasmas, eines Oberflächenwellenplasmas, eines Mikrowellenplasmas oder eines Heliconwellen-Plasmas, ausgebildet ist.
12. Optische Anordnung nach einem der Ansprüche 10 oder 11 , bei welcher der Gaseinlass (123, 26) ausgebildet ist, dem Innenraum (122a, 24a) zumindest während eines Material-Ablöseschritts des Atomlagen-Ätzprozesses einen materialablösenden Reaktanten zuzuführen, der bevorzugt Chlor (CI2) enthält.
13. Optische Anordnung nach Anspruch 12, weiter umfassend: eine Lichtquelle (10, 20), die zur gepulsten Bestrahlung des optischen Elements (4; 121 ,
220, 221) mit VUV-Strahlung (11 , 21 ) im Betrieb der optischen Anordnung (1 , 2) zumindest während des Materia I-Ablösesch ritts des Atomlagen- Ätzprozesses ausgebildet ist.
PCT/EP2019/083621 2018-12-07 2019-12-04 Verfahren zum in situ schutz einer aluminiumschicht und optische anordnung für den vuv-wellenlängenbereich WO2020115109A1 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2021532158A JP7504885B2 (ja) 2018-12-07 2019-12-04 アルミニウム層をインサイチュで保護する方法及びvuv波長域用の光学装置
US17/340,623 US11525946B2 (en) 2018-12-07 2021-06-07 Method for in situ protection of an aluminum layer and optical arrangement for the VUV wavelength range

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102018221188.4 2018-12-07
DE102018221188.4A DE102018221188A1 (de) 2018-12-07 2018-12-07 Verfahren zum in situ Schutz einer Aluminiumschicht und optische Anordnung für den VUV-Wellenlängenbereich

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/340,623 Continuation US11525946B2 (en) 2018-12-07 2021-06-07 Method for in situ protection of an aluminum layer and optical arrangement for the VUV wavelength range

Publications (1)

Publication Number Publication Date
WO2020115109A1 true WO2020115109A1 (de) 2020-06-11

Family

ID=68835192

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2019/083621 WO2020115109A1 (de) 2018-12-07 2019-12-04 Verfahren zum in situ schutz einer aluminiumschicht und optische anordnung für den vuv-wellenlängenbereich

Country Status (4)

Country Link
US (1) US11525946B2 (de)
JP (1) JP7504885B2 (de)
DE (1) DE102018221188A1 (de)
WO (1) WO2020115109A1 (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020100357A1 (ja) * 2019-08-05 2020-05-22 株式会社日立ハイテク プラズマ処理装置
CN116685878A (zh) * 2020-12-30 2023-09-01 Asml荷兰有限公司 用于清洁检查系统的设备和方法
WO2023249814A1 (en) * 2022-06-22 2023-12-28 Corning Incorporated In-situ aluminium cleaning using atomic layer etching followed by atomic layer deposition capping for enhanced aluminium mirrors for vuv optics

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4030967A (en) 1976-08-16 1977-06-21 Northern Telecom Limited Gaseous plasma etching of aluminum and aluminum oxide
EP1522895A1 (de) * 2003-10-06 2005-04-13 ASML Netherlands B.V. Verfahren und Gerät zur Herstellung einer Schutzschicht auf einem Spiegel
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
DE102017207030A1 (de) * 2017-04-26 2018-10-31 Carl Zeiss Smt Gmbh Verfahren zur Reinigung von optischen Elementen für den ultravioletten Wellenlängenbereich

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4558285B2 (ja) 2003-06-27 2010-10-06 東京エレクトロン株式会社 プラズマクリーニング方法および基板処理方法
DE602004003015T2 (de) 2003-10-06 2007-02-08 Asml Netherlands B.V. Verfahren und Gerät zur Herstellung einer Schutzschicht auf einem Spiegel
US7055263B2 (en) 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
JP4775641B2 (ja) 2006-05-23 2011-09-21 株式会社島津製作所 ガス導入装置
US10096487B2 (en) * 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
WO2017120241A1 (en) 2016-01-07 2017-07-13 Applied Materials, Inc. Atomic layer etching system with remote plasma source and dc electrode
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
DE102018211499A1 (de) 2018-07-11 2020-01-16 Carl Zeiss Smt Gmbh Reflektives optisches Element und Verfahren zum Herstellen eines reflektiven optischen Elements
US11462414B2 (en) * 2021-03-08 2022-10-04 Tokyo Electron Limited Atomic layer etching of metal oxides

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4030967A (en) 1976-08-16 1977-06-21 Northern Telecom Limited Gaseous plasma etching of aluminum and aluminum oxide
EP1522895A1 (de) * 2003-10-06 2005-04-13 ASML Netherlands B.V. Verfahren und Gerät zur Herstellung einer Schutzschicht auf einem Spiegel
EP1522895B1 (de) 2003-10-06 2006-11-02 ASML Netherlands B.V. Verfahren und Gerät zur Herstellung einer Schutzschicht auf einem Spiegel
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
DE102017207030A1 (de) * 2017-04-26 2018-10-31 Carl Zeiss Smt Gmbh Verfahren zur Reinigung von optischen Elementen für den ultravioletten Wellenlängenbereich

Non-Patent Citations (14)

* Cited by examiner, † Cited by third party
Title
ANDRE ANDERS: "Plasma and Ion Sources in Large Area Coatings: A Review", SURFACE AND COATINGS TECHNOLOGY, vol. 200, 2005, pages 1893 - 1906
F. GREER: "Enabling High Performance Mirrors for Astronomy with ALD", ECS TRANS., vol. 50, no. 13, 2013, pages 141 - 148
H. CONRADSM. SCHMIDT: "Plasma generation and plasma sources", PLASMA SOURCES SCI. TECHNOL., vol. 9, 2000, pages 441 - 454, XP020069829, DOI: 10.1088/0963-0252/9/4/301
J. HENNESSY ET AL.: "Enhanced atomic layer etching of native aluminum oxide for ultraviolet optical applications", JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A, vol. 35, 2017, pages 041512, XP012219905, DOI: 10.1116/1.4986945
J. HENNESSY: "Atomic Layer Deposition of Lithium Fluoride Optical Coatings for the Ultraviolet", INORGANICS, vol. 6, 2018, pages 46
JOHN HENNESSY ET AL: "Enhanced atomic layer etching of native aluminum oxide for ultraviolet optical applications", ARXIV.ORG, CORNELL UNIVERSITY LIBRARY, 201 OLIN LIBRARY CORNELL UNIVERSITY ITHACA, NY 14853, 16 March 2017 (2017-03-16), XP081274623, DOI: 10.1116/1.4986945 *
MIN K S ET AL: "Atomic layer etching of Al2O3using BCl3/Ar for the interface passivation layer of III-V MOS dev", MICROELECTRONIC ENGINEERING, vol. 110, 9 April 2013 (2013-04-09), pages 457 - 460, XP028673754, ISSN: 0167-9317, DOI: 10.1016/J.MEE.2013.03.170 *
P.R. CHALKER: "Photochemical atomic layer deposition and etching", SURFACE AND COATINGS TECHNOLOGY, vol. 291, 2016, pages 258 - 263, XP029493092, DOI: 10.1016/j.surfcoat.2016.02.046
R. P. MADDEN ET AL.: "On the Vacuum-Ultraviolet Reflectance of Evaporated Aluminum before and during Oxidation", J. OPT. SOC. AM., vol. 53, 1963, pages 620 - 625
S. SHINOHARA: "Propagating Wave Characteristics for Plasma Production in Plasma Processing Field", JAPANESE JOURNAL OF APPLIED PHYSICS, vol. 36, 1997, pages 4695 - 4703
S. WILBRANDT ET AL.: "Protected and enhanced aluminum mirrors for the VUV", APPLIED OPTICS, vol. 53, no. 4, February 2014 (2014-02-01), XP001587776, DOI: 10.1364/AO.53.00A125
S.U. ENGELMANN ET AL.: "Challenges of Tailoring Surface Chemistry and Plasma/Surface Interactions to Advance Atomic Layer Etching", ECS J. SOLID STATE SCI. TECHNOL., vol. 4, no. 6, 2015, pages N5054 - N5060
SHUSTIN E G: "Plasma technologies for material processing in nanoelectronics: Problems and solutions", JOURNAL OF COMMUNICATIONS TECHNOLOGY AND ELECTRONICS, NAUKA/INTERPERIODICA PUBLISHING, MOSCOW, RU, vol. 62, no. 5, 25 May 2017 (2017-05-25), pages 454 - 465, XP036244431, ISSN: 1064-2269, [retrieved on 20170525], DOI: 10.1134/S106422691704012X *
U. STRELLER ET AL.: "Microstructuring of Si(100) by light induced dry etching in the VUV", SUPERLATTICES AND MICROSTRUCTURES, vol. 23, no. 2, 1998, pages 445 - 451

Also Published As

Publication number Publication date
DE102018221188A1 (de) 2020-06-10
JP2022515334A (ja) 2022-02-18
US11525946B2 (en) 2022-12-13
JP7504885B2 (ja) 2024-06-24
US20210293998A1 (en) 2021-09-23

Similar Documents

Publication Publication Date Title
WO2020115109A1 (de) Verfahren zum in situ schutz einer aluminiumschicht und optische anordnung für den vuv-wellenlängenbereich
DE102017211539A1 (de) Verfahren zum Entfernen einer Kontaminationsschicht durch einen Atomlagen-Ätzprozess
DE102009045170A1 (de) Reflektives optisches Element und Verfahren zum Betrieb einer EUV-Lithographievorrichtung
EP2735018B1 (de) Verfahren und vorrichtung zur herstellung partikelarmer schichten auf substraten
WO2016055330A1 (de) Euv-lithographiesystem und betriebsverfahren dafür
DE102013102670A1 (de) Optisches Element und optisches System für die EUV-Lithographie sowie Verfahren zur Behandlung eines solchen optischen Elements
DE112009005052T9 (de) Verfahren und Vorrichtung zum Schutz von Plasmakammerflächen
WO2008107166A1 (de) Verfahren zum reinigen einer euv-lithographievorrichtung, verfahren zur messung der restgasatmosphäre bzw. der kontamination sowie euv-lithographievorrichtung
DE102020202179A1 (de) Optische Anordnung für die EUV-Lithographie und Verfahren zum Bestimmen eines Soll-Werts eines Ziel-Plasmaparameters
DE102021200490A1 (de) Verfahren zum Bilden einer Schutzschicht, optisches Element und optische Anordnung
DE102018211498A1 (de) Optische Anordnung
WO2018019645A1 (de) Reflektives optisches element für die euv-lithographie
DE112006003519T5 (de) Verfahren und Vorrichtung für Downstream Gasdissoziation
DE102006042987B4 (de) Verfahren zum Betrieb einer EUV-Lithographievorrichtung, reflektives optisches Element für EUV-Lithographievorrichtung und Verfahren zu dessen Reinigung
WO2020115086A2 (de) Optisches element zur reflexion von vuv-strahlung und optische anordnung
DE102011080409A1 (de) Entfernen von Schichten einer EUV-Strahlung reflektierenden Beschichtung von einem Substrat
DE102018204364A1 (de) Optische Anordnung für die EUV-Lithographie
DE102017213406A1 (de) Reflektives optisches Element für die EUV-Lithographie und Verfahren zur Anpassung einer Geometrie einer Komponente
DE102005040596A1 (de) Verfahren zur Entfernung einer dotierten Oberflächenschicht an Rückseiten von kristallinen Silizium-Solarwafern
WO2022263061A1 (de) Verfahren zum abscheiden einer deckschicht, reflektives optisches element für den euv-wellenlängenbereich und euv-lithographiesystem
WO2017202579A1 (de) Optisches element und euv-lithographiesystem
DE102008040720A1 (de) Reinigungsmodul und EUV-Lithographievorrichtung mit Reinigungsmodul
EP2646178B1 (de) Reinigen von metallischen oberflächen in vakuumapparaturen mittels laser
DE102009029121A1 (de) Generator für atomaren Wasserstoff
DE102021200747A1 (de) Verfahren zum Bilden einer Schicht, optisches Element und optisches System

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19817216

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2021532158

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 2019817216

Country of ref document: EP

Effective date: 20210707

122 Ep: pct application non-entry in european phase

Ref document number: 19817216

Country of ref document: EP

Kind code of ref document: A1