WO2020006382A1 - Précurseurs contenant de l'étain et procédés de dépôt de films contenant de l'étain - Google Patents

Précurseurs contenant de l'étain et procédés de dépôt de films contenant de l'étain Download PDF

Info

Publication number
WO2020006382A1
WO2020006382A1 PCT/US2019/039768 US2019039768W WO2020006382A1 WO 2020006382 A1 WO2020006382 A1 WO 2020006382A1 US 2019039768 W US2019039768 W US 2019039768W WO 2020006382 A1 WO2020006382 A1 WO 2020006382A1
Authority
WO
WIPO (PCT)
Prior art keywords
tin
precursor
substrate
diazadiene
film
Prior art date
Application number
PCT/US2019/039768
Other languages
English (en)
Inventor
Thomas Knisley
Mark Saly
David Thompson
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to CN201980056243.8A priority Critical patent/CN112654925A/zh
Priority to KR1020217002921A priority patent/KR102555781B1/ko
Publication of WO2020006382A1 publication Critical patent/WO2020006382A1/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/90Carbides
    • C01B32/914Carbides of single elements
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/06Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron
    • C01B21/0635Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with germanium, tin or lead
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/06Metal silicides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B35/00Boron; Compounds thereof
    • C01B35/02Boron; Borides
    • C01B35/04Metal borides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G19/00Compounds of tin
    • C01G19/02Oxides
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN

Definitions

  • Embodiments of the disclosure generally relate to deposition of tin- containing thin films. More particularly, embodiments ot the disclosure relate to methods of forming tin-containing films using fin diazadiene type complexes.
  • EUV extreme ultraviolet
  • One or more embodiments of the disclosure is directed to methods comprising exposing a substrate in a processing chamber to a deposition cycle comprising exposure to a tin precursor comprising a compound having a tin- diazadiene bond and a reactant to form a tin-containing film.
  • Additional embodiments of the disclosure are directed to methods of forming a tin-containing film.
  • a substrate is exposed to a deposition cycle comprising at least one exposure to a tin precursor and at least one exposure to a reactant.
  • the tin precursor comprises a tin-diazadiene bond.
  • the reactant comprises one or more of an oxidizing agent, a nitriding agent, a carbiding agent or a siliciding agent.
  • the deposition cycle is repeated, in whole or in part, until tin-containing film of a predetermined thickness has been formed on the substrate.
  • FIG. 1 A substrate In a processing chamber is exposed to at least one deposition cycle to form a ternary material.
  • the at least one deposition cycle comprises exposure to a tin precursor comprising a compound having a tin- diazadiene bond and one or more reactant to torm a ternary material including one or more of oxygen, nitrogen, carbon, boron, silicon, titanium, ruthenium or tungsten atoms.
  • substrate refers to a surface, or portion of a surface, upon which a process acts. It will also be understood by those skilled in the art that reference to a substrate can refer to only a portion of the substrate, unless the context clearly indicates otherwise. Additionally, reference to depositing on a substrate can mean both a bare substrate and a substrate with one or more films or features deposited or formed thereon.
  • a "substrate” as used herein, refers to any substrate or material surface formed on a substrate upon which processing is performed.
  • a substrate surface on which processing can be performed include, but are not limited to, materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Substrates include, without limitation, semiconductor wafers.
  • Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxy!ate (or otherwise generate or graft target chemical moieties to impart chemical functionality), anneal and/or bake the substrate surface.
  • any of the film processing steps disclosed may also be performed on a layer formed on the substrate as disclosed in more detail below, and the term "substrate surface" is intended to include such layer as the context indicates.
  • the exposed surface of the newly deposited film/layer may be described as the substrate surface. What a given substrate surface comprises will depend on what materials are to be deposited, as well as the particular chemistry used.
  • Atomic layer deposition or “cyclical deposition” as used herein refers to a process comprising the sequential exposure of two or more reactive compounds to deposit a layer of material on a substrate surface.
  • reactive compound reactive gas
  • reactive species reactive species
  • precursor precursor gas
  • process gas processing gas
  • a time-domain ALD process exposure to each reactive compound is separated by a time delay to allow each compound to adhere and/or react on the substrate surface and then be purged from the processing chamber.
  • the reactive gases are prevented from mixing by the purging of the processing chamber between subsequent exposures.
  • a spatial ALD process the reactive gases are flowed into different processing regions within a processing chamber. The different processing regions are separated from adjacent processing regions so that the reactive gases do not mix.
  • the substrate can be moved between the processing regions to separately expose the substrate to the processing gases. During substrate movement, different portions of the substrate surface, or material on the substrate surface, are exposed to the two or more reactive compounds so that any given point on the substrate is substantially not exposed to more than one reactive compound simultaneously.
  • there is a possibility that a small portion of the substrate may be exposed to multiple reactive gases simultaneously due to diffusion of the gases within the processing chamber, and that the simultaneous exposure is unintended, unless otherwise specified.
  • a first reactive gas i.e., a first precursor or compound A
  • a second precursor or compound B is pulsed into the reaction zone followed by a second delay.
  • a purge gas such as argon
  • the purge gas may flow continuously throughout the deposition process so that only the purge gas flows during the time delay between pulses of reactive compounds.
  • the reactive compounds are alternately pulsed until a predetermined film or film thickness is formed on the substrate surface.
  • a cycle can start with either compound A or compound B and may continue the respective order of the cycle until achieving a film with the predetermined thickness.
  • a first reactive gas and second reactive gas are delivered simultaneously to the reaction zone but are separated by a purge gas curtain and/or a vacuum curtain.
  • the gas curtain can be a combination of inert gas flows into the processing chamber and vacuum stream flows out of the processing chamber.
  • the substrate is moved relative to the gas delivery apparatus so that any given point on the substrate is exposed to the tirst reactive gas and the second reactive gas.
  • a "pulse” or “dose” as used herein refers to a quantity of a source gas that is intermittently or non-continuously introduced into the process chamber.
  • the quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse.
  • a particular process gas may include a single compound or a mixture/combination of two or more compounds.
  • the durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto.
  • the dose time of a process gas may vary according to the flow rate of the process gas, the temperature of the process gas, the type of control valve, the type of process chamber employed, as well as the ability of the components of the process gas to adsorb onto the substrate surface. Dose times may also vary based upon the type of layer being formed and the geometry of the device being formed. A dose time should be long enough to provide a volume of compound sufficient to adsorb/chemisorb onto substantially the entire surface of the substrate and form a layer of a process gas component thereon.
  • One or more embodiments of the disclosure advantageously provide a new precursor class for depositing tin-containing films.
  • the deposition is by an atomic layer deposition (ALD) process.
  • the deposition is by a chemical vapor deposition (CVD) process in which both the tin-containing precursor and the reactants are exposed to the substrate at the same time so that the tin-containing precursor and reactant are allowed to react in the gas phase.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • Some embodiments of the disclosure advantageously provide simplified integration schemes for implementing EUV [extreme ultraviolet) lithography patterning schemes.
  • EUV lithography One current disadvantage of EUV lithography is the very low throughput of wafers due to limited amount of photons available to perform patterning.
  • Some embodiments advantageously provide methods to deposit Sn-containing materials that optimize absorption of EUV photons allowing current generation EUV tools to become more efficient leading to higher wafer throughput in production.
  • a diazadienyl-containing tin precursor is used to produce one or more of tin metai (Sn), tin oxide (SnO), tin nitride (SnN), tin carbide (SnC), tin silicide (SnSi), or a film comprising fin and having one or more of oxygen (O), nitrogen (N), carbon (C), boron (B) or silicon (Si) atoms.
  • a tin oxide film may be referred to as“SnO" or“SnO x ” and the composition of the film comprises tin and oxygen atoms.
  • Some embodiments of the disclosure advantageously provide methods of forming ternary materials comprising tin and two or more of oxygen, nitrogen, carbon, boron, silicon, titanium, tungsten and/or ruthenium atoms.
  • Some examples of ternary materials include, but are not limited to, SnON, SnOC, SnBN, RuSnB, SnSiO, SnBG, SnTiO, SnTiN, SnWN and SnTiW.
  • Some embodiments of the disclosure advantageously provide methods of forming highly-pure tin-containing films with low impurities.
  • the high reactivity, high volatility, and/or high thermal stability of the disclosed tin-containing precursors are believed to be due to the chemical nature of the tin-diazadiene (Sn-DAD) bond.
  • Sn-DAD tin-diazadiene
  • Such a film is useful, for example, with EUV lithography applications where low EUV photon flux is present and maximum photon utility is sought. It is believed that Sn-containing films may constructively interact with a primary EUV photon (from main EUV source) to produce secondary effects that may aid in the lithographic processes.
  • This highly reactive, redox-active diazadienyi system is believed to yield a highly pure film and free diazadiene which is liberated into the purge gas stream preventing unwanted incorporation into the newly produced tin-containing thin film.
  • the tin-containing precursor has at least one diazadiene ligand.
  • Diazadiene ligands can adopt several resonance forms when binding to a tin atom as depicted in scheme (I) or (II).
  • Each of these resonance forms imparts a different eiectronic charge on the tin metal center when bonded together in a metal complex.
  • the form on the left containing two double bonds (the diene) is a neutral, nonionic ligand (DADO).
  • the resonance form in the center of scheme (!) contains a radical resonance structure and is a monoanionic ligand (DAD1 ).
  • the resonance form on the right of scheme (I) containing a single double bond is a dianionic ligand (DAD2).
  • DAD2 dianionic ligand
  • R groups on the DAD ligand are numbered R1 -R4 indicating that each R group is independently selected.
  • the R groups are designated as R and R’ groups.
  • each of the R and/or R groups of scheme (II) can be independently selected so that the compounds illustrated in scheme (I) are equivalent to the compounds illustrated in scheme (II).
  • R1 and R4 in scheme (!) are equivalent to R in scheme (II) and may also be referred to as the nitrogen-R group.
  • Groups R2 and R3 in scheme (I) are equivalent to R’ groups in scheme (II) and may also be referred to as the carbon-R groups or carbon -backbone R groups.
  • the R groups R r R 4 (of scheme (l)) or R and R’ (of scheme (II)) are independently selected from H, C1 -6 alky!, aryl, acyl, alkylamido, hydrazido, silyl, aldehyde, keto, C2-4 alkenyl and/or alkynyl groups and one nitrogen is covalently bound to the tin atom.
  • the letter "C” followed by a numeral means that the substituent comprises the specified number of carbon atoms ⁇ e.g., G4 comprises four carbon atoms).
  • At least one of the nitrogen-R groups and/or carbon-R groups is not H. In some embodiments, at least one of the nitrogen-R groups and/or carbon-R groups comprises one or more of a C2-C6 alkyl, a C3-C6 alkyl, a C4-C6 alkyl or a C5-C6 alkyl group.
  • At least one of the DADO, DAD1 and/or DAD2 ligands is symmetrical.
  • the ligand is symmetrical when the nitrogen-R groups are the same and the carbon backbone-R groups are the same.
  • the nitrogen- R groups can be different than the carbon backbone-R groups.
  • at least one of the DADO, DAD1 and/or DAD2 ligands are asymmetrical.
  • An asymmetrical ligand may impart rotational entropy on the tin complex which may change the vapor pressure and/or reactivity of the species.
  • Suitable homoleptic tin-diazadiene complexes includes compounds with the general formula Sn(DAD) 2 , where DAD is one or more of DADO, DAD1 or DAD2.
  • DAD is one or more of DADO, DAD1 or DAD2.
  • a homoleptic species can have a mixture of DAD resonance forms. For example,
  • the Sn-diazadiene complex is heteroleptic.
  • Suitable heteroieptic tin precursors include, but are not limited to,
  • each X is independently an anionic ligand.
  • Suitable anionic ligands include, but are not limited to, I, Cl, Br, F, NFS 2 (where R is a C1 -C6 alkyl or alkenyl group), cyclopentadiene (Cp), substituted cyclopentadiene, substituted amidinate and allyl.
  • Substituted cyclopentadiene ligands may be substituted by any suitable number of alkyl substituents and/or amino substituents.
  • Alkyl substituents for the cyclopentadiene ligand may include C1 -C4 alkyl groups.
  • Amino substituents for the cyclopentadiene ligand may include groups with the general formula -NF1 ⁇ 2 where each R is independently H or a G1 -C4 alkyl group.
  • the alkyl groups can be straight chain groups (e.g. n-butyl) or branched groups (e.g. t-butyi).
  • the cyclopentadiene ligand is unsubstituted (i.e., C5H5).
  • a metallic tin (Sn°) film is formed in some embodiments, the film formed consists essentially of tin metal.
  • the term“consists essentially of tin” means that the film is greater than or equal to about 95%, 98%, 99% or 99.5%.
  • the reactant can be any suitable reducing agent, for example, alcohols, ammonia, molecular hydrogen, hydrazine, substituted hydrazines, substituted cyclohexadienes, substituted dihydropyrazines, aluminum-containing molecules, and plasma versions, thereof.
  • suitable reducing agent for example, alcohols, ammonia, molecular hydrogen, hydrazine, substituted hydrazines, substituted cyclohexadienes, substituted dihydropyrazines, aluminum-containing molecules, and plasma versions, thereof.
  • the film formed comprises tin oxide (SnO).
  • the film consists essentially of tin oxide.
  • the term“consists essentially of means that the composition of the film is greater than or equal to about 95%, 98%, 99% or 99.5% of the stated elements (in this case tin and oxygen) in sum on an atomic basis.
  • the reactant can be any suitable reactant including, but not limited to, water (H 2 0), molecular oxygen (0 2 ), peroxides, organic alcohols, ozone (0 3 ), nitrous oxide, combinations thereof and plasmas thereof.
  • the film comprises tin nitride (SnN). In some embodiments, the film consists essentially of tin nitride.
  • the reactant can be any suitable reactant including, but not limited to, ammonia (NH 3 ), hydrazine (N 2 H 4 ) substituted hydrazines, combinations thereof and plasmas thereof.
  • the film comprises tin carbide (SnC). in some embodiments, the film consists essentially of tin carbide.
  • suitable reactants include, but are not limited to, alkanes, alkenes, aikynes, substituted versions thereof, combinations thereof and plasmas thereof.
  • the film comprises tin siiicide (SnSi). in some embodiments, the film consists essentially of tin siiicide.
  • suitable reactants include, but are not limited to, silanes, substituted silanes, siloxanes, siiy! halide, silyl amide, combinations thereof and plasmas thereof.
  • silyl halides include, but are not limited to, dichlorosilane (DCS), hexachloridisilane (HCDS), trichiorosilane (TCS) and SiCI 4 .
  • silyl amides include, but are not limited to, bis(diethylamino)silane (BDEAS), bisitert- buty!amino)siiane (BTBAS), tetrakis(dimethylamino)silane (TDMAS).
  • the silicon precursor comprises a species with a general formula SinX a R2n + 2-a, Si(NR 2) a R4-a, or a sNoxane, where n is 1 to 4, a is 0 to 2n ⁇ 2, each X is an independently selected halide and each R and R’ are independently selected from H, C1 -4 alkyl or aryl.
  • Suitable siloxanes include, but are not limited to, hexachiorodisiloxane (HCDSO) and octachlorotrisiioxane (OCTSO)
  • the silicon precursor consists essentially of silane (SiH 4 ).
  • the film comprises tin boride (SnB). In some embodiments, the film consists essentially of tin boride.
  • suitable boron precursors include, but are not limited to, boranes, alkylboranes and haloboranes.
  • the boron precursor comprises one or more species with a general formula of B c H d X e R f , where each X is a halogen independently selected from F, Cl, Br and I, each R is an independently selected C1 -C4 alkyl group, c is any integer greater than or equal to 2, each of d, e and f are less than or equal to c+2 and d+e+f is equal to c+2.
  • the film comprises a compound with the general formula Sn a B b C c N b G e Sii, where a is in the range of about 1 to about 100 and each of b, c, d, e and f are in the range of about 0 to 100.
  • the film comprises an alloy of tin with another metal.
  • Suitable alloy films that can be formed include, but are not limited to tin titanate, tin tungstate, tin-ruthenium films.
  • the film comprises tin and one or more of titanium, tungsten or ruthenium in some embodiments, the alloy film consists essentially of tin and a metal comprising one or more of titanium, tungsten or ruthenium.
  • the ternary material comprises tin and two or more of oxygen, nitrogen, carbon, boron, silicon, titanium, ruthenium and/or tungsten.
  • the film formed comprises or consists essentially of ruthenium tin boride in some embodiments, the ternary material comprises or consists essentially of tin silicon oxide. in some embodiments, the ternary material layer acts as a catalyst for further surface reactions. [0037] Formation of the ternary material can be accomplished using one or more processes.
  • tin can be deposited by AID and another metal (or element) can be deposited by CVD or PVD.
  • the third component, oxygen, nitrogen, carbon, boron, silicon, titanium, ruthenium and/or tungsten can be Included with deposition ot the tin or other metal or in a separate process.
  • the ternary material is formed by forming a laminate of tin-containing layers and other material layers.
  • a ruthenium tin boride film may be formed as a laminate of tin metal layers interspersed with ruthenium boride layers.
  • the titanium precursor, tungsten precursor and/or ruthenium precursor can be any suitable precursors known to the skilled artisan or, for PVD, a suitable target material comprising the selected components.
  • the period of time that the substrate is exposed to a process gas may be any suitable amount of time to allow formation of the film or a partial film.
  • “process gases” are any gases or gaseous species that react with the substrate surface or with a chemisorbed molecule on the substrate surface.
  • a process gas may be flowed into the process chamber for a period of about 0.1 seconds to about 90 seconds in some time-domain AID processes, a process gas is exposed the substrate surface for a time in the range of about 0 1 sec to about 90 sec, or in the range of about 0 5 sec to about 60 sec, or in the range of about 1 sec to about 30 sec, or in the range of about 2 sec to about 25 sec, or in the range of about 3 sec to about 20 sec, or in the range of about 4 sec to about 15 sec, or in the range of about 5 sec to about 10 sec
  • the temperature of the substrate during deposition can be controlled, for example, by setting the temperature of a substrate support or susceptor.
  • the substrate is maintained at a temperature in the range of about 25 S C to about 500 e G, or in the range of about 50 e C to about 450 S C, or in the range of about 100 -C to about 400 e C, or in the range of about 150 °C to about 350 e C, or in the range of about 300 °C to about 300 Q G.
  • the tin precursor can be heated prior to flowing into the processing chamber.
  • the tin precursor can be contained within a precursor ampoule which is held at an ampoule temperature to increase the vapor pressure of the precursor.
  • An inert or carrier gas can be flowed through the ampoule to draw the precursor to the processing chamber in some embodiments, the tin precursor is maintained at a temperature in the range of about 0 Q G to about 250 Q C, or in the range of about 50 e G to about 200 S C.
  • additional process parameters may be regulated while exposing the substrate to a process gas.
  • the process chamber may be maintained at a pressure in the range of about 1 Torr to about 760 Torr, or in the range of about 1 Torr to about 500 Torr, or in the range of about 10 Torr to about 100 Torr.
  • the inert gas may be any inert gas, for example, such as argon, helium, neon, or the like.
  • the inert gas may be the same, or alternatively, may be different from the inert gas provided to the process chamber during the exposure of the substrate to the first process gas.
  • the purge may be performed by diverting the first process gas from the process chamber, allowing the inert gas to flow through the process chamber, purging the process chamber of any excess first process gas components or reaction byproducts.
  • the inert gas may be provided at the same flow rate used in conjunction with the first process gas, described above, or in some embodiments, the flow rate may be increased or decreased.
  • the inert gas may be provided to the process chamber at a flow rate of greater than 0 to about 10000 seem to purge the process chamber.
  • purge gas curtains are maintained between the flows of reactive gases and purging the process chamber may not be necessary.
  • the process chamber or region of the process chamber may be purged with an inert gas.
  • the flow of inert gas may facilitate removing any excess process gases and/or excess reaction byproducts from the process chamber to prevent unwanted gas phase reactions.
  • the flow of inert gas may remove excess process gas from the process chamber, preventing a reaction between the tin precursor and a subsequent process gas.
  • the second process gas may react with the species on the substrate surface.
  • the second process gas may be supplied to the substrate surface at a flow rate greater than the first process gas. In one or more embodiments, the flow rate is greater than about 1 time that of the first process gas, or about 100 times that of the first process gas, or in the range of about 3000 to 5000 times that of the first process gas.
  • the second process gas can be supplied, in time-domain AID, for a time in the range of about 0.1 sec to about 90 sec, or in the range of about 1 sec to about 80 sec, or in the range of about 10 sec to about 30 sec.
  • the second process gas can be supplied at a pressure in the range of about 1 mTorr to about 760 Torr, or in the range of about 1 Torr to about 500 Torr, or in the range of about 10 Torr to about 250 Torr.
  • the process chamber may again be purged using an inert gas.
  • the inert gas may be any inert gas, for example, such as argon, helium, neon, or the like.
  • the inert gas may be the same, or alternatively, may be different from the inert gas provided to the process chamber during previous process steps.
  • the purge may be performed by diverting the second process gas from the process chamber, allowing the inert gas to flow through the process chamber, purging the process chamber of any excess second process gas components or reaction byproducts.
  • the inert gas may be provided at the same flow rate used in conjunction with the second process gas, described above, or in some embodiments, the flow rate may be increased or decreased.
  • the inert gas may be provided to the process chamber at a flow rate of greater than 0 to about 10,000 seem to purge the process chamber.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Composite Materials (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

L'invention concerne des précurseurs contenant de l'étain et des procédés de formation de films minces contenant de l'étain. Le précurseur d'étain a une liaison étain-diazadiène et est homoleptique ou hétéroleptique. Un réactif approprié est utilisé pour fournir un film d'étain métallique ou un film comprenant un ou plusieurs éléments parmi un oxyde, un nitrure, un carbure, un borure et/ou un siliciure. L'invention concerne également des procédés de formation de matériaux ternaires comprenant de l'étain avec au moins deux éléments parmi l'oxygène, l'azote, le carbone, le bore, le silicium, le titane, le ruthénium et/ou le tungstène.
PCT/US2019/039768 2018-06-30 2019-06-28 Précurseurs contenant de l'étain et procédés de dépôt de films contenant de l'étain WO2020006382A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201980056243.8A CN112654925A (zh) 2018-06-30 2019-06-28 含锡前驱物及沉积含锡膜的方法
KR1020217002921A KR102555781B1 (ko) 2018-06-30 2019-06-28 주석-함유 전구체들 및 주석-함유 막들을 증착시키는 방법들

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862692754P 2018-06-30 2018-06-30
US62/692,754 2018-06-30

Publications (1)

Publication Number Publication Date
WO2020006382A1 true WO2020006382A1 (fr) 2020-01-02

Family

ID=68987594

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/039768 WO2020006382A1 (fr) 2018-06-30 2019-06-28 Précurseurs contenant de l'étain et procédés de dépôt de films contenant de l'étain

Country Status (5)

Country Link
US (1) US11286564B2 (fr)
KR (1) KR102555781B1 (fr)
CN (1) CN112654925A (fr)
TW (1) TW202010746A (fr)
WO (1) WO2020006382A1 (fr)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021101492A1 (de) * 2020-03-30 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren einer halbleitervorrichtung
US20230100995A1 (en) * 2021-09-24 2023-03-30 Inpria Corporation High resolution latent image processing, contrast enhancement and thermal development

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120053479A (ko) * 2010-11-17 2012-05-25 주식회사 유피케미칼 다이아자다이엔계 금속 화합물, 이의 제조 방법 및 이를 이용한 박막 형성 방법
WO2012176988A1 (fr) * 2011-06-24 2012-12-27 Up Chemical Co., Ltd. Composé organométallique, procédé pour le préparer, et procédé de préparation d'un film mince l'employant
US20140119977A1 (en) * 2008-06-25 2014-05-01 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
US20180037540A1 (en) * 2015-03-06 2018-02-08 Adeka Corporation Diazadienyl compound, raw material for forming thin film, method for producing thin film, and diazadiene compound

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1099006B1 (fr) * 1998-07-10 2003-01-15 Gilles Merienne Precurseur liquide destine au depot chimique en phase vapeur
WO2011123675A1 (fr) * 2010-04-01 2011-10-06 President And Fellows Of Harvard College Amides métalliques cycliques et dépôt en phase vapeur faisant appel à ceux-ci
US9206507B2 (en) * 2011-09-27 2015-12-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Nickel bis diazabutadiene precursors, their synthesis, and their use for nickel containing films depositions
US9249505B2 (en) * 2013-06-28 2016-02-02 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
EP3013997B1 (fr) * 2013-06-28 2018-05-02 Wayne State University Systèmes cycliques bis(triméthyle) à six chaînons et composés apparentés en tant qu'agents réducteurs pour former des couches sur un substrat
US9067958B2 (en) * 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20170022609A1 (en) * 2015-07-20 2017-01-26 Applied Materials, Inc. Heteroleptic Diazadiene-Containing Tungsten Precursors for Thin Film Deposition
JP2018035072A (ja) * 2016-08-29 2018-03-08 株式会社Adeka ジアザジエニル化合物、薄膜形成用原料及び薄膜の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140119977A1 (en) * 2008-06-25 2014-05-01 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Metal heterocyclic compounds for deposition of thin films
KR20120053479A (ko) * 2010-11-17 2012-05-25 주식회사 유피케미칼 다이아자다이엔계 금속 화합물, 이의 제조 방법 및 이를 이용한 박막 형성 방법
WO2012176988A1 (fr) * 2011-06-24 2012-12-27 Up Chemical Co., Ltd. Composé organométallique, procédé pour le préparer, et procédé de préparation d'un film mince l'employant
US20180037540A1 (en) * 2015-03-06 2018-02-08 Adeka Corporation Diazadienyl compound, raw material for forming thin film, method for producing thin film, and diazadiene compound

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
KIM, S. B. ET AL.: "Synthesis of N-heterocyclic stannylene (Sn(II)) and germylene (Ge(II)) and a Sn(II) amidinate and their application as precursors for atomic layer deposition", CHEMISTRY OF MATERIALS, vol. 26, 2014, pages 3065 - 3073, XP055550275, DOI: 10.1021/cm403901y *

Also Published As

Publication number Publication date
KR20210013775A (ko) 2021-02-05
US20200002814A1 (en) 2020-01-02
US11286564B2 (en) 2022-03-29
CN112654925A (zh) 2021-04-13
KR102555781B1 (ko) 2023-07-13
TW202010746A (zh) 2020-03-16

Similar Documents

Publication Publication Date Title
US8329599B2 (en) Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US6451119B2 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US8361910B2 (en) Pretreatment processes within a batch ALD reactor
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
US20020162506A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2006522225A (ja) 窒化ハフニウム堆積の方法
JP6193260B2 (ja) ニッケル含有膜堆積用ニッケルアリルアミジナート前駆体
TW201833128A (zh) 用於ald及cvd薄膜沉積之釕前驅物及其用法
EP4277913A1 (fr) Précurseurs de cycloheptatriène molybdène (0) pour le dépôt de films de molybdène
WO2015088901A1 (fr) Précurseurs de silacyclopropane substitués et leur utilisation pour le dépôt de films contenant du silicium
WO2022155076A1 (fr) Précurseurs de molybdène dinucléaires pour le dépôt de films contenant du molybdène
US11286564B2 (en) Tin-containing precursors and methods of depositing tin-containing films
US9328415B2 (en) Methods for the deposition of manganese-containing films using diazabutadiene-based precursors
US20200392624A1 (en) Methods and apparatus for depositing yttrium-containing films
US11289328B2 (en) Deposition and etch processes of chromium-containing thin films for semiconductor manufacturing
US9721787B2 (en) Film deposition using tantalum precursors
US11370669B2 (en) Amorphous silicon doped yttrium oxide films and methods of formation
KR20220087543A (ko) 저 저항률 금속 함유 필름들을 성장시키기 위한 방법들
CN117721436A (zh) 用于选择性地沉积过渡金属的方法和组件
EP4278026A1 (fr) Précurseurs de molybdène (vi) pour le dépôt de films de molybdène
WO2018129295A1 (fr) Dépôt de film mince de ruthénium très pur assisté par eau
KR20160062675A (ko) 신규 니켈-비스베타케토이미네이트 전구체 및 이를 이용한 니켈 함유 필름 증착방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19827162

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20217002921

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 19827162

Country of ref document: EP

Kind code of ref document: A1