WO2018125109A1 - Gravure soustractive de fiches - Google Patents

Gravure soustractive de fiches Download PDF

Info

Publication number
WO2018125109A1
WO2018125109A1 PCT/US2016/069083 US2016069083W WO2018125109A1 WO 2018125109 A1 WO2018125109 A1 WO 2018125109A1 US 2016069083 W US2016069083 W US 2016069083W WO 2018125109 A1 WO2018125109 A1 WO 2018125109A1
Authority
WO
WIPO (PCT)
Prior art keywords
interconnect
plug
layer
dielectric
dielectric material
Prior art date
Application number
PCT/US2016/069083
Other languages
English (en)
Inventor
Kevin Lin
Robert L. Bristol
Manish Chandhok
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to PCT/US2016/069083 priority Critical patent/WO2018125109A1/fr
Publication of WO2018125109A1 publication Critical patent/WO2018125109A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Definitions

  • This disclosure relates in general to the field of semiconductor processing, and more particularly, though not exclusively to, a system and method for a hardened plug for improved shorting margin.
  • FIG. 1 is a cutaway side view illustration of a semiconductor device according to one or more examples of the present specification.
  • FIGS. 2 - 7 are illustrations of various stages of a semiconductor fabrication process according to one or more examples of the present specification.
  • FIG. 8 is a flow chart of a semiconductor fabrication process according to one or more examples of the present specification.
  • FIG. 9 is a top view of via placement on a semiconductor device according to one or more examples of the present specification.
  • FIG. 10 is a top view of via placement on a semiconductor device according to one or more examples of the present specification .
  • FIG. 11 is a cutaway side view of a semiconductor device with a hardened plug according to one or more examples of the present specification .
  • FIG. 12 is a flow chart of a method according to one or more examples of the present specification.
  • FIGS. 13a and 13b are side views of the operations of the method of FIG. 12.
  • FIGS. 14a and 14b are cutaway views that disclose an alternative embodiment according to one or more examples of the present specification.
  • FIGS. 15 and 16 disclose an embodiment wherein alternating hard mask materials are applied according to one or more examples of the present specification.
  • FIGS. 17a and 17b are top views of a fabricated wafer according to one or more examples of the present specification.
  • FIG. 18 is a cutaway side view of a semiconductor device according to one or more examples of the present specification.
  • FIG. 19 is a cutaway side view of an integrated circuit according to one or more examples of the present specification.
  • FIG. 20 is a block diagram of an integrated circuit according to one or more examples of the present specification.
  • the present specification discloses a novel system and method for providing a hardened, etch-resistant plug to improve shorting margins in a semiconductor device.
  • VLSI very large scale integration
  • the breakdown voltage may be expressed in terms of volts per nanometer. For example, if a material has a breakdown voltage of approximately IV per nanometer, then with a potential difference of 5V between two nodes, the nodes need to be separated by at least 5 nm of dielectric material to avoid dielectric breakdown, which may lead to a short.
  • One challenge for semiconductor manufacturers is the placement of vias, or in other words conductive interconnects that vertically connect one layer of an IC to the layer beneath it.
  • One of the challenges with vias is that real-world manufacturing processes how a margin of uncertainty.
  • the nominal design of a via may place the via exactly over the endpoint of an interconnect trace line, thus leaving sufficient space between that via and another trace line, to ensure that electrical breakdown does not occur between the two conductive traces.
  • it is possible for that via to stray slightly from its nominal placement. If the via strays slightly down the interconnect, then in many cases no harm is done. But if the via strays even a nanometer or two into the dielectric material separating the 2 trace lines, then there is danger that the via may breach the designed breakdown margin, so that there is a shorting risk between the via and the opposing trace line.
  • this specification provides structures and methods to mitigate the risk of shorting in an IC that do not sacrifice density, or that sacrifice less density.
  • This includes placing an etch-resistant plug between the two trace lines before etching the via.
  • the etch-resistant plug may be made of material that will not be removed by the etching process, so that when the via is formed, even if the via etching strays slightly from its intended position, the hardened plug ensures that conductive metal is not deposited in the dielectric breakdown region.
  • Certain embodiments may require high aspect ratio deposition of a fillable material that has good etch characteristics.
  • Certain embodiments herein include patterning of a sacrificial hard mask (HM) material. If the etch profile is controlled properly in this operation, then an atomic layer deposition (ALD)-type film (such as AI203, Zr02, Hf02, or Ti02) may be used for the hardened plug.
  • ALD atomic layer deposition
  • a spin-on materials such as SiC or metal oxide can be considered.
  • Spin- on material deposition is a method of generating SiC (silicon-carbide) thin films by spin coating a solution of the precursor onto a wafer and applying cure treatments to convert it into a SiC.
  • the resulting material is a low-k dielectric with distinct etch properties that enables new patterning schemes, in which SiC is etched selective to other materials such as SiN (silicon nitride) and Si02 (silicon dioxide). In other embodiments, a metal oxide may also be used.
  • the cure conditions of a spin-on SiC may also be considered during design.
  • flowable SiCs can show etch selectivity at 650C under N2 cure, but shrinkage is about 44%, causing voids.
  • rapid thermal processing may be used with a reactive oxygen plasma, yielding shrinkage on the order of 14%, and resulting in less voiding and good etch selectivity.
  • a designer should choose the reactive species to introduce the proper chemistry.
  • Embodiments of the present specification include deposition of a hardened plug material after deposition and patterning of a sacrificial hard mask material. Once the sacrificial hard mask material is removed, the plug remains. Metal trace lines may then fill in recesses and be polished according to known methods. Optionally, one or more hard mask materials may then be deposited in recesses above the trace lines. Certain embodiments also disclose the use of two different plug materials within the same process and integrated circuit.
  • a "subtractive" plug etch rather than a hardened (i.e., etch-resistant plug), a non-hardened dielectric plug can be used. This plug may be of the same or of a different dielectric material from the ILD.
  • the subtractive plug etch process may use a cross-grating of hard masks that defines "buckets" or "cells" in a grid pattern. A first hard mask material is deposited forming a grating in a first direction, defining a series of "trenches.” A second hard mask material is then deposited in a substantially perpendicular direction, thus forming a grid with buckets or cells constrained in two directions.
  • Cells may be selected for patterning of dielectric plugs, and then cells above may be selected for patterning of a via.
  • vias may be offset from plugs, in which case, a "tone" reversal may be required (forming a "negative" of the grid in at least one direction) so that the offset vias can be formed.
  • the second hard mask is not coplanar with the first hard mask.
  • the "trenches" are constrained only in one dimension when plugs and vias are patterned.
  • a "high-k dielectric” refers to a material having a higher dielectric constant than silicon oxide.
  • a term “interconnect” is used to describe any element formed of an electrically conductive material for providing electrical connectivity to one or more components associated with an IC or/and between various such components.
  • the "interconnect” may refer to both trenches (also sometimes referred to as "lines") and vias.
  • a term “trench” is used to describe an electrically conductive element isolated by an interconnect support layer typically comprising an interlayer low-k dielectric that is provided within the plane of an IC chip. Such trenches are typically stacked into several levels.
  • the term “via” is used to describe an electrically conductive element that interconnects two or more trenches of different levels. To that end, vias are provided substantially perpendicularly to the plane of an IC chip. A via may interconnect two trenches in adjacent levels or two trenches in not adjacent levels.
  • a term “metallization stack” refers to a stack of one or more interconnects for providing connectivity to different circuit components of an IC chip.
  • FIG. 1 is a cross-sectional side view of a metallization stack 100 including an interconnect support layer 102 housing a plurality of electrically conductive interconnects 104, in accordance with various embodiments. Only one interconnect 104 is labeled with a reference numeral in FIG. 1 for ease of illustration, but eight are illustrated in FIG. 1. Although eight interconnects 104 are illustrated in FIG. 1, this is also simply for ease of illustration, and more, or less, than eight interconnects 104 may be provided on the interconnect support layer 102 according to various embodiments of the present disclosure. Furthermore, note that the metallization stack 100 shown in FIG. 1, as well as structures illustrated in FIGS. 2- 8A are intended to show relative arrangements of the components therein, and that various metallization stacks, or portions thereof, may include other components that are not illustrated (e.g., electrical contacts to the interconnects 104).
  • implementations of the disclosure may be formed or carried out on a substrate, such as a semiconductor substrate composed of semiconductor material systems including, for example, N-type or P-type materials systems.
  • the semiconductor substrate may be a crystalline substrate formed using a bulk silicon or a silicon-on-insulator substructure.
  • the semiconductor substrate may be formed using alternate materials, which may or may not be combined with silicon, that include but are not limited to germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, indium gallium arsenide, gallium antimonide, or other combinations of group III-V, group II-VI, or group IV materials.
  • the interconnect support layer 102 may include any such substrate, possibly with some layers and/or devices already formed thereon, that provides a suitable surface for providing the interconnects 104 on.
  • an etch stop layer 106 is shown to be disposed over the interconnect support layer 102, which layer may serve to prevent or minimize etching into the underlying interconnect support layer 102 during fabrication of the interconnects 104 or any further components associated with an integrated circuit.
  • presence of such a layer is entirely optional and embodiments of the present disclosure may be carried out on the interconnect support layer 102 within the etch stop layer 106 shown in FIGS. 1-8A.
  • interconnect support layer 102 may be provided on at least some portions of the interconnect support layer 102 prior to the deposition of the interconnects 104, such as e.g. an insulating layer, such as an oxide isolation layer.
  • interconnects 104 of the metallization stack may be provided over the interconnects 104 of the metallization stack.
  • One such material is a dielectric material, e.g. including one or more interlayer dielectrics (ILD) layers, that may be deposited over the and in between the interconnects 104 of the metallization stack 100.
  • the ILD layers may be formed using dielectric materials known for their applicability in integrated circuit structures, such as low-k dielectric materials.
  • dielectric materials examples include, but are not limited to, silicon dioxide (Si02), carbon doped oxide (CDO), silicon nitride, organic polymers such as perfluorocyclobutane or polytetrafluoroethylene, fluorosilicate glass (FSG), and organosilicates such as silsesquioxane, siloxane, or organosilicate glass.
  • the ILD layers may include pores or air gaps to further reduce their dielectric constant.
  • FIGS. 2-7 illustrate various example stages in the manufacture of a metallization stack including a plurality of electrically conductive interconnects, such as e.g. the metallization stack 100 with the interconnects 104, in accordance with various embodiments.
  • the particular manufacturing operations discussed below with reference to FIGs. 2-7 are illustrated as manufacturing a particular embodiment of the metallization stack 100, at least some of these operations and/or operations with minor modifications may be applied to manufacturing many different embodiments of the metallization stack 100, as discussed herein. Any of the elements discussed below with reference to FIGS. 2-7 may take the form of any of the embodiments of those elements discussed above or otherwise disclosed herein.
  • FIG. 2 illustrates a cross-sectional view of an assembly 202 including an interconnect support layer 102 and an etch stop layer 106 provided thereon. Discussions provided above with respect to the interconnect support layer 102 and the etch stop layer 106 are applicable here and, therefore, in the interests of brevity, are not repeated here.
  • FIG. 3 illustrates a cross-sectional view of an assembly 204 subsequent to providing a pattern of sacrificial elements 108 over the interconnect support layer 102 of viassembly 202 (FIG. 2). Only one sacrificial element 108 is labeled with a reference numeral in FIG. 3 for ease of illustration, but four are illustrated in FIG. 3. Although four sacrificial elements 108 are illustrated in FIG. 3, this is also simply for ease of illustration, and more, or less, than four sacrificial elements 108 may be provided on the interconnect support layer 102 according to various embodiments of the present disclosure.
  • the pattern of sacrificial elements 108 may be a plurality of parallel lines having a height (i.e. the dimension in the z-direction of an exemplary reference coordinate system shown in FIG. 3) between 5 and 800 nanometers, including all values and ranges therein, and a width (i.e. the dimension in the y-direction of the exemplary reference coordinate system shown in FIG. 3) between 5 and 300 nanometers, including all values and ranges therein.
  • any other suitable pattern may be used, selected/designed so that, in subsequent fabrication steps, the electrically conductive material deposited on the sidewalls (i.e. the faces of the elements 108 which are substantially perpendicular to the interconnect support layer 102) of the sacrificial elements 108 will form appropriately shaped and appropriately located interconnects.
  • aspect ratio i.e. a ratio of height to width
  • the sacrificial elements 108 may be spaced by any suitable spacing that would allow depositing electrically conductive material of the desired thickness on the sidewalls of the sacrificial elements 108 so that the electrically conductive material on adjacent sidewalls of two adjacent elements 108 is not touching one another.
  • the distance between different sacrificial elements 108 may be above 30 nanometers, e.g. above 50 nanometers.
  • the sacrificial elements 108 may be formed of a non-metallic material. Since the sacrificial elements 108 will need to later be etched to leave the electrically conductive material deposited on their sidewalls, e.g. using anisotropic etching, etching properties of potential candidate materials are to be considered when selecting a suitable material to be used as the sacrificial elements
  • etching properties of a potential candidate material for the sacrificial elements 108 should are to be considered in view of a potential candidate electrically conductive material for forming the interconnects as described herein.
  • the material for the sacrificial elements 108 and the electrically conductive material for the future interconnects 104 have sufficiently distinct etching properties so that etch of the sacrificial elements 108 will not affect, or will only have an adequately small effect, on the electrically conductive material (i .e. these two materials should have high etch selectivity with respect to one another).
  • some other considerations in selecting a suitable material for the sacrificial elements 108 may include e.g.
  • Examples of materials that could be used to form the sacrificial elements 108 include, but are not limited to, silicon dioxide (Si02), carbon doped oxide (CDO), silicon nitride, organic polymers such as perfluorocyclobutane, polytetrafluoroethylene or poly(methyl methacrylate) (PMMA), fluorosilicate glass (FSG), and organosilicates such as silsesquioxane, siloxane, or organosilicate glass.
  • the sacrificial elements 108 may be provided over the interconnect support layer 102 using e.g. chemical vapor deposition or/and plasma-enhanced chemical vapor deposition, in combination with patterning (either before or after the deposition of the material of the sacrificial elements 108), as typically done in conventional processing.
  • patterning may include any patterning technique employing photoresist or other masks defining the dimensions and location of the sacrificial elements 108 over the interconnect support layer 102.
  • patterning may include any mask-less patterning technique, such as e.g. electron beam (e-beam) patterning.
  • FIG. 4 illustrates a cross-sectional view of an assembly 206 subsequent to conformally depositing a layer 110 of electrically conductive material on the sidewalls and in openings between the sacrificial elements 108 of viassembly 204
  • Conformal deposition generally refers to deposition of a certain coating (in this case, the electrically conductive material that will form the interconnects 104) on any exposed surface of a given structure (in this case, the interconnect support layer with the sacrificial elements 108 of viassembly 204), including on the sidewalls and bottom of any opening formed in/on the structure.
  • a conformal coating may, therefore, be understood as a coating that is applied to exposed surfaces of a given structure, and not, for example, just to horizontal surfaces.
  • the coating may exhibit a variation in thickness of less than 35%, including all values and ranges from 1% to 35%, such as 10% or less, 15% or less, 20% of less, 25% or less, etc.
  • the conformal coating process may be selected from processes such as e.g. chemical vapor deposition (CVD) or atomic layer deposition (ALD).
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • a thickness of the layer 110 in particular the thickness of the layer 110 on the sidewalls of the sacrificial elements 108 (i.e. the dimension in the y-direction of an exemplary reference coordinate system shown in FIG. 3), may take on any suitable values so that, in subsequent fabrication steps, the electrically conductive material deposited on the sidewalls of the sacrificial elements 108 will form interconnects 104 of appropriate dimensions, the latter described in greater detail below.
  • an annealing process may be carried out on the layer 110 after its deposition to improve the quality of the electrically conductive material for the future interconnects 104.
  • the electrically conductive material of the layer 110 may include one or more of aluminum, copper, tungsten, cobalt, ruthenium, nickel, iron, and molybdenum, and/or one or more alloys comprising aluminum, copper, tungsten, cobalt, ruthenium, manganese, magnesium, boron, phosphorus, nitrogen, carbon, and sulfur.
  • FIG. 5 illustrates a cross-sectional view of an assembly 208 subsequent to anisotropic etch of the layer 110 of the electrically conductive material deposited on the sidewalls and in the openings between the sacrificial elements 108 in viassembly 206 (FIG. 4).
  • Any suitable anisotropic etching technique i.e. etching uniformly in vertical direction
  • Dry etching techniques such as e.g.
  • the layer 110 made of metallic aluminum (Al) can be readily and anisotropically etched with chlorine (CI) plasma by forming volatile AI2CI6, where volatile AI2CI6 is removed, resulting in patterned Al that remains only on the sidewalls, and possibly on top of, the sacrificial elements 108.
  • CI chlorine
  • a vertical anisotropic etch of the electrically conductive material of the layer 110 may be performed so that a portion of the electrically conductive material on the sidewalls of the sacrificial elements 108 is removed as well, which may advantageously decrease surface roughness of the electrically conductive material on the sidewalls of the sacrificial elements 108.
  • FIGS. 4 and 5 illustrate one exemplary embodiment for depositing an electrically conductive material on the sidewalls of the sacrificial elements 108.
  • other techniques may be used. For example, instead of a conformal deposition process shown in FIG. 4, other processes may be used that would deposit the electrically conductive material directly only, or substantially only, on the sidewalls of the sacrificial elements 108. Such alternative processed may e.g.
  • PVD physical vapor deposition
  • planarization of viassembly 208 may be subsequently performed, in order to expose the material of the sacrificial elements 108 for the subsequent etching of this material.
  • Planarization may be performed using either wet or dry planarization processes.
  • planarization is performed using chemical mechanical planarization (CMP), which may be understood as a process that utilizes a polishing surface, an abrasive and a slurry to remove the overburden of the electrically conductive material which may cover upper surfaces of the sacrificial elements 108 to expose such surfaces for the subsequent etch.
  • CMP chemical mechanical planarization
  • FIG. 6 illustrates a cross-sectional view of an assembly 210 subsequent to the removal of the sacrificial elements 108 from between the electrically conductive material deposited on the sidewalls of the sacrificial elements 108 in viassembly 208 (FIG. 5).
  • a removal may include anisotropic etch to vertically etch away the material of the sacrificial elements 108.
  • Etchants used in this etch would be different from those described above for etching the electrically conductive material, because this time, preferably, the electrically conductive material would not be etched.
  • Any substance suitable for anisotropically etching the sacrificial elements 108 may be used in forming viassembly 210.
  • viassembly 210 is substantially the same as the metallization stack 100 shown in FIG. 1.
  • an average width of each interconnect 104 may be between 5 and 30 nanometers, while an average height of each interconnect may be between 5 and 800 nanometers.
  • FIG. 7 illustrates a cross-sectional view of an assembly 212 subsequent to filling the spaces between the interconnects 104 of viassembly 210 (FIG. 6) with a suitable dielectric material 112, such as e.g. any of the ILD materials described herein.
  • the dielectric material 112 may be provided into the spaces between the interconnects 104 using e.g. CVD and/or plasma-enhanced CVD, as typically done in conventional processing.
  • the dielectric material 112 may include a dielectric material formed in the spaces between the interconnects 104 using coating techniques involving cross-linking of liquid precursors into solid dielectric materials.
  • some or all of the surfaces of the interconnects 104 of viassembly 210 may be cleaned or treated prior to applying the dielectric 112, e.g. to reduce surface contamination, minimize interface traps, promote adhesion, and/or decrease interdiffusion of materials.
  • the surfaces of the interconnects 104 may be cleaned using chemical or plasma clean, or applying heat in a controlled environment.
  • an "interface layer” may be applied between on the interconnects 104 of viassembly 210, in particular on the sidewalls of the interconnects 104, to prevent, decrease, or minimize spontaneous and uncontrolled formation of other interfacial layers.
  • an adhesion promoter or adhesion layer may be applied prior to application of the dielectric 112, in order to promote adhesion between the electrically conductive material of the interconnects 104 and the material(s) of the dielectric 112 filling the space between the interconnects 104.
  • Example materials which could be used to form an adhesion layer between the electrically conductive material of the interconnects and the dielectric 112 include, but are not limited to molecular species such as self-assembled monolayers (SAMs). These molecules typically include a head group, an alkane chain, and an end group. The head group could be a thiol or nitrile which forms a bond with the conductive material.
  • a diffusion barrier layer may be conformally deposited, using any suitable conformal deposition techniques as described herein, on the sidewalls of at least some, preferably all, of the interconnects 104, for preventing diffusion of the electrically conductive material of the interconnects 104 out of these elements and into the surrounding dielectric material 112.
  • a diffusion barrier layer may be electrically conductive, semiconducting, or dielectric.
  • Examples of materials that could be used for the diffusion barrier layer include, but are not limited to, one or more of tantalum (Ta), tantalum nitride (TaN), titanium (Ti), titanium nitride (TiN), ruthenium (Ru), cobalt (Co), silicon nitride (SiN), silicon carbide (SiC), silicon dioxide (Si02), aluminum oxide (AI203), and the like.
  • the method may further include providing a barrier-dielectric adhesion layer on sidewalls of at least some of the interconnects 104 covered with a diffusion barrier layer, in order to promote adhesion between the material of the diffusion barrier layer and the material(s) of the dielectric 112 that will fill the space between the interconnects 104.
  • Example materials which could be used to form a barrier-dielectric adhesion layer include, but are not limited to, tantalum, titanium, titanium nitride, tantalum nitride, tungsten nitride, molybdenum nitride, and the like.
  • planarization may be performed again, e.g. using any of the planarization techniques described above, to expose the upper surfaces of the interconnects 104 so that the interconnects 104 may be electrically connected to further circuit elements (not specifically shown in FIG. 7).
  • fabricating the interconnects 104 by depositing the electrically conductive material of the interconnects 104, typically a metal, in a spacer-like fashion (i.e. as a "spacer" around the sacrificial elements 108), followed by the removal of the sacrificial elements 108, as described above, justifies the name "subtractive metal spacer based deposition” given to the methods of fabricating interconnects described herein.
  • Implementing these methods may allow realizing several advantages, in particular when compared to conventional methods of providing interconnects by, first, depositing a layer of metal, and then patterning the metal to form interconnects of desired shapes and in desired patterns.
  • One advantage is that the methods described herein do not obscure alignment and metrology marks in the frame of the die during deposition of interconnects.
  • a width of an individual interconnect i.e. the dimension in the y-direction of the exemplary reference system shown in the FIGS.
  • a width of an individual interconnect may vary by less than 10 percent, preferably less than 5%, e.g. less than 3 or 2%, of an average width of the interconnect along the height of the interconnect.
  • Having a width of an interconnect varying by less than a certain, relatively small, amount compared to the average width along the height of the interconnect indicates that the sidewalls of the interconnect have relatively low surface roughness.
  • Such relatively slow surface roughness may be advantageously achieved using the methods of forming electrically conductive interconnects as described herein and was not possible to achieve using prior art fabrication techniques.
  • Metallization stacks as descried herein may be particularly advantageous when used in the metal layers of a microprocessor device for analog circuitry, logic circuitry, or memory circuitry, and may be formed along with existing complementary metal oxide semiconductor (CMOS) processes.
  • CMOS complementary metal oxide semiconductor
  • FIG. 8 is a flow diagram of an example method 800 of manufacturing a metallization stack (e.g. the metallization stack 100 including a plurality of electrically conductive interconnects 104), summarizing the subtractive metal spacer based deposition described herein, in accordance with various embodiments.
  • a metallization stack e.g. the metallization stack 100 including a plurality of electrically conductive interconnects 104
  • the operations of the method 800 are illustrated once each and in a particular order, the operations may be performed in any suitable order and repeated as desired. For example, one or more operations may be performed in parallel to manufacture multiple patterns of interconnects substantially simultaneously. In another example, planarization operations may be performed in a different order, as needed.
  • an interconnect support layer may be provided.
  • the interconnect support layer provided at 802 may take the form of any of the embodiments of the interconnect support layer 102 disclosed herein, for example (e.g., any of the embodiments discussed herein with reference to the metallization stack 100 or any of viassemblies shown in FIGS. 2-7).
  • a pattern of sacrificial non-metal elements may be provided.
  • the sacrificial non-metal elements provided at 804 may take the form of, and be provided according to, any of the embodiments of the sacrificial elements 108 disclosed herein, for example.
  • the sacrificial elements may be provided at 804 so as to be in contact with the interconnect support layer of 802.
  • an intermediate material may be disposed between the interconnect support layer and the sacrificial elements, e.g. an etch stop layer or an insulating layer.
  • electrically conductive material e.g. a metal
  • the electrically conductive material provided at 806 on the sidewalls of the sacrificial elements may take the form of, and be provided according to, any of the embodiments disclosed herein.
  • the sacrificial elements may be removed from between the electrically conductive material provided on their sidewalls. Removal of the sacrificial elements at 808 may be performed according to any of the embodiments disclosed herein.
  • a diffusion barrier liner may be deposited over the electrically conductive elements remaining after the removal of the sacrificial elements at 808.
  • the diffusion barrier liner provided at 810 may take the form of, and be provided according to, any of the embodiments of the diffusion barrier liner disclosed herein.
  • a dielectric material e.g. an ILD
  • the dielectric material may, optionally, be planarized to expose the upper surfaces of the electrically conductive elements from the surrounding dielectric.
  • the dielectric material provided at 812, as well as the planarization thereof, may take the form of, and be provided and/or planarized according to, any of the embodiments of the dielectric material 112 disclosed herein.
  • the method 800 may further include other manufacturing operations related to fabrication of other components of a metallization stack 100 or any devices that include such a stack.
  • the method 800 may various cleaning operations, and/or operations for incorporating the metallization stack 100 in, or with, an IC component.
  • FIG. 9 is a top view illustration of selected elements of an integrated circuit 900 according to one or more examples of the present specification.
  • integrated circuit 900 is built on a substrate 902, which could also be an interlayer dielectric (ILD) as disclosed herein.
  • ILD interlayer dielectric
  • Disposed on a substrate 902 are a plurality of conductive metal lines 904, which may be the metallic trace lines of various interconnects on the circuit.
  • trace lines 904-1 and 904-2 there is a safe gap defined.
  • the safe gap is selected to provide sufficient dielectric separation between trace line 904-1 and trace line 904-2 that an operational voltage of the circuit will not cause a short between the two trace lines by causing a dielectric breakdown.
  • This distance may also be selected to ensure that not only will an instantaneous breakdown not occur, but over the designed lifetime of the IC, dielectric breakdown is reasonably calculated to not occur. This may be a key reliability factor that can affect the reputation of the manufacturer.
  • a via 906 is also to be placed, for example connecting interconnect trace line 904-2 to traces above or below the layer of substrate 902.
  • FIG. 9 illustrates a nominal placement of via 906, in which via 906 is placed slightly within trace line 904-2. As long as via 906 stays within trace line 904- 2, the safe gap is maintained, and there is no shorting between the two trace lines.
  • figure 9 also illustrates a misaligned via 906-2, which strays slightly over the end of trace line 904-2.
  • the via may stray only a few nanometers, but that may be sufficient to create a shorting risk because a safe gap is not been maintained between trace line 904-1 and via 906-2.
  • a short may develop between the two, causing the integrated circuit to fail.
  • this short may develop immediately, such as in response to a normal operating voltage of the circuit, or the short may develop over time as the too-small dielectric is stressed by voltage, thus creating a long-term reliability issue.
  • the shorting risk is not only an immediate or one-time risk, but may also affect the longevity of the integrated circuit.
  • FIG. 10 illustrates a method of maintaining a safe gap by using "loose" interconnect placement.
  • interconnect 904-2 is designed to be slightly longer than in FIG. 9, so that the end of interconnect 904-2 extends a few nanometers outside of the nominal placement of via 906-1. A safe gap is still maintained between interconnect 904-2 and 904-1.
  • the benefit of this can be seen in the case of misaligned via 906-2, which has strayed a few nanometers to the left from its intended placement. However, because some additional safety margin has been built in to the length of interconnect 904-2, a safe gap is still maintained. Thus, the integrity and reliability of the integrated circuit is maintained both instantaneously and over time.
  • FIG. 11 illustrates a structure and method of placement of a hardened plug that preserves the safety margin without loss of chip density, or with less loss of chip density.
  • a via 906 is shown etched through a hard mask 1104, down to a trace line 904.
  • via 906 has been misaligned slightly to the left as in the previous two figures.
  • via 906 is a few nanometers beyond the edge of trace line 904-2, and if via 906 is permitted to extend all the way down to the level of interconnect 904-1, there is a shorting risk.
  • plug 1106 may be constructed of an etch-resistant material so that when a conduit is etched for via 906, hardened plug 1106 is not etched away. When via 906 is filled with conductive metal, contact is still made with trace line 904-2 as intended. However, hardened plug 1106 maintains a sufficient dielectric separation between trace line 904-2 and 904-1, so that there is less danger of dielectric breakdown.
  • FIGURE 12 is a flow chart of a method 1200 of manufacturing an integrated circuit, including one or more hardened plugs, according to one or more examples of the present specification. It should be noted that many of the operations of FIG. 1200 have corresponding operations in FIG. 800, and for purposes of brevity and clarity, those operations may be described in less detail in connection with FIG.
  • FIGS. 13a and 13b include corresponding illustrations of the various operations of method 1200, and is labeled appropriately.
  • the illustrations in FIG. 13a and 13b that correspond to the blocks in FIG. 12 illustrate two cutaway side views: one perpendicular to the metal, and below that, a view rotated 90° illustrating a view parallel to the metal.
  • certain elements in FIGS. 13a and 13b are labeled to provide points of reference. These illustrations should not be construed to either require or exclude correlation with operations disclosed in FIGS. 2 - 8, and the corresponding description therein.
  • FIGURE 12 It should also be noted that the operations disclosed in FIGURE 12 and elsewhere are shown in a particular order to facilitate discussion and illustrate one embodiment. This order of operations should not be construed to be required or limiting. Those with skill in the art will recognize that certain operations can be performed in a different order.
  • the existing "Damascene” process may be used, including lithography, etching, metallization, and polishing.
  • Damascene also “dual Damascene” is an existing process that is particularly useful for manufacturing modern integrated circuits with copper interconnects, which are in many instances superior to the aluminum interconnects that were popular through the 1990s.
  • the result of this process is the structure shown at 1202, including metallic interconnects 1304 defined within an ILD 1102, which is capped with hard mask 1302.
  • metal recesses may be formed by selectively etching away a portion of metallic interconnects 1304, approximately down to the level of hard mask 1302. This defines a grating in a first direction (i.e., parallel to the wells now formed between hard mask 1302). A dotted line illustrates material that may be "behind” (i.e., not on the plane of) the cutaway view. Hard mask 1302 is now “standing up” above metallic interconnects 1304.
  • a second hard mask 1308 may be deposited, thus forming a cross grating patterned perpendicular to the grating defined by hard mask 1302.
  • Hard masks 1302 and 1308 together define a "grid” across the surface of the integrated circuit, with “buckets” or “cells” that can be selectively etched or operated on.
  • one or more plugs e.g., 1106) are lithographically patterned in the grating. Specifically, this may employ a "photo bucket” process.
  • Photoresist material 1312 is disposed over certain of the "wells" defined by the grid of the cross- grating (as defined by the hard masks). The photoresist material prevents etching of material beneath it. However, there are certain wells with no photoresist. These are open for etching, and may be used to define one or more patterns for a dielectric plug 1106.
  • subtractive plug etching is performed by etching the exposed portion of metallic interconnects 1304. This forms one or more plug wells 1316, which are voids that are prepared to receive additional material.
  • plug wells 1316 are filled with a dielectric material, which may be a hardened or unhardened dielectric material.
  • the dielectric may be the same or similar to the material of ILD 1102, or some other dielectric.
  • the dielectric may optionally be hardened (e.g., SiC or a metal oxide that is etch resistant), this need not be the case.
  • the dielectric material forms a dielectric plug 1106. In certain embodiments, these dielectric plugs may also be recessed below the hard mask layer.
  • a "tone reversal" may be applied at this point.
  • plugs 1106 and vias 906 may be directly aligned, in which case, tone reversal may not be necessary.
  • plugs 1106 and vias 906 may be offset by one grid square, in which case tone reversal may be desirable.
  • the apertures between grating 1308, for example may be filled with yet another hard mask material, and then hard mask material 1308 is etched away. This creates a "negative" of the previous grid pattern.
  • lithographic patterning of vias is performed.
  • a photoresist 1312 may be applied to areas to be etched for a via.
  • tone reversal as described above, may be applied to fill in the remaining cells with a hard mask, and then the photoresist 1312 may be etched away. This leaves the remaining interconnects covered with a hard mask, and a well 1320 is defined for via 906. Well 1320 may then be filled with a conductive metal, thus forming via 906.
  • FIGS. 14a and 14b represent an alternative embodiment of method 1200. This alternative may be used in addition to, instead of, or in conjunction with method 1200.
  • the cross grating formed by the second hard mask material is not coplanar with the first.
  • method 1200 operates on “buckets” or “cells” that are confined in two-dimensions
  • the cross grating of FIGS. 14a and 14b may confine operations in only one direction, thus providing linear "trenches.”
  • Damascene lithography may be performed, as in block 1202.
  • a first hard mask 1302 is applied to provide a one-directional grating .
  • the metal is not recessed as in 1204. Rather, the cross grating hard mask 1308 is applied above hard mask 1302.
  • plugs are lithographically patterned as before. But note that in this case, the "photo bucket" confinement is in only one direction, so that the dimensions of the plug are not constrained in the other direction .
  • wells for dielectric plugs 1106 are patterned as before, again with the difference that the wells are constrained only in one direction .
  • dielectric plugs 1106 As 1410, as before the plug wells are filled with a hardened or unhardened dielectric material to form dielectric plugs 1106.
  • a via is patterned, here by application of a photoresist material 1312 to the location of the via .
  • the photoresist material is constrained only in one dimension .
  • the cross-grating hard mask 1308 is removed, leaving only the original grating 1302.
  • the metallic interconnects 1304 may also be recessed where no vias are to be formed.
  • the metal where vias are to be formed is not recessed .
  • the remaining photoresist 1312 may be removed, and the recesses may be filled with a dielectric material, which may be a third dielectric (in addition to ILD 1102 and the plug dielectric material), or which may be the same dielectric as one or both of those.
  • the wafer may be polished, such as with a chemical mechanical polish .
  • different hard masks may be alternated, thus allowing parts of the circuit to be selectively etched. This is illustrated in FIGS. 15 and 16, which show respectively a cutaway side view of such a circuit, perpendicular to the metal, and a second cutaway side view, parallel to the metal and rotated 90°. In this case, a first hard mask 1502 is applied to certain portions, while alternating portions have second hard mask 1504.
  • FIGS. 17A and 17B are top views of a wafer 1700 and dies 1702 that may include one or more metallization stacks in accordance with any of the embodiments disclosed herein.
  • the wafer 1700 may be composed of semiconductor material and may include one or more dies 1702 having IC structures formed on a surface of the wafer 1700.
  • Each of the dies 1702 may be a repeating unit of a semiconductor product that includes any suitable IC (e.g., ICs including one or more components that include one or more metallization stacks 100).
  • the wafer 1700 may undergo a singulation process in which each of the dies 1702 is separated from one another to provide discrete "chips" of the semiconductor product.
  • devices that include a metallization stack as disclosed herein may take the form of the wafer 1700 (e.g., not singulated) or the form of the die 1702 (e.g., singulated).
  • the die 1702 may include one or more transistors (e.g., one or more of the transistors 1840 of FIG.
  • the wafer 1700 or the die 1702 may include a memory device (e.g., a static random access memory (SRAM) device), a logic device (e.g., an AND, OR, NAND, or NOR gate), or any other suitable circuit element. Multiple ones of these devices may be combined on a single die 1702. For example, a memory array formed by multiple memory devices may be formed on a same die 1702 as a processing device (e.g., processor 2002 of FIG. 20) or other logic that is configured to store information in the memory devices or execute instructions stored in the memory array.
  • a memory device e.g., a static random access memory (SRAM) device
  • a logic device e.g., an AND, OR, NAND, or NOR gate
  • FIG. 18 is a cross-sectional side view of an IC device 1800 that may include one or more metallization stacks in accordance with any of the embodiments disclosed herein.
  • the IC device 1800 may be formed on a substrate 1802 (e.g., the wafer 1700 of FIG. 17A) and may be included in a die (e.g., the die 1702 of FIG. 17B) .
  • the substrate 1802 may be any substrate as described herein .
  • the substrate 1802 may be part of a singulated die (e.g ., the dies 1702 of FIG. 17B) or a wafer (e.g., the wafer 1700 of FIG. 17A) .
  • the IC device 1800 may include one or more device layers 1804 disposed on the substrate 1802.
  • the device layer 1804 may include features of one or more transistors 1840 (e.g., metal oxide semiconductor field-effect transistors (MOSFETs)) formed on the substrate 1802.
  • the device layer 1804 may include, for example, one or more source and/or drain (S/D) regions 1820, a gate 1822 to control current flow in the transistors 1840 between the S/D regions 1820, and one or more S/D contacts 1824 to route electrical signals to/from the S/D regions 1820.
  • the transistors 1840 may include additional features not depicted for the sake of clarity, such as device isolation regions, gate contacts, and the like.
  • the transistors 1840 are not limited to the type and configuration depicted in FIG. 18 and may include a wide variety of other types and configurations such as, for example, planar transistors, non-planar transistors, or a combination of both .
  • Non-planar transistors may include Fin FET transistors, such as double-gate transistors or tri-gate transistors, and wrap-around or all-around gate transistors, such as nanoribbon and nanowire transistors.
  • Each transistor 1840 may include a gate 1822 formed of at least two layers, a gate electrode layer and a gate dielectric layer.
  • the gate electrode layer may be formed on the gate interconnect support layer and may consist of at least one P-type workfunction metal or N-type workfunction metal, depending on whether the transistor is to be a PMOS or an N MOS transistor, respectively.
  • the gate electrode layer may consist of a stack of two or more metal layers, where one or more metal layers are workfunction metal layers and at least one metal layer is a fill metal layer. Further metal layers may be included for other purposes, such as a barrier layer or/and an adhesion layer.
  • metals that may be used for the gate electrode include, but are not limited to, ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, e.g ., ruthenium oxide.
  • a P-type metal layer will enable the formation of a PMOS gate electrode with a workfunction that is between about 4.9 electron Volts (eV) and about 5.2 eV.
  • metals that may be used for the gate electrode include, but are not limited to, hafnium, zirconium, titanium, tantalum, aluminum, alloys of these metals, and carbides of these metals such as hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide.
  • An N-type metal layer will enable the formation of an N MOS gate electrode with a workfunction that is between about 3.9 eV and about 4.2 eV.
  • the gate electrode when viewed as a cross section of the transistor 1840 along the source-channel-drain direction, may be formed as a U-shaped structure that includes a bottom portion substantially parallel to the surface of the substrate and two sidewall portions that are substantially perpendicular to the top surface of the substrate.
  • at least one of the metal layers that form the gate electrode may simply be a planar layer that is substantially parallel to the top surface of the substrate and does not include sidewall portions substantially perpendicular to the top surface of the substrate.
  • the gate electrode may be implemented as a combination of U-shaped structures and planar, non-U-shaped structures.
  • the gate electrode may be implemented as one or more U-shaped metal layers formed atop one or more planar, non-U-shaped layers.
  • the gate electrode may consist of a V-shaped structure (e.g., when a fin of a finFET transistor does not have a "flat" upper surface, but instead has a rounded peak).
  • the gate dielectric layer of a transistor 1840 may include one layer or a stack of layers, and the one or more layers may include silicon oxide, silicon dioxide, and/or a high-k dielectric material .
  • the high-k dielectric material included in the gate dielectric layer of the transistor 1840 may include elements such as hafnium, silicon, oxygen, titanium, tantalum, lanthanum, aluminum, zirconium, barium, strontium, yttrium, lead, scandium, niobium, and zinc.
  • high-k materials that may be used in the gate dielectric layer include, but are not limited to, hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate.
  • an annealing process may be carried out on the gate dielectric layer to improve its quality when a high-k material is used.
  • the S/D regions 1820 may be formed within the substrate 1802 adjacent to the gate 1822 of each transistor 1840, using any suitable processes known in the art.
  • the S/D regions 1820 may be formed using either an implantation/diffusion process or a deposition process.
  • dopants such as boron, aluminum, antimony, phosphorous, or arsenic may be ion- implanted into the substrate 1802 to form the S/D regions 1820.
  • An annealing process that activates the dopants and causes them to diffuse farther into the substrate 1802 may follow the ion implantation process.
  • an epitaxial deposition process may provide material that is used to fabricate the S/D regions 1820.
  • the S/D regions 1820 may be fabricated using a silicon alloy such as silicon germanium or silicon carbide.
  • the epitaxially deposited silicon alloy may be doped in situ with dopants such as boron, arsenic, or phosphorous.
  • the S/D regions 1820 may be formed using one or more alternate semiconductor materials such as germanium or a group III-V material or alloy.
  • one or more layers of metal and/or metal alloys may be used to form the S/D regions 1820.
  • an etch process may be performed before the epitaxial deposition to create recesses in the substrate 1802 in which the material for the S/D regions 1820 is deposited.
  • Electrical signals such as power and/or input/output (I/O) signals, may be routed to and/or from the transistors 1840 of the device layer 1804 through one or more interconnect layers disposed on the device layer 1804 (illustrated in FIG. 18 as interconnect layers 1806- 1810) .
  • interconnect layers 1806- 1810 electrically conductive features of the device layer 1804 (e.g., the gate 1822 and the S/D contacts 1824) may be electrically coupled with the interconnect structures 1828 of the interconnect layers 1806- 1810.
  • the one or more interconnect layers 1806-2010 may form an interlayer dielectric (ILD) stack 1819 of the IC device 1800.
  • ILD interlayer dielectric
  • One or more of the interconnect layers 1806- 1810 may take the form of any of the embodiments of the metallization stacks disclosed herein, for example any of the embodiments discussed herein with reference to the metallization stack 100 or any of viassemblies shown in FIGS . 2-8.
  • the interconnect structures 1828 may be arranged within the interconnect layers 1806-2010 to route electrical signals according to a wide variety of designs (in particular, the arrangement is not limited to the particular configuration of interconnect structures 1828 depicted in FIG. 19) . Although a particular number of interconnect layers 1806-2010 is depicted in FIG. 19, embodiments of the present disclosure include IC devices having more or fewer interconnect layers than depicted.
  • the interconnect structures 1828 may include trench structures 1828a (sometimes referred to as "lines") and/or via structures 1828b (sometimes referred to as "holes") filled with an electrically conductive material such as a metal .
  • the trench structures 1828a may be arranged to route electrical signals in a direction of a plane that is substantially parallel with a surface of the substrate 1802 upon which the device layer 1804 is formed .
  • the trench structures 1828a may route electrical signals in a direction in and out of the page from the perspective of FIG. 18.
  • the via structures 1828b may be arranged to route electrical signals in a direction of a plane that is substantially perpendicular to the surface of the substrate 1802 upon which the device layer 1804 is formed.
  • the via structures 1828b may electrically couple trench structures 1828a of different interconnect layers 1806-2010 together.
  • the interconnect layers 1806- 1810 may include a dielectric material 1826 disposed between the interconnect structures 1828, as shown in FIG. 18.
  • the dielectric material 1826 may take the form of any of the embodiments of the dielectric material provided between the interconnects of the metallization stacks disclosed herein, for example any of the embodiments discussed herein with reference to the dielectric material 112, the metallization stack 100 or any of viassemblies shown in FIGS. 2-8.
  • the dielectric material 1826 disposed between the interconnect structures 1828 in different ones of the interconnect layers 1806- 1810 may have different compositions. In other embodiments, the composition of the dielectric material 1826 between different interconnect layers 1806- 1810 may be the same.
  • a first interconnect layer 1806 (referred to as Metal 1 or "M l”) may be formed directly on the device layer 1804.
  • the first interconnect layer 1806 may include trench structures 1828a and/or via structures 1828b, as shown.
  • the trench structures 1828a of the first interconnect layer 1806 may be coupled with contacts (e.g., the S/D contacts 1824) of the device layer 1804.
  • a second interconnect layer 1808 (referred to as Metal 2 or "M2") may be formed directly on the first interconnect layer 1806.
  • the second interconnect layer 1808 may include via structures 1828b to couple the trench structures 1828a of the second interconnect layer 1808 with the trench structures 1828a of the first interconnect layer 1806.
  • the trench structures 1828a and the via structures 1828b are structurally delineated with a line within each interconnect layer (e.g ., within the second interconnect layer 1808) for the sake of clarity, the trench structures 1828a and the via structures 1828b may be structurally and/or materially contiguous (e.g., simultaneously filled during a dual-damascene process) in some embodiments.
  • a third interconnect layer 1810 (referred to as Metal 3 or "M3") (and additional interconnect layers, as desired) may be formed in succession on the second interconnect layer 1808 according to similar techniques and configurations described in connection with the second interconnect layer 1808 or the first interconnect layer 1806.
  • M3 Metal 3
  • the IC device 1800 may include a solder resist material 1834 (e.g ., polyimide or similar material) and one or more bond pads 1836 formed on the interconnect layers 1806- 1810.
  • the bond pads 1836 may be electrically coupled with the interconnect structures 1828 and configured to route the electrical signals of the transistor(s) 1840 to other external devices.
  • solder bonds may be formed on the one or more bond pads 1836 to mechanically and/or electrically couple a chip including the IC device 1800 with another component (e.g., a circuit board) .
  • the IC device 1800 may have other alternative configurations to route the electrical signals from the interconnect layers 1806- 1810 than depicted in other embodiments.
  • the bond pads 1836 may be replaced by or may further include other analogous features (e.g ., posts) that route the electrical signals to external components.
  • FIG. 19 is a cross-sectional side view of an IC device assembly 1900 that may include components having or being associated with (e.g. being electrically connected by means of) one or more metallization stacks in accordance with any of the embodiments disclosed herein .
  • the IC device assembly 1900 includes a number of components disposed on a circuit board 1902 (which may be, e.g ., a motherboard) .
  • the IC device assembly 1900 includes components disposed on a first face 1940 of the circuit board 1902 and an opposing second face 1942 of the circuit board 1902; generally, components may be disposed on one or both faces 1940 and 1942.
  • any suitable ones of the components of the IC device assembly 1900 may include any of the metallization stacks 100 disclosed herein .
  • the circuit board 1902 may be a printed circuit board (PCB) including multiple metal layers separated from one another by layers of dielectric material and interconnected by electrically conductive vias. Any one or more of the metal layers may be formed in a desired circuit pattern to route electrical signals (optionally in conjunction with other metal layers) between the components coupled to the circuit board 1902.
  • the circuit board 1902 may be a non-PCB substrate.
  • the IC device assembly 1900 illustrated in FIG. 19 includes a package- on-interposer structure 1936 coupled to the first face 1940 of the circuit board 1902 by coupling components 1916.
  • the coupling components 1916 may electrically and mechanically couple the package-on-interposer structure 1936 to the circuit board 1902, and may include solder balls (as shown in FIG. 19), male and female portions of a socket, an adhesive, an underfill material, and/or any other suitable electrical and/or mechanical coupling structure.
  • the package-on-interposer structure 1936 may include an IC package 1920 coupled to an interposer 1904 by coupling components 1918.
  • the coupling components 1918 may take any suitable form for the application, such as the forms discussed above with reference to the coupling components 1916.
  • a single IC package 1920 is shown in FIG. 19, multiple IC packages may be coupled to the interposer 1904; indeed, additional interposers may be coupled to the interposer 1904.
  • the interposer 1904 may provide an intervening substrate used to bridge the circuit board 1902 and the IC package 1920.
  • the IC package 1920 may be or include, for example, a die (the die 1702 of FIG. 17B), an IC device (e.g., the IC device 1800 of FIG. 18), or any other suitable component.
  • the interposer 1904 may spread a connection to a wider pitch or reroute a connection to a different connection.
  • the interposer 1904 may couple the IC package 1920 (e.g., a die) to a ball grid array (BGA) of the coupling components 1916 for coupling to the circuit board 1902.
  • BGA ball grid array
  • the IC package 1920 and the circuit board 1902 are attached to opposing sides of the interposer 1904; in other embodiments, the IC package 1920 and the circuit board 1902 may be attached to a same side of the interposer 1904.
  • three or more components may be interconnected by way of the interposer 1904.
  • the interposer 1904 may be formed of an epoxy resin, a fiberglass- reinforced epoxy resin, a ceramic material, or a polymer material such as polyimide. In some implementations, the interposer 1904 may be formed of alternate rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as silicon, germanium, and other group III-V and group IV materials.
  • the interposer 1904 may include metal interconnects 1908 and vias 1910, including but not limited to through-silicon vias (TSVs) 1906.
  • TSVs through-silicon vias
  • the interposer 1904 may further include embedded devices 1914, including both passive and active devices.
  • Such devices may include, but are not lim ited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, electrostatic discharge (ESD) devices, and memory devices. More complex devices such as radio-frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and microelectromechanical systems (MEMS) devices may also be formed on the interposer 1904.
  • RF radio-frequency
  • MEMS microelectromechanical systems
  • the package-on-interposer structure 1936 may take the form of any of the package-on-interposer structures known in the art.
  • the IC device assembly 1900 may include an IC package 1924 coupled to the first face 1940 of the circuit board 1902 by coupling components 1922.
  • the coupling components 1922 may take the form of any of the embodiments discussed above with reference to the coupling components 1916
  • the IC package 1924 may take the form of any of the embodiments discussed above with reference to the IC package 1920.
  • the IC device assembly 1900 illustrated in FIG. 19 includes a package- on-package structure 1934 coupled to the second face 1942 of the circuit board 1902 by coupling components 1928.
  • the package-on-package structure 1934 may include an IC package 1926 and an IC package 1932 coupled together by coupling components 1930 such that the IC package 1926 is disposed between the circuit board 1902 and the IC package 1932.
  • the coupling components 1928 and 1930 may take the form of any of the embodiments of the coupling components 1916 discussed above, and the IC packages 1926 and 1932 may take the form of any of the embodiments of the IC package 1920 discussed above.
  • the package-on-package structure 1934 may be configured in accordance with any of the package-on-package structures known in the art.
  • FIG. 20 is a block diagram of an example computing device 2000 that may include one or more components including one or more metallization stacks in accordance with any of the embodiments disclosed herein .
  • any suitable ones of the components of the computing device 2000 may include a die (e.g ., the die 1702 of FIG. 17B) having one or more metallization stacks 100.
  • Any one or more of the components of the computing device 2000 may include, or be included in, an IC device 1800 (FIG. 18) .
  • Any one or more of the components of the computing device 2000 may include, or be included in, an IC device assembly 1900 (FIG. 19) .
  • FIG. 20 A number of components are illustrated in FIG. 20 as included in the computing device 2000, but any one or more of these components may be omitted or duplicated, as suitable for the application .
  • some or all of the components included in the computing device 2000 may be attached to one or more motherboards.
  • some or all of these components are fabricated onto a single system-on-a-chip (SoC) die.
  • SoC system-on-a-chip
  • the computing device 2000 may not include one or more of the components illustrated in FIG. 20, but the computing device 2000 may include interface circuitry for coupling to the one or more components.
  • the computing device 2000 may not include a display device 2006, but may include display device interface circuitry (e.g ., a connector and driver circuitry) to which a display device 2006 may be coupled .
  • the computing device 2000 may not include an audio input device 2024 or an audio output device 2008, but may include audio input or output device interface circuitry (e.g ., connectors and supporting circuitry) to which an audio input device 2024 or audio output device 2008 may be coupled.
  • the computing device 2000 may include a processing device 2002 (e.g ., one or more processing devices).
  • processing device e.g ., one or more processing devices.
  • the term "processing device” or “processor” may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • the processing device 2002 may include one or more digital signal processors (DSPs), application- specific integrated circuits (ASICs), central processing units (CPUs), graphics processing units (GPUs), cryptoprocessors (specialized processors that execute cryptographic algorithms within hardware), server processors, or any other suitable processing devices.
  • DSPs digital signal processors
  • ASICs application- specific integrated circuits
  • CPUs central processing units
  • GPUs graphics processing units
  • cryptoprocessors specialized processors that execute cryptographic algorithms within hardware
  • server processors or any other suitable processing devices.
  • the computing device 2000 may include a memory 2004, which may itself include one or more memory devices such as volatile memory (e.g ., dynamic random access memory (DRAM)), nonvolatile memory (e.g ., read-only memory (ROM)), flash memory, solid state memory, and/or a hard drive.
  • volatile memory e.g ., dynamic random access memory (DRAM)
  • nonvolatile memory e.g ., read-only memory (ROM)
  • flash memory e.g ., solid state memory, and/or a hard drive.
  • the memory 2004 may include memory that shares a die with the processing device 2002. This memory may be used as cache memory and may include embedded dynamic random access memory (eDRAM) or spin transfer torque magnetic random-access memory (STT-M RAM) .
  • eDRAM embedded dynamic random access memory
  • STT-M RAM spin transfer torque magnetic random-access memory
  • the computing device 2000 may include a communication chip 2012 (e.g., one or more communication chips).
  • the communication chip 2012 may be configured for managing wireless communications for the transfer of data to and from the computing device 2000.
  • wireless and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a nonsolid medium . The term does not imply that viassociated devices do not contain any wires, although in some embodiments they might not.
  • the communication chip 2012 may implement any of a number of wireless standards or protocols, including but not limited to Institute for Electrical and Electronic Engineers (IEEE) standards including Wi-Fi (IEEE 802.11 family), IEEE 802.16 standards (e.g., IEEE 802.16-2005 Amendment), Long-Term Evolution (LTE) project along with any amendments, updates, and/or revisions (e.g., advanced LTE project, ultramobile broadband (UMB) project (also referred to as "3GPP2”), etc.).
  • IEEE 802.16 compatible Broadband Wireless Access (BWA) networks are generally referred to as WiMAX networks, an acronym that stands for Worldwide Interoperability for Microwave Access, which is a certification mark for products that pass conformity and interoperability tests for the IEEE 802.16 standards.
  • the communication chip 2012 may operate in accordance with a Global System for Mobile Communication (GSM), General Packet Radio Service (GPRS), Universal Mobile Telecommunications System (UMTS), High Speed Packet Access (HSPA), Evolved HSPA (E-HSPA), or LTE network.
  • GSM Global System for Mobile Communication
  • GPRS General Packet Radio Service
  • UMTS Universal Mobile Telecommunications System
  • High Speed Packet Access HSPA
  • E-HSPA Evolved HSPA
  • LTE LTE network.
  • the communication chip 2012 may operate in accordance with Enhanced Data for GSM Evolution (EDGE), GSM EDGE Radio Access Network (GERAN), Universal Terrestrial Radio Access Network (UTRAN), or Evolved UTRAN (E-UTRAN).
  • EDGE Enhanced Data for GSM Evolution
  • GERAN GSM EDGE Radio Access Network
  • UTRAN Universal Terrestrial Radio Access Network
  • E-UTRAN Evolved UTRAN
  • the communication chip 2012 may operate in accordance with Code Division Multiple Access (CDMA), Time Division Multiple Access (TDMA), Digital Enhanced Cordless Telecommunications (DECT), Evolution-Data Optimized (EV-DO), and derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond.
  • CDMA Code Division Multiple Access
  • TDMA Time Division Multiple Access
  • DECT Digital Enhanced Cordless Telecommunications
  • EV-DO Evolution-Data Optimized
  • the communication chip 2012 may operate in accordance with other wireless protocols in other embodiments.
  • the computing device 2000 may include an antenna 2022 to facilitate wireless communications and/or to receive other wireless communications (such as AM or FM radio transmissions).
  • the communication chip 2012 may manage wired communications, such as electrical, optical, or any other suitable communication protocols (e.g., the Ethernet).
  • the communication chip 2012 may include multiple communication chips. For instance, a first communication chip 2012 may be dedicated to shorter-range wireless communications such as Wi-Fi or Bluetooth, and a second communication chip 2012 may be dedicated to longer-range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO, or others.
  • a first communication chip 2012 may be dedicated to wireless communications, and a second communication chip 2012 may be dedicated to wired communications.
  • the computing device 2000 may include battery/power circuitry 2014.
  • the battery/ power circuitry 2014 may include one or more energy storage devices (e.g ., batteries or capacitors) and/or circuitry for coupling components of the computing device 2000 to an energy source separate from the computing device 2000 (e.g ., AC line power) .
  • the computing device 2000 may include a display device 2006 (or corresponding interface circuitry, as discussed above) .
  • the display device 2006 may include any visual indicators, such as a heads-up display, a computer monitor, a projector, a touchscreen display, a liquid crystal display (LCD), a light-emitting diode display, or a flat panel display, for example.
  • the computing device 2000 may include an audio output device 2008 (or corresponding interface circuitry, as discussed above) .
  • the audio output device 2008 may include any device that generates an audible indicator, such as speakers, headsets, or earbuds, for example.
  • the computing device 2000 may include an audio input device 2024 (or corresponding interface circuitry, as discussed above) .
  • the audio input device 2024 may include any device that generates a signal representative of a sound, such as microphones, microphone arrays, or digital instruments (e.g ., instruments having a musical instrument digital interface (MIDI) output) .
  • MIDI musical instrument digital interface
  • the computing device 2000 may include a global positioning system (GPS) device 2018 (or corresponding interface circuitry, as discussed above) .
  • GPS global positioning system
  • the GPS device 2018 may be in communication with a satellite-based system and may receive a location of the computing device 2000, as known in the art.
  • the computing device 2000 may include an other output device 2010 (or corresponding interface circuitry, as discussed above) .
  • Examples of the other output device 2010 may include an audio codec, a video codec, a printer, a wired or wireless transmitter for providing information to other devices, or an additional storage device.
  • the computing device 2000 may include an other input device 2020 (or corresponding interface circuitry, as discussed above) .
  • Examples of the other input device 2020 may include an accelerometer, a gyroscope, a compass, an image capture device, a keyboard, a cursor control device such as a mouse, a stylus, a touchpad, a bar code reader, a Quick Response (QR) code reader, any sensor, or a radio frequency identification (RFID) reader.
  • RFID radio frequency identification
  • the computing device 2000 may have any desired form factor, such as a hand-held or mobile computing device (e.g., a cell phone, a smart phone, a mobile internet device, a music player, a tablet computer, a laptop computer, a netbook computer, an ultrabook computer, a personal digital assistant (PDA), an ultramobile personal computer, etc.), a desktop computing device, a server or other networked computing component, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a vehicle control unit, a digital camera, a digital video recorder, or a wearable computing device.
  • the computing device 2000 may be any other electronic device that processes data.
  • a method of manufacturing an integrated circuit comprising : depositing a metal interconnect layer on an interlayer dielectric (ILD) comprising an ILD material, comprising a first interconnect and a second interconnect; depositing a first cross grating comprising a first dielectric material; depositing a second cross grating comprising a second dielectric material, the second cross grating substantially perpendicular to the first cross grating; subtractively etching a plug pattern between the first interconnect and the second interconnect; filling the plug pattern with a plug dielectric material; and depositing a via to electrically couple the second interconnect to a different layer.
  • ILD interlayer dielectric
  • plug dielectric material is an unhardened dielectric material .
  • plug dielectric material is a hardened dielectric material .
  • the plug dielectric material comprises silicon carbide.
  • plug dielectric material is different from the I LD material .
  • an integrated circuit comprising : a first layer having a first interlayer dielectric (ILD) comprising an I LD material, a first conductive interconnect and a second conductive interconnect, wherein the second conductive interconnect comprises a first metal comprising an etchable metal; a conductive to electrically couple the second conductive interconnect to a second layer; a dielectric plug comprising a plug dielectric material and placed vertically between the first layer and second layer and placed to prevent the via from electrically shorting to the first conductive interconnect; and a hard mask over the dielectric plug comprising a first hard mask material .
  • ILD interlayer dielectric
  • plug dielectric material is an unhardened dielectric material .
  • plug dielectric material is a hardened dielectric material .
  • the plug dielectric comprises silicon carbide.
  • the plug dielectric comprises a metal oxide.
  • plug dielectric material is different from the I LD material .
  • ILD ILD
  • the second conductive interconnect comprises a first metal comprising an etchable metal
  • a dielectric plug comprising a plug dielectric material and placed vertically between the first layer and second layer and placed to prevent the via from electrically shorting to the first conductive interconnect
  • a hard mask over the dielectric plug comprising a first hard mask material .
  • plug dielectric material is an unhardened dielectric material .
  • plug dielectric material is a hardened dielectric material .
  • the plug dielectric comprises silicon carbide.
  • the plug dielectric comprises a metal oxide.
  • plug dielectric material is different from the I LD material .

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Selon un exemple, cette invention concerne un procédé de fabrication d'un circuit intégré, comprenant : le dépôt d'une couche d'interconnexion métallique sur un diélectrique inter-couches (ILD) comprenant un matériau ILD, comprenant une première interconnexion et une seconde interconnexion ; le dépôt d'un premier réseau transversal ayant un premier matériau diélectrique ; le dépôt d'un second réseau transversal ayant un second matériau diélectrique, le second réseau transversal étant sensiblement perpendiculaire au premier réseau transversal ; la gravure soustractive d'un motif de fiches entre la première interconnexion et la seconde interconnexion ; le remplissage du motif de fiches par un matériau diélectrique de fiche ; et le dépôt d'un trou d'interconnexion pour coupler électriquement la seconde interconnexion à une couche différente.
PCT/US2016/069083 2016-12-29 2016-12-29 Gravure soustractive de fiches WO2018125109A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
PCT/US2016/069083 WO2018125109A1 (fr) 2016-12-29 2016-12-29 Gravure soustractive de fiches

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2016/069083 WO2018125109A1 (fr) 2016-12-29 2016-12-29 Gravure soustractive de fiches

Publications (1)

Publication Number Publication Date
WO2018125109A1 true WO2018125109A1 (fr) 2018-07-05

Family

ID=62709669

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2016/069083 WO2018125109A1 (fr) 2016-12-29 2016-12-29 Gravure soustractive de fiches

Country Status (1)

Country Link
WO (1) WO2018125109A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI819851B (zh) * 2021-12-09 2023-10-21 大陸商珠海越亞半導體股份有限公司 多器件分層嵌埋封裝結構及其製作方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030036260A1 (en) * 2001-08-14 2003-02-20 Makiko Nakamura Method for manufacturing a semiconductor device
US20140264902A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Co. Ltd. Novel Patterning Approach for Improved Via Landing Profile
US20150287603A1 (en) * 2014-04-07 2015-10-08 International Business Machines Corporation Semiconductor device having self-aligned gate contacts
US20160148869A1 (en) * 2013-08-21 2016-05-26 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias
US20160197011A1 (en) * 2013-09-27 2016-07-07 Intel Corporation Subtractive Self-Aligned Via and Plug Patterning for Back End of Line (BEOL) Interconnects

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030036260A1 (en) * 2001-08-14 2003-02-20 Makiko Nakamura Method for manufacturing a semiconductor device
US20140264902A1 (en) * 2013-03-12 2014-09-18 Taiwan Semiconductor Manufacturing Co. Ltd. Novel Patterning Approach for Improved Via Landing Profile
US20160148869A1 (en) * 2013-08-21 2016-05-26 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias
US20160197011A1 (en) * 2013-09-27 2016-07-07 Intel Corporation Subtractive Self-Aligned Via and Plug Patterning for Back End of Line (BEOL) Interconnects
US20150287603A1 (en) * 2014-04-07 2015-10-08 International Business Machines Corporation Semiconductor device having self-aligned gate contacts

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI819851B (zh) * 2021-12-09 2023-10-21 大陸商珠海越亞半導體股份有限公司 多器件分層嵌埋封裝結構及其製作方法

Similar Documents

Publication Publication Date Title
US10615117B2 (en) Self-aligned via
US11024538B2 (en) Hardened plug for improved shorting margin
US10937689B2 (en) Self-aligned hard masks with converted liners
US10109583B2 (en) Method for creating alternate hardmask cap interconnect structure with increased overlay margin
US10522402B2 (en) Grid self-aligned metal via processing schemes for back end of line (BEOL) interconnects and structures resulting therefrom
US11784121B2 (en) Integrated circuit components with dummy structures
CN108140724B (zh) 用于磁阻式随机存储器器件的电接触部
EP3913659A1 (fr) Extrémité arrière d'intégration de ligne pour trous d'interconnexion auto-alignés
US11011481B2 (en) Configurable resistor
CN108369923B (zh) 防止过孔穿通的无掩模气隙
US11652045B2 (en) Via contact patterning method to increase edge placement error margin
TWI805691B (zh) 用於導電通孔製造之蝕刻停止層為基的方式以及其所得的結構
US20200395223A1 (en) Selective etching and controlled atomic layer etching of transition metal oxide films for device fabrication
WO2018125109A1 (fr) Gravure soustractive de fiches
WO2018111289A1 (fr) Interconnexions fournies par dépôt à base d'espaceur métallique soustractif
US20220157708A1 (en) Vertical metal splitting using helmets and wrap-around dielectric spacers
US20220130758A1 (en) Stitching to enable dense interconnect arrangements
TW202213830A (zh) 以虛設的穿矽通孔為基礎之去耦電容器
WO2018125023A1 (fr) Procédés de combinaison de lithographie à base de masque et sans masque

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16925139

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 16925139

Country of ref document: EP

Kind code of ref document: A1