WO2018009155A1 - Dispositifs rram ayant une couche inférieure d'échange d'oxygène et leurs procédés de fabrication - Google Patents

Dispositifs rram ayant une couche inférieure d'échange d'oxygène et leurs procédés de fabrication Download PDF

Info

Publication number
WO2018009155A1
WO2018009155A1 PCT/US2016/040888 US2016040888W WO2018009155A1 WO 2018009155 A1 WO2018009155 A1 WO 2018009155A1 US 2016040888 W US2016040888 W US 2016040888W WO 2018009155 A1 WO2018009155 A1 WO 2018009155A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
dielectric
top electrode
hardmask
forming
Prior art date
Application number
PCT/US2016/040888
Other languages
English (en)
Inventor
Uday Shah
Ravi Pillarisetty
Elijah V. KARPOV
Niloy Mukherjee
James S. Clarke
Sarah ATANASOV
Prashant Majhi
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to PCT/US2016/040888 priority Critical patent/WO2018009155A1/fr
Publication of WO2018009155A1 publication Critical patent/WO2018009155A1/fr

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0007Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising metal oxide memory material, e.g. perovskites
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8416Electrodes adapted for supplying ionic species
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/10Resistive cells; Technology aspects
    • G11C2213/15Current-voltage curve
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/50Resistive cell structure aspects
    • G11C2213/52Structure characterized by the electrode material, shape, etc.

Definitions

  • Embodiments of the invention are in the field of integrated circuit fabrication and, in particular, resistive random access memory (RRAM) devices having a bottom oxygen exchange layer and their methods of fabrication.
  • RRAM resistive random access memory
  • Non-volatile embedded memory with RRAM devices e.g., on-chip embedded memory with non-volatility can enable energy and computational efficiency.
  • the technical challenges of creating an appropriate stack for fabrication of RRAM devices that exhibit high device endurance, high retention and operability at low voltages and currents presents daunting roadblocks to commercialization of this technology today.
  • the objective of memory technology to control tail bit data in a large array of memory bits necessitates tighter control of the variations in metal oxide break down and switching events in individual bits.
  • filamentary RRAM systems the latter is dictated by fine tuning oxygen vacancy concentration which is widely understood to drive filament formation and dissolution in metal oxide films.
  • significant improvements are still needed in the area of metal oxide stack engineering which rely on material advancements, deposition techniques or a combination of both. This area of process development is an integral part of the non-volatile memory roadmap.
  • FIG. 1A illustrates a cross-sectional view of a resistive random access memory (RRAM) cell which includes an RRAM device with a top electrode and a top electrode contact layer formed in an opening in a dielectric hardmask above a metal oxide switching layer, in accordance with an embodiment of the present invention.
  • RRAM resistive random access memory
  • Figure IB illustrates a partial cross-sectional view of an array of RRAM cells of the type illustrated in Figure 1A, in accordance with an embodiment of the present invention.
  • FIG. 2A illustrates a cross-sectional view of a resistive random access memory (RRAM) cell which includes an RRAM device with a scaled top electrode formed in an opening in a dielectric hardmask above a metal oxide switching layer, in accordance with an embodiment of the present invention.
  • RRAM resistive random access memory
  • Figure 2B illustrates a partial cross-sectional view of an array of RRAM cells of the type illustrated in Figure 2A, in accordance with an embodiment of the present invention.
  • FIGS. 3A-30 illustrate cross-sectional views representing various operations in a method of fabricating an RRAM device, in accordance with an embodiment of the present invention.
  • Figure 3A illustrates a conductive interconnect surrounded by a first dielectric layer.
  • Figure 3B illustrates the structure of Figure 3A following the formation of a material layer stack on the conductive interconnect and on the first dielectric layer.
  • Figure 3C illustrates a resist pattern formed on a dual dielectric hardmask layer composed of a first dielectric hardmask formed on a second dielectric hardmask formed on the material layer stack.
  • Figure 3D illustrates the structure of Figure 3C following an etch process used to transfer the resist pattern into the second dielectric hardmask layer to form a second dielectric hardmask partem, followed by removal of the resist partem.
  • Figure 3E illustrates the structure of Figure 3D following an etch process used to transfer the second dielectric hardmask pattern into the first dielectric hardmask and the material layer stack to form a dual hardmask layer and a patterned material layer stack.
  • Figure 3F illustrates the structure of Figure 3E following the formation of a dielectric spacer layer covering the dual hardmask layer, the patterned material layer stack and the first dielectric layer.
  • Figure 3G illustrates the structure of Figure 3F following an anisotropic plasma etch of the dielectric spacer layer to form a dielectric spacer.
  • Figure 3H illustrates the structure of Figure 3G following formation of a second dielectric layer covering the dual hardmask layer, the patterned material layer stack, the dielectric spacer, and the first dielectric layer.
  • Figure 31 illustrates the structure of Figure 3H following planarization to form coplanar surfaces of the first dielectric layer, the dielectric spacer, and the first dielectric hardmask.
  • Figure 3 J illustrates the structure of Figure 31 following formation of a third dielectric layer on the coplanar surfaces of the first dielectric layer, the dielectric spacer, and the first dielectric hardmask.
  • Figure 3K illustrates the structure of Figure 3 J following patterning of a photoresist material to form a mask to define a via location.
  • Figure 3L illustrates the structure of Figure 3K following an etch process to create an upper portion of a via in the third dielectric layer, followed by mask removal.
  • Figure 3M illustrates the structure of Figure 3L following an etch process to form a lower portion of the via in the first dielectric hardmask, the via exposing the metal oxide switching layer.
  • Figure 3N illustrates the structure of Figure 3M following formation of a top electrode layer and a top electrode fill layer in the via.
  • Figure 30 illustrates the structure of Figure 3N following planarization to form a top electrode.
  • FIGS 4A-4K illustrate cross-sectional views representing various operations in another method of fabricating an RRAM device, in accordance with another embodiment of the present invention.
  • Figure 4A illustrates the structure of Figure 3H following planarization of the second dielectric layer.
  • Figure 4B illustrates the structure of Figure 4A following patterning of a photoresist material to form a mask to define a first via location.
  • Figure 4C illustrates the structure of Figure 4B following an etch process to create an upper portion of a first via in the second dielectric hardmask layer, followed by a mask removal.
  • Figure 4D illustrates the structure of Figure 4C following an etch process to form a lower portion of the first via in the first hardmask layer, the first via exposing the metal oxide switching layer.
  • Figure 4E illustrates the structure of Figure 4D following formation of a top electrode metal layer in the first via and on the metal oxide switching layer.
  • Figure 4F illustrates the structure of Figure 4E following a planarization process to form a top electrode.
  • Figure 4G illustrates the structure of Figure 4F following formation of a third dielectric layer on the coplanar surfaces of the second dielectric layer, the dielectric spacer, the first dielectric hardmask layer and the top electrode.
  • Figure 4H illustrates the structure of Figure 4G following patterning of a photoresist material to form a mask to define a second via location.
  • Figure 41 illustrates the structure of Figure 4H following an etch process to create a second via in the third dielectric layer, followed by mask removal.
  • Figure 4J illustrates the structure of Figure 41 following formation of a top electrode contact in the second via.
  • Figure 4K illustrates the structure of Figure 4J following a planarization process to form a top electrode contact.
  • Figure 5A illustrates a cross-sectional view representing an RRAM device where the width of a bottom electrode is smaller than the width of a conducive interconnect, in accordance with an embodiment of the present invention.
  • Figure 5B illustrates a cross-sectional view representing an RRAM device where the width of a bottom electrode is smaller than the width of a conducive interconnect, and the interconnect includes a capping layer, in accordance with an embodiment of the present invention.
  • Figures 6A-6D illustrate cross-sectional views representing various operations in a method of fabricating a bottom electrode integrated on a conductive interconnect, in accordance with an embodiment of the present invention.
  • Figure 7A illustrates a cross-sectional view representing an RRAM device where the width of a bottom electrode and the width of the top electrode may each be independently controlled with respect the width of the oxygen exchange layer, in accordance with an embodiment of the present invention.
  • Figure 7B illustrates a cross-sectional view representing an RRAM device where the width of a bottom electrode and the width of the top electrode can be controlled independently of the size of the oxygen exchange layer, in an embodiment of the present invention.
  • Figure 8 illustrates a cross-sectional view of a conventional RRAM device.
  • Figure 9A illustrates an I-V plot, demonstrating concepts involved with filament formation and voltage cycling (reading and writing) in an RRAM device, in accordance with embodiments of the present invention.
  • FIG. 9B illustrates illustrates a cross-sectional view of a resistive random access memory (RRAM) cell which includes an RRAM device having a bottom oxygen exchange layer and a filament formed in a metal oxide switching layer formed on the bottom oxygen exchange layer, in accordance with an embodiment of the present invention.
  • RRAM resistive random access memory
  • Figure 10 illustrates a cross-sectional view of an RRAM element coupled to a drain side of a select transistor, in accordance with an embodiment of the present invention.
  • Figures 11 A-l IE illustrate schematic views of several options for positioning an RRAM element in an integrated circuit, in accordance with embodiments of the present invention.
  • Figure 12 illustrates a schematic of a memory bit cell which includes a metal-conductive oxide-metal RRAM device, in accordance with embodiments of the present invention.
  • FIG. 13 illustrates a block diagram of an electronic system, in accordance with embodiments of the present invention.
  • Figure 14 illustrates a computing device in accordance with embodiments of the present invention.
  • FIG. 15 illustrates an interposer in accordance with embodiments of the present invention. DESCRIPTION OF THE EMBODIMENTS
  • Resistive random access memory (RRAM) devices having a bottom oxygen exchange layer and their methods of fabrication are described.
  • numerous specific details are set forth, such as novel structural schemes and detailed fabrication methods in order to provide a thorough understanding of embodiments of the present invention. It will be apparent to one skilled in the art that embodiments of the present invention may be practiced without these specific details. In other instances, well-known features, such as switching operations associated with embedded memory, are described in lesser detail in order to not unnecessarily obscure embodiments of the present invention.
  • the various embodiments shown in the Figures are illustrative representations and are not necessarily drawn to scale.
  • integrating a memory array with low voltage logic circuitry such as logic circuitry operational at a voltage less than or equal to 1 Volt
  • logic circuitry operational at a voltage less than or equal to 1 Volt
  • approaches for integrating an RRAM device onto a transistor to create embedded, one transistor-one resistor memory, or 1T-1R memory presents material challenges that have become far more daunting with scaling.
  • transistor operating voltages are scaled down in an effort to become energy efficient, RRAM memory devices that are connected in series with such transistors are also required to function at lower voltages and currents.
  • FIG 8 illustrates a cross-sectional view of a conventional RRAM device 800.
  • the RRAM device 800 includes a top electrode 812, an oxygen exchange layer 810, a metal oxide switching layer 808, and a bottom electrode 806.
  • the RRAM device 800 is above an interconnect 804 formed in a dielectric layer 802 above a substrate 801.
  • the RRAM device 800 exhibits limitations when operating with an NMOS transistor operating in one particular mode. Limitations arising from high switching current needs of an RRAM device 800 connected in series with one such NMOS transistor, may prevent an RRAM device 800 from switching between low and high resistance states.
  • an RRAM device with an oxygen exchange layer inserted directly above a bottom electrode layer but below a metal oxide switching layer (to form a bottom OEL) serves to lower the switching current demands on such an NMOS transistor operating in a given mode.
  • device cycling between low and high resistance states can be repeatedly performed. Additional advantages of a bottom OEL may include potentially minimizing additional parasitic resistance otherwise arising from deposition of a metal oxide switching layer directly on a bottom electrode.
  • a top electrode formed on the metal oxide switching layer may be required to possess a higher work function than the bottom electrode. Additional restrictions may arise on the choice of top electrode materials.
  • a top electrode includes a metal such as but not limited to Pt, Pd and W. Unfortunately, however, such metals often present daunting etch challenges which are even greater to overcome as device dimensions are scaled.
  • a damascene process is used to form a top electrode without the need for etching.
  • Such a top electrode may be formed to provide an RRAM device having a metal oxide switching layer formed on a bottom oxygen exchange layer which is formed on a bottom electrode.
  • RRAM devices including bottom oxygen exchange layer are described in association with Figures 1A- 1 B and Figures 2 A-2B .
  • FIG. 1A illustrates a cross-sectional view of a resistive random access memory (RRAM) cell which includes an RRAM device 100 with a top electrode 122 and a top electrode contact layer 124 formed in an opening 130 in a dielectric layer 120 and a dielectric hardmask layer 112 above a metal oxide switching layer 110, in accordance with an embodiment of the present invention.
  • the RRAM device 100 is disposed on a conductive interconnect 104 and a first dielectric layer 102.
  • the conductive interconnect 104 includes a barrier layer, such as tantalum nitride, and a fill material, such as copper, as is known in the art.
  • the conductive interconnect 104 is disposed within a dielectric layer 102 disposed above a substrate 101.
  • the RRAM device 100 includes a bottom electrode 106 disposed above the conductive interconnect 104.
  • An oxygen exchange layer 108 is disposed on the bottom electrode 106.
  • a metal oxide switching layer 110 is disposed on the oxygen exchange layer 108.
  • a first dielectric hardmask layer 112 is disposed on the metal oxide switching layer 110.
  • a dielectric spacer 116 is disposed along sidewalls of the bottom electrode 106, the oxygen exchange layer 108, the metal oxide switching layer 110, the first dielectric hardmask layer 112 and on the first dielectric layer 102. The dielectric spacer 116 extends from the uppermost surface of the first dielectric layer 102 to an upper most surface of the first dielectric hardmask layer 112.
  • a second dielectric layer 118 is disposed on the first dielectric layer 102 and laterally adjacent to the dielectric spacer 116. An uppermost surface of the second dielectric layer 118 is coplanar or substantially coplanar with an uppermost surface of the dielectric spacer 116 and the uppermost surface of the first dielectric hardmask layer 112.
  • a third dielectric layer 120 is disposed on the second dielectric layer 118, on the dielectric spacer 116 and on the first dielectric hardmask layer 112.
  • the top electrode 122 is disposed in the opening 130 disposed in the third dielectric layer 120 and first dielectric hardmask layer 112.
  • the top electrode contact layer 124 is disposed on the top electrode 122. In an embodiment the top electrode contact layer 124 fills the opening 130.
  • the bottom electrode 106 extends laterally onto a portion of the dielectric layer 102, as is depicted.
  • the bottom electrode 106 includes a material such as but not limited to titanium nitride, tantalum, tantalum nitride, tungsten or ruthenium.
  • the bottom electrode 106 has a thickness in the range of 40 to 100 nanometers (nm).
  • the bottom electrode 106 has a width, Wbe, approximately equal to a width, Wd, of the conductive interconnect 104.
  • the composition and thickness of the bottom electrode 106 are tuned to meet specific device attributes such as series resistance, programming voltage and current.
  • the oxygen exchange layer 108 is formed on the bottom electrode 106.
  • the oxygen exchange layer 108 is composed of a metal such as but not limited to, hafnium, tantalum or titanium.
  • the oxygen exchange layer 108 has a thickness in the range of 5-20nm.
  • the oxygen exchange layer 108 acts as a source of oxygen vacancy or as a sink for O 2" .
  • the metal oxide switching layer 1 10 is formed directly on the oxygen exchange layer 108.
  • the metal oxide switching layer 1 10 is composed of a metal (M), such as but not limited to, hafnium, tantalum or titanium.
  • the metal oxide switching layer 110 has a chemical composition, MOx, where O is oxygen and X is or is substantially close to 2.
  • MOx chemical composition
  • X oxygen and X is or is substantially close to 5
  • IVhOx chemical composition, where O is oxygen and X is or is substantially close to 5.
  • the metal oxide switching layer 110 has a thickness approximately in the range of 1 -5 nm.
  • the oxygen exchange layer 108 subsequent to formation of a metal oxide switching layer, includes an upper most portion that is oxidized. That is, a partially oxidized portion of the oxygen exchange layer 108 ultimately becomes part of a switching layer for an RRAM cell. In one embodiment, the partially oxidized portion of the oxygen exchange layer is indistinguishable from the metal oxide switching layer 110. In another embodiment, a seam is present at an interface of the partially oxidized portion of the oxygen exchange layer and the metal oxide switching layer 110. In an embodiment, the thickness of the oxygen exchange layer 108 is at least twice the thickness of the metal oxide switching layer 1 10. In another embodiment, the thickness of the oxygen exchange layer 108 is at least twice the thickness of the metal oxide switching layer 108.
  • the dielectric hardmask layer 112 is composed of a material such as but no limited to silicon nitride, carbon doped silicon nitride or silicon carbide. In an embodiment, the dielectric hardmask layer 112 has a thickness in the range of 10-50nm. In an embodiment, the dielectric hardmask is a material that can function as etch stop.
  • the dielectric spacer 116 is disposed adjacent and on sidewalls of the RRAM device 100 and on the first dielectric layer 102.
  • the dielectric spacer 1 16 extends from the uppermost surface of the first dielectric layer 102 to an upper most surface of the first hardmask layer 112 and may be any suitable dielectric material such as but not limited to carbon doped silicon nitride or silicon nitride.
  • the dielectric material of the dielectric spacer 116 is a non- oxygen-containing material.
  • the dielectric spacer 116 has a thickness in the range of 20-5 Onm.
  • the second dielectric layer 1 18 is disposed on the first dielectric layer 102 and laterally adjacent to the dielectric spacer 116. An uppermost surface of the second dielectric layer 1 18 is coplanar or substantially coplanar with an uppermost surface of the dielectric spacer 1 16 and the uppermost surface of the top electrode 122.
  • the second dielectric layer may be any suitable dielectric material such as but not limited to carbon doped silicon nitride or silicon nitride, silicon oxide or carbon doped oxide.
  • the third dielectric layer 120 is composed of the same material as the second dielectric layer. In an embodiment, the third dielectric layer 120 and the second dielectric layer 118 are composed of a carbon doped silicon material. In one such embodiment, the third dielectric layer 120 has a lower carbon concentration than the second dielectric layer 1 18. In an embodiment, the third dielectric layer 120 has a carbon concentration of
  • the third dielectric layer 120 and the second dielectric layer 118 both have a carbon concentration approximately in the range of 5-15%, however, the third dielectric layer 120 has a lower carbon concentration than the second dielectric layer 118. In another embodiment, the third dielectric layer 120 and the second dielectric layer 118 both have a same carbon concentration.
  • the top electrode 122 is disposed in the opening 130 disposed in the second dielectric layer 1 18 and first dielectric hardmask layer 112.
  • the opening has a sidewall angle in the range of 40-60 degrees.
  • the top electrode 122 has a portion that is directly in contact with the metal oxide switching layer 1 10 and portions that are in contact with sidewalls of the first dielectric hardmask layer 1 12 and the third dielectric layer 120.
  • the top electrode 122 is composed of a material such as, but not limited to, Pt, W, Pd and Ir.
  • the bottom electrode 106 and the top electrode 122 are composed of the same material.
  • the top electrode 122 has a thickness approximately in the range of 10 to 50 nm.
  • the top electrode 122 has a width ranging from 10-50nm. In another embodiment, the top electrode 122 extends laterally beyond the edge of the metal oxide switching layer 110. In an embodiment, the composition and thickness of the top electrode 122 are tuned to meet specific device attributes such as series resistance, programming switching voltage and current. In an embodiment, a requirement to subsequently polish top electrode 122 limits thickness to less than l OOnm.
  • the top electrode contact layer 124 is composed of a material such as, but not limited to, Pt, W, Pd, Ir, TiN, W and TaN. In an embodiment, the top electrode completely fills the opening 130. In an embodiment the top electrode contact layer 124 and the top electrode 122 are the same metal.
  • Figure IB illustrates a plan view of an array of RRAM cells of the type illustrated in Figure 1A, in accordance with an embodiment of the present invention.
  • an RRAM array may include 10 3 — 10 s RRAM cells.
  • electrical contact is made to the top electrode 122 and top electrode contact layer 124 of each RRAM device 100 through subsequent formation of conductive interconnects.
  • FIG. 2A illustrates a cross-sectional view of a resistive random access memory (RRAM) cell which includes an RRAM device 200 with a scaled top electrode 222 formed in an opening 230 in a dielectric hardmask layer 212 above a metal oxide switching layer 210, in accordance with an embodiment of the present invention.
  • the RRAM cell includes an RRAM device 200 disposed on a conductive interconnect 104, such as a conductive line or via, disposed in a first dielectric layer 102.
  • the top electrode 222 is disposed in the opening 230 in the first dielectric hardmask layer 212.
  • the opening 230 has sloped sidewalls to provide a conical opening, as is depicted.
  • the opening 230 has vertical sidewalls.
  • the opening 230 has curved sidewalls.
  • the top electrode 222 completely or almost completely fills the opening 230 in the dielectric hardmask layer 212.
  • the top electrode 222 has a width at the base of the opening approximately in the range of 5-10 nm.
  • the portion of the top electrode 222 in contact with the metal oxide switching layer has a width approximately in the range of 5-10 nm.
  • the top electrode 222 is centered between the dielectric spacer 116. In another embodiment, the top electrode 222 is off-centered between the dielectric spacer 116.
  • the width of the portion of the top electrode 222 in contact with the metal oxide switching layer 210 is narrower than the width of the portion of the bottom electrode 106 in contact with the oxygen exchange layer 108. In one such embodiment, the width of the portion of the top electrode 222 in contact with the metal oxide switching layer 210 is approximately 5-50% of the width of the portion of the bottom electrode 106 in contact with the oxygen exchange layer 108. In a specific such embodiment, the width of the portion of the top electrode 222 in contact with the metal oxide switching layer 210 is approximately 10-20% of the width of the portion of the bottom electrode 106 in contact with the oxygen exchange layer 108.
  • the top electrode contact layer 224 is formed in an opening 240 in a dielectric layer 220.
  • the top electrode contact layer 224 completely or almost completely fills the opening 240.
  • some portions of top electrode contact layer 224 extend into voids in the opening 230.
  • voids exist at the interface between the top electrode contact layer 224 and the top electrode 222. In one such embodiment, voids have dimension approximately in the range of 1 -3 nanometers.
  • the opening 240 has sloped sidewalls, and the top electrode contact layer 224 is conformal with the sidewalls of the opening 240, as is depicted.
  • the width, WTO, of the top of the opening 240 in the dielectric layer 220 is greater than the width, WBO, of the base of the opening 240.
  • the width, WBO, of the base of the opening 240 is wider than the width of the uppermost surface of the top electrode layer 222, as is depicted in Figure 2A.
  • the top electrode 222 is centered with the top electrode contact layer 224, as is depicted in Figure 2A.
  • the top electrode 222 is off-centered with the top electrode contact layer 224.
  • FIG. 2B illustrates a partial cross-sectional view of the array of RRAM cells of the type illustrated in Figure 2A, in accordance with an embodiment of the present invention.
  • an array of RRAM cells includes 10 3 — 10 s RRAM cells.
  • contact is made to the top electrode contact layer 224 of each RRAM device 200, subsequently through formation of interconnects.
  • plurality of RRAM devices 200 depicted in the array in Figure 2B expose an uppermost surface of the top contact layer 224.
  • Top electrode 122 and the top contact layer 124 are exposed in the array depicted in Figure IB.
  • FIGS. 3A-30 illustrate cross-sectional views representing various operations in a method of fabricating an RRAM device, in accordance with an embodiment of the present invention.
  • Figure 3A illustrates a cross-sectional view of a bottom electrode formed above a conductive interconnect, surrounded by a first dielectric layer 302 formed above a substrate 301.
  • Dielectric layer 302 may be formed using dielectric materials known for their applicability in integrated circuit structures, such as low-k dielectric materials.
  • dielectric materials examples include, but are not limited to, silicon dioxide (SiC ), carbon doped oxide (CDO), silicon nitride, organic polymers such as perfluorocyclobutane or polytetrafluoroethylene, fluorosilicate glass (FSG), and organosilicates such as silsesquioxane, siloxane, or organosilicate glass.
  • the dielectric layer 302 may include pores or air gaps to further reduce their dielectric constant. In an embodiment, the total thickness of dielectric layer 302 may be in the range of 2000A - 3000A.
  • the conductive interconnect 304 may be fabricated using dual damascene processing or subtractive etching.
  • the dielectric layer 302 has an uppermost surface substantially co-planar with an uppermost surface of the conductive interconnect 304.
  • Figure 3B illustrates the structure of Figure 3A following the formation of a material layer stack 300 on the conductive interconnect and the first dielectric layer.
  • the bottom electrode 306 is a material having a composition and a thickness such as described above in association with the bottom electrode 106.
  • the bottom electrode layer 306 is formed using a PVD or an ALD process.
  • the bottom electrode layer 306 includes a material deposited by a physical vapor deposition (PVD) process.
  • PVD physical vapor deposition
  • the bottom electrode layer 306 is deposited by PVD and is composed of a material such as, but not limited to, TiN, TaN, W or Ru.
  • the bottom electrode layer 306 is deposited by PVD to a thickness approximately in the range of 30 nm to lOOnm.
  • the process of depositing the bottom electrode layer 306 using PVD may include an in-situ sputter cleans to first remove any oxide residue from the uppermost surface of the conductive interconnect 304.
  • a gas containing Ar may be used to energetically bombard the surface of the conductive interconnect 304 to remove any native oxide.
  • the bottom electrode layer 306 is formed by a PVD process and is subsequently polished to achieve a surface roughness of 1 nm or less. Reducing surface roughness using a polishing process may offer advantages during cycling of an RRAM device as it may serve to reduce abrupt filament nucleation and hence lessen variation in cycling voltage in a large device array.
  • the bottom electrode layer 306 is formed using an atomic layer deposition (ALD) process.
  • ALD atomic layer deposition
  • the ALD process may offers advantages such as greater film thickness uniformity ( ⁇ 1 %) compared to a PVD process (-5%), but may have a slower deposition rate, e.g., a deposition rate of 0.5 nm - 2nm/min.
  • a planarization process is not needed subsequent to depositing using an ALD process. Reducing surface roughness using an ALD process may offer advantages during cycling of an RRAM device as it serves to reduce abrupt filament nucleation and hence lessen variation in cycling voltage in a large device array.
  • the bottom electrode layer 306 is deposited by ALD and is composed of a material such as, but not limited to, TiN, TaN, W and Ru.
  • an oxygen exchange layer 308 is formed on the uppermost surface of bottom electrode layer 306.
  • the oxygen exchange layer 308 includes a metal such as, but not limited to, hafnium, titanium or tantalum.
  • the oxygen exchange layer 308 has a thickness sufficiently thick to protect the underlying bottom electrode 306 during a subsequent metal oxide layer deposition process involving oxidation.
  • the oxygen exchange layer 308 is formed to a thickness approximately in the range of 5-20 nanometers.
  • the oxygen exchange layer 308 and the bottom electrode layer 306 are deposited sequentially in a same chamber or in a same tool without breaking vacuum.
  • deposition of the oxygen exchange layer 308 involves the use of energetic ions that bombard the bottom electrode layer 306.
  • intermixing between the constituents of the bottom electrode layer 306 and the oxygen exchange layer 308 leads to formation of a conductive metal alloy interface (as illustrated by the dashed line 305 in Figure 3B).
  • the alloy is composed of materials such as, but not limited to, titanium, nitrogen and hafnium.
  • the intermixing leads to an oxygen-free region.
  • the metal oxide switching layer 310 is formed on an oxygen exchange layer 308.
  • the thickness of the metal oxide switching layer 310 has a thickness approximately in the range of 2-5nm.
  • the metal oxide switching layer 310 is formed using an ALD process.
  • the ALD process may be characterized by a slow and a highly controlled metal oxide deposition rate.
  • the ALD process may also be highly uniform (e.g., approximately O. lnm level variation).
  • the metal oxide switching layer 310 is formed using a PVD process.
  • an energetic PVD deposition process may cause intermixing between the metal oxide switching layer 310 and the oxygen exchange layer 308.
  • intermixing leads to a thin transition region (indicated by the dashed line 309) between the metal oxide switching layer 310 and the oxygen exchange layer 308.
  • a switching layer for an RRAM device ultimately includes the thin transition region of the oxygen exchange layer 308 together with the metal oxide switching layer 310.
  • Figure 3C illustrates a resist pattern formed on a dual hardmask layer 313 composed of a first dielectric hardmask layer 312 formed on a second dielectric hardmask layer 314 formed on the material layer stack 300.
  • the first dielectric hardmask layer 312 is a material having a composition and a thickness such as described above in association with the dielectric hardmask layer 112.
  • the second dielectric hardmask layer 314 is a material having a composition similar to the dielectric hardmask layer 312.
  • first dielectric hardmask layer 312 and the second dielectric hardmask layer 314 are composed of a carbon doped silicon material.
  • first dielectric hardmask layer 312 has a lower carbon concentration than the second dielectric hardmask layer 314.
  • the second dielectric hardmask layer 314 has a carbon concentration of approximately 1-2% more carbon than the first dielectric hardmask layer 312.
  • the first dielectric hardmask layer 312 and the second dielectric hardmask layer 314 both have a carbon concentration approximately in the range of 1-3%, however, the first dielectric hardmask layer 312 has a lower carbon concentration than the second dielectric hardmask layer 314.
  • first dielectric hardmask layer 312 and the second dielectric hardmask layer 314 both have a same carbon concentration. In one embodiment, the first dielectric hardmask layer 312 has no carbon and the second dielectric hardmask layer 314 has 1 -3% carbon concentration. In an embodiment, the first dielectric hardmask layer 312 has a carbon concentration of 1 -3%, and the second dielectric hardmask layer 314 has no carbon.
  • resist pattern 319 has a shape that ultimately defines a shape of an RRAM device fabricated from the material layer stack 300.
  • the resist partem 319 has rectangular shape or a circular shape.
  • the resist pattern 319 has a shortest width in the range of 20-100nm.
  • Resist pattern 319 may include one or more materials such as an anti-reflective coating (ARC), gap-fill and planarizing material in addition to or in place of a photoresist material.
  • the resist pattern 319 is formed to a thickness sufficient to retain its profile during subsequent patterning of the dual hardmask layer 313 but not so thick as to prevent lithographic patterning into the smallest dimensions (e.g., critical dimensions) possible with photolithography processing.
  • Figure 3D illustrates the structure of Figure 3C following an etch process used to transfer the resist pattern 319 into the second dielectric hardmask layer 314 to form a second dielectric hardmask pattern, followed by removal of the resist partem.
  • an anisotropic plasma etch process is used to partem second dielectric hardmask layer 314 with selectivity to the resist pattern 319.
  • a selectivity of greater than 3 to 1 between photoresist material and second dielectric hardmask layer 314 is achieved.
  • chemical etchants utilized in the plasma etch process may depend on the dielectric material being etched, and may include one or more of CH x F y , C , Ar, N2 and CF4.
  • Sidewall angles of the pattemed dual hardmask layer 313 may be tailored to vary from 85-90 degrees depending on the type of etch conditions employed.
  • the etch process will expose the underlying first dielectric hardmask layer 312.
  • the etch selectivity between the first dielectric hardmask layer 312 and the second dielectric hardmask layer 314 has a value approximately in the range of 0.5 to 0.8.
  • a portion of the second dielectric hardmask layer 314 is removed while etching the first dielectric hardmask layer 312.
  • the resist pattern 319 is removed using an ash process.
  • the ash process may include use of a gas containing O2, H2/N2, etc. It is to be appreciated that polymeric films, which may result from the interaction between a photoresist material and etch byproducts during memory device etch, may adhere to the sidewall portions of an etched RRAM material layer stack 300. If portions of such polymeric layers have metallic components, device performance may be significantly degraded. As such, in one embodiment, the resist pattern 319 is removed prior to etching the second dielectric hardmask layer 314.
  • Figure 3E illustrates the structure of Figure 3D following an etch process used to transfer the second dielectric hardmask pattern into the first dielectric hardmask layer 312 and the material layer stack 300 to form a dual hardmask layer 313 and a patterned material layer stack 300.
  • etching of the second dielectric hardmask layer 314, metal oxide switching layer 310, oxygen exchange layer 308 and the bottom electrode layer 306 is performed in a single introduction in an etch tool to etch all layers of the material layer stack 300 in a single pass.
  • different chemistries may be utilized in the etch recipes.
  • the dielectric hardmask layer 314 is etched using a reactive ion etch with chemistry including Ar, CF4.
  • a hafnium-based oxygen exchange layer 3 is etched using BC13, C12, and Ar.
  • metal oxide switching layer 310 and the oxygen exchange layer 308 include a same metal, such as Hf, the etch may be carried out with BC13, C12, and Ar.
  • a Ta-based metal oxide switching layer 310 and a Ta-based oxygen exchange layer 308 is patterned using a mixture of CHF X , Ar, Ch containing chemistry.
  • a TiN bottom electrode layer 306 is etched using a reactive ion etch with chemistry including Ar, CF4 and C12.
  • the metallic nature of the oxygen exchange layer and the bottom electrode leads to little to no notching in the oxygen exchange layer.
  • the width of the bottom electrode 306 is larger than the width of the conductive interconnect 304.
  • the bottom electrode layer 306 is completely etched the underlying first dielectric layer 302 is exposed.
  • Figure 3F illustrates the structure of Figure 3E following the formation of a dielectric spacer layer 315 covering the dual hardmask layer 313, the patterned material layer stack 300 and the first dielectric layer 302.
  • deposition of the dielectric spacer layer 315 is performed immediately post RRAM device etch, prior to breaking vacuum in the same tool or chamber used for the etch process. Such a procedure, known in the art as in-situ deposition, may hermetically seal the device and potentially decrease oxidation of the perimeter of the sensitive metal oxide switching layer 310.
  • the dielectric spacer layer 315 is a material such as, but not limited to, silicon nitride, silicon carbide, carbon-doped silicon nitride, or any suitable non-oxygen containing material.
  • the dielectric spacer layer 315 has a thickness approximately in the range of 20-50nm. In another embodiment, the material layer stack 300 and the dual hardmask layer 313 have angled sidewalls between 80-90 degrees, and the dielectric spacer layer 315 is deposited to a thickness greater than 50nm.
  • Figure 3G illustrates the structure of Figure 3F following an anisotropic plasma etch of the dielectric spacer layer 315 to form a dielectric spacer 316.
  • a silicon nitride or carbon doped silicon nitride dielectric spacer layer 315 is reactive-ion etched utilizing a chemistry including Ar, O2, and a fluorocarbon such as but not limited to CHF3, CH2F2, or C4F8.
  • the resulting structure as depicted in Figure 3G has a vertical dielectric spacer structure that extends from the base of the first dielectric layer 302 to the top of the dual hardmask layer 313.
  • the dielectric spacer extends above the uppermost level of the top electrode 312 but below the uppermost portions of the dual hardmask layer 313.
  • the first dielectric layer 302 is exposed post formation of the bottom electrode layer 306, there may be a small but noticeable amount of recess 323 in the dielectric layer 302 depending on the etch selectivity to the first dielectric layer 302 (indicated by the dotted line in Figure 3G).
  • Figure 3H illustrates the structure of Figure 3G following formation of a second dielectric layer 318 covering the dual hardmask layer 313, the patterned material layer stack 300, the dielectric spacer 316, and the first dielectric layer 302.
  • the total thickness of second dielectric layer 318 is in the range of 250-350 nm. Suitable materials for the second dielectric layer 318 may be the same as those described in association with the second dielectric layer 118. In an embodiment, a total thickness of the second dielectric layer 318 is
  • Figure 31 illustrates the structure of Figure 3H following planarization to form coplanar surfaces of the second dielectric layer 318, the dielectric spacer 316, and the first dielectric hardmask layer 312.
  • a chemical mechanical polishing (CMP) process is used for the planarizing.
  • the resulting structure may include uppermost portions of the second dielectric layer 318, the dielectric spacer 316 and the first dielectric hardmask layer 312 that are co-planar with one another.
  • Figure 3 J illustrates the structure of Figure 31 following formation of a third dielectric layer
  • Suitable materials for the third dielectric layer 320 may be the same as those described in association with the third dielectric layer 120.
  • a total thickness of the third dielectric layer 320 is approximately in the range of 50-150nm.
  • Figure 3K illustrates the structure of Figure 3 J following patterning of a photoresist material to form a mask 326 to define a via location.
  • Suitable materials for the mask 326 may be the same as those described in association with the mask 322.
  • Figure 3L illustrates the structure of Figure 3K following an etch process to create an upper portion 321 A of a via 321 in the third dielectric layer 320, followed by mask removal.
  • a silicon oxide or carbon doped silicon oxide dielectric layer is reactive-ion etched utilizing a chemistry including Ar, O2, CO and a fluorocarbon such as but not limited to CHF3, CH2F2, or C4F8.
  • the resulting upper portion 321 A of a via 321 has sloped sidewalls as is depicted in Figure 3L.
  • the etch selectivity between the third dielectric layer 320 and the first dielectric hardmask layer 312 is approximately in the range of 10-14. In other words the first dielectric hardmask layer 312 acts as an excellent etch stop for etching the third dielectric layer 320.
  • Figure 3M illustrates the structure of Figure 3L following an etch process to form a lower portion 321B of the via 321 in the first dielectric hardmask layer 312, the via 321 exposing the metal oxide switching layer 310.
  • a silicon oxide or carbon doped silicon oxide dielectric layer is reactive-ion etched utilizing a chemistry including Ar, and a
  • the etch is composed of multiple processes: a first timed process to remove the bulk of the first dielectric hardmask layer 312, a second end pointed process to gently land on the metal oxide switching layer followed by a third least aggressive cleanup process.
  • O2 containing chemistry is utilized to etch the bulk of the dielectric hardmask layer 312, the clean-up process is however devoid of O2.
  • the sidewall of the upper portion 321A of via 321 and the lower portion 321B of via 321 is collinear as depicted in Figure 3M.
  • the lower portion 321B of via 321 is more vertical compared to the sidewall profile of the upper portion 321 A of via 321 in the third dielectric layer 320. In yet another embodiment, the lower portion 321B of via 321 is more sloped compared to the sidewall of the upper portion 321 A of via 321 in the third dielectric layer 320. In an embodiment, a wet etch is employed to clean the remaining first dielectric hardmask layer 312 after a plasma etch.
  • Figure 3N illustrates the structure of Figure 3M following formation of a top electrode layer 322 and a top electrode fill layer 324 in the via 321.
  • the top electrode layer 322 is formed in the via opening 321 , on the metal oxide switching layer 310, on the sidewalls of the first dielectric hardmask layer 312, the sidewalls of the third dielectric layer 320 and on the uppermost surface of the third dielectric layer 320.
  • a thin layer of additional metal oxide material is deposited on the exposed metal oxide switching layer 310 prior to deposition of the top electrode layer 322.
  • the top electrode layer 322 is a material having a composition and a thickness such as described above in association with the top electrode 122.
  • the top electrode layer 322 is formed using a physical vapor deposition (PVD) or an ALD process.
  • the top electrode layer 322 includes a material deposited by a physical vapor deposition (PVD) process.
  • the top electrode layer 322 is composed of a material such as, but not limited to, Pt, W, Pd and Ir.
  • the top electrode layer 322 is composed of a material such as, but not limited to, TiN, TaN or Ru.
  • top electrode layer 322 on the uppermost surface of metal oxide switching layer 310 is greater than the thickness of the top electrode layer 322 on the sidewalls of the via 321.
  • a seam may form at an interface between the sidewalls of the lower portion 321B of via 321 and the metal oxide switching layer 310.
  • the top electrode layer 322 is formed using an atomic layer deposition (ALD) process.
  • ALD atomic layer deposition
  • the ALD process may offers advantages such as greater film thickness uniformity ( ⁇ 1 %) compared to a PVD process (-5%), but may have a slower deposition rate, e.g., a deposition rate of 0.5 nm - 2nm/min.
  • ALD processing may also be less aggressive compared to an energetic deposition of the PVD process leading to virtually no damage of the metal oxide switching layer.
  • the top electrode layer 322 deposited by an ALD process is composed of a material such as, but not limited to, Pt, W, Pd and Ir.
  • the top electrode layer 322 deposited by an ALD process is composed of a material such as, but not limited to, TiN, TaN or Ru.
  • the top electrode fill layer 322 is also formed by a PVD deposition process and is composed of a material such as, but not limited to, TiN, TaN or W.
  • the thickness of the top electrode fill layer 322 is chosen, so as to completely fill the via 321 and extend 50nm-100nm above the level of the uppermost surface of the third dielectric layer 320.
  • Figure 30 illustrates the structure of Figure 3N following planarization to form a top electrode 327 and a top electrode contact 325.
  • a chemical mechanical polishing (CMP) process is used for the planarizing.
  • the resulting structure includes uppermost surfaces of the third dielectric layer 320, the top electrode 327 and the top electrode contact 325 that are coplanar or substantially coplanar with one another.
  • Figures 4A-4K illustrate cross-sectional views representing various operations in another method of fabricating an RRAM device having a bottom oxygen exchange layer, in accordance with another embodiment of the present invention.
  • Figure 4A illustrates the structure of Figure 3H following planarization of the second dielectric layer to form coplanar surfaces of the second dielectric layer 418, the dielectric spacer 416, and the second dielectric hardmask layer 414.
  • a chemical mechanical polishing (CMP) process is used for the planarizing.
  • the resulting structure may include uppermost portions of the second dielectric layer 418, the dielectric spacer 416 and the second dielectric hardmask layer 414 that are co-planar with one another.
  • Figure 4B illustrates the structure of Figure 4A following patterning of a photoresist material to form a mask 417 to define a first via location.
  • Suitable materials for the mask 417 may be the same as those described in association with the mask 322 in Figure 3C.
  • Figure 4C illustrates the structure of Figure 4B following an etch process to create an upper portion 419A of a first via 419 in the second dielectric hardmask layer 414, followed by a mask removal.
  • Etch processes may be comparable to those described in association with the formation of the lower portion of via 321b.
  • the mask 417 is removed using an ash process.
  • the ash process includes use of a gas containing C , H2/N2, etc.
  • mask 417 is removed before exposure of the uppermost surface of metal oxide switching layer 410 in order to avoid deliberate O2 bombardment of the metal oxide switching layer 410.
  • Figure 4D illustrates the structure of Figure 4C following an etch process to form a lower portion 419B of the first via 419 in the first dielectric hardmask layer 412, the first via 419 exposing the metal oxide switching layer 410.
  • Etch processes may be comparable to those described in association with the formation of the lower portion of via 321B.
  • completing the etch with a wet chemical clean-up process is desirable to protect the uppermost surface of the metal oxide switching layer 410.
  • via size 419 is approximately in the range of 10-30nm at the top of the opening 419.
  • the taper in the sidewall profile of the second dielectric hardmask layer 414 and the first dielectric hardmask layer 412 forms an opening at the surface of the metal oxide switching layer 410 that is approximately in the range of 5-10nm.
  • a hot phosphoric acid heated to 155 degrees Celsius is used to remove any surface remaining nitride on the surface of the metal oxide switching layer 410.
  • Figure 4E illustrates the structure of Figure 4D following formation of a top electrode layer 422 in the first via 419 and on the metal oxide switching layer 410.
  • Techniques and materials utilized in the formation of the top electrode layer 322 described in association with Figure 3N, may be applicable to forming the top electrode layer 422 as depicted in Figure 4E.
  • the relatively small opening in via 419 utilizes an ALD process to completely or almost completely fill the via 419
  • Figure 4F illustrates the structure of Figure 4E following a planarization process to form a top electrode 423.
  • a chemical mechanical polishing (CMP) process is used for the planarizing.
  • the resulting structure includes uppermost surfaces of the second dielectric layer 418, dielectric spacer 416, the first dielectric hardmask layer 412 and top electrode 423 that are co-planar or substantially co-planar with one another.
  • some remnants of the second dielectric hardmask layer 414 may remain on the uppermost surface of the first dielectric hardmask layer 412.
  • Figure 4G illustrates the structure of Figure 4F following formation of a third dielectric layer 420 on the coplanar surfaces of the second dielectric layer 418, the dielectric spacer 416, the first dielectric hardmask layer 412 and the top electrode 423.
  • Techniques, materials and material thickness utilized in formation of the third dielectric layer 320 described in association with Figure 3J, may be applicable to forming the third dielectric layer 420, as depicted in Figure 4G.
  • Figure 4H illustrates the structure of Figure 4G following patterning of a photoresist material to form a mask 421 to define a second via location.
  • Suitable materials for the mask 421 may be the same as those described in association with the mask 417.
  • Figure 41 illustrates the structure of Figure 4H following an etch process to create a second via 426 in the third dielectric layer 420, followed by mask removal.
  • a silicon oxide or carbon doped silicon oxide based third dielectric layer 420 is reactive-ion etched utilizing a chemistry including Ar, C , CO and a fluorocarbon such as but not limited to CHF3, CH2F2, or C4F8.
  • the resulting second via 426 has a sloped sidewall profile as is depicted in Figure 4J.
  • the etch selectivity between the third dielectric layer 320 and the first dielectric hardmask layer is approximately in the range of 10-14.
  • the etch selectivity between the third dielectric layer 420 and the top electrode layer 422 is approximately in the range 20-40. In an embodiment, differences in etch selectivity between two material surfaces that are simultaneously exposed during an etch can lead to non- coplanar surfaces. In one such embodiment, not shown in the Figure 41, the upper most surface of the first dielectric hardmask layer 412 is below the upper most surface of the top electrode layer 422.
  • Figure 4J illustrates the structure of Figure 41 following formation of a top electrode contact layer 425 in the second via 426.
  • Techniques, materials and material thickness utilized in formation of the top electrode fill layer 324 described in association with Figure 3N may be applicable to forming the top electrode fill layer 424.
  • Figure 4K illustrates the structure of Figure 4J following a planarization process to form a top electrode contact.
  • a chemical mechanical polishing (CMP) process is used for the planarizing.
  • the resulting structure includes uppermost surfaces of the third dielectric layer 420 and the top electrode contact 425 that are coplanar or substantially coplanar with one another.
  • Figure 5A illustrates a cross-sectional view representing a patterned material layer stack 300, where the width of a bottom electrode 306 is smaller than the width of a conducive interconnect 304, in accordance with an embodiment of the present invention.
  • etching of the bottom electrode layer 306 exposes the uppermost surface of the conductive interconnect 304.
  • the etch may undesirably create recesses 510 and sputter copper particles 520 across the surface of the substrate.
  • Figure 5B illustrates a cross-sectional view representing an RRAM device where the width of a bottom electrode is smaller than the width of a conductive interconnect 500, and the conductive interconnect 500 includes a capping layer, in accordance with an embodiment of the present invention.
  • the conductive interconnect 500 includes capping layer 502 over a conductive fill material 504 and between a barrier layer 506.
  • the capping layer 502 is composed of a material different than the material of the fill material 504.
  • the conductive interconnect 500 is fabricated by recessing a fill material of the conductive interconnect 304.
  • a conductive capping material is then formed in the recess and on the uppermost surface of the first dielectric layer 302 and planarized to provide the capping layer 502.
  • the capping layer 402 is composed of a different material than the bottom electrode 306 such that the bottom electrode 306 may be selectively etched such that the capping layer 502 is not recessed during the etch.
  • the capping layer 502 is composed of the same material as the bottom electrode 306 and is recessed to form recesses 508 during the formation of the bottom electrode 306.
  • the capping layer 502 is sufficiently thick such that the recesses 508 do not expose an uppermost copper surface of conductive fill material 504.
  • Figures 6A-6D illustrate cross-sectional views representing various operations in a method of fabricating a bottom electrode 606 integrated on a conductive interconnect 604, in accordance with an embodiment of the present invention.
  • Figure 6A illustrates a conductive interconnect 604 formed in a first dielectric layer 602 above a substrate 600.
  • Conductive interconnect 604 may be fabricated in a manner similar to the conductive interconnect 304 described in association with Figure 3A.
  • Figure 6B illustrates the structure of Figure 6A following recessing of the conductive interconnect 604 to a level below an uppermost surface of the first dielectric layer 602 to form a recess 603.
  • the recessing is performed by a combination of a dry and a wet etch process.
  • the recess 603 has a depth approximately in the range of 30nm- 60nm.
  • the recessing process may or may not recess all components of the conductive interconnect 604.
  • a conductive fill material is recessed and a diffusion barrier layer is not recessed and extends above the recessed conductive fill material.
  • both a conductive fill material and a diffusion barrier layer are recessed.
  • Figure 6C illustrates the structure of Figure 6B following formation of a bottom electrode material 605 on the recessed conductive interconnect 604 and on the uppermost surface of the first dielectric layer 602. Exemplary materials and deposition processes for the bottom electrode material 605 are as described above in association with bottom electrode 306.
  • Figure 6D illustrates the structure of Figure 6C following planarization of the bottom electrode material 605 to form a bottom electrode 606.
  • the bottom electrode material 605 is planarized using a CMP process.
  • the CMP process provides the bottom electrode 606 with an uppermost surface co-planar with the uppermost surface of the first dielectric layer 602.
  • Figure 7 A illustrates a cross-sectional view representing an RRAM device 700 where the width of a bottom electrode 606 and the width of the top electrode 122 may each be
  • the oxygen exchange layer 108 is formed on the uppermost surface of a bottom electrode 606 and on the first dielectric layer 602.
  • the fabrication process of structure 710 is carried out in a manner similar to the methods described in association with Figures 3C-30.
  • the first dielectric layer 602 is a non-oxygen containing material such as but not limited to silicon nitride and carbon doped silicon nitride.
  • the width of the oxygen exchange layer 108 and the metal oxide switching layer 1 10 is greater than the width of the top electrode 122 where the top electrode 122 is in contact with the metal oxide switching layer 1 10.
  • the width of the oxygen exchange layer 108 and the metal oxide switching layer 110 is also greater than the width of the bottom electrode 106.
  • filament formation for the RRAM device is centralized, away from the edges of the metal oxide switching layer 110.
  • the arrangement provides an effectively smaller RRAM device without a need for scaling the width of the metal oxide switching layer 110 and the oxygen exchange layer 108.
  • Figure 7B illustrates a cross-sectional view representing an RRAM device 710 where the width of a bottom electrode 606 and the width of the top electrode 222 may each be
  • the oxygen exchange layer 108 is formed on the uppermost surface of a bottom electrode 606 and on the first dielectric layer 602.
  • the fabrication process of structure 710 is carried out in a manner similar to the methods described in association with Figures 4A-4K.
  • the first dielectric layer 602 is a non-oxygen containing material such as but not limited to silicon nitride and carbon doped silicon nitride.
  • the width of the oxygen exchange layer 108 and the metal oxide switching layer 110 is greater than the width of the top electrode 122 where the top electrode 122 is in contact with the metal oxide switching layer 110.
  • the width of the oxygen exchange layer 108 and the metal oxide switching layer 110 is also greater than the width of the bottom electrode 106.
  • filament formation for the RRAM device is centralized, away from the edges of the metal oxide switching layer 110.
  • the arrangement provides an effectively smaller RRAM device without a need for scaling the width of the metal oxide switching layer 110 and the oxygen exchange layer 108.
  • RRAM devices presented in connection with Figure 1 A and 2 A, are connected to form a two terminal device such as is illustrated in Figure 12.
  • RRAM devices such as shown in Figure 1A and Figure 2A undergo a high temperature anneal process at the end of the fabrication process. In an embodiment, anneal temperatures reach 400°C and last for a time period of 60 minutes.
  • Annealing is a thermal phenomenon that serves to drive the O 2" from the metal oxide switching layer thus creating Oxygen vacancies, V 0 in this layer.
  • the O 2" from the metal oxide switching layer diffuses to the oxygen exchange layer below. The effect serves to increase the V 0 density in the metal oxide switching layer 110 layer priming it for creation of one more conductive filaments.
  • FIGS 9A illustrates an I-V plot, demonstrating concepts involved with filament formation and voltage cycling (reading and writing) in an RRAM device, such as is depicted in Figure 9B, in accordance with embodiments of the present invention.
  • the initial operation of an RRAM device begins by gradually applying a voltage that is increasing in magnitude, between the top electrode 122 and the bottom electrode 106.
  • oxygen vacancies, V 0 are pumped in from the oxygen exchange layer 108 into the metal oxide switching layer 110 to augment the vacancies created during the anneal process described above. This leads to a formation of a "conductive V 0 filament" in the metal oxide switching layer 110 (point B), also shown in the RRAM device in Figure 9B.
  • the RRAM device With a conductive filament bridging the top electrode 122 and the bottom electrode 106, the RRAM device is said to be almost immediately conductive and thus, in a low resistance state (point C).
  • point C By sweeping the voltage between the top electrode 122 and bottom electrode 106 in a reversed direction (point C to D and then to F), causing a reversal in an electric field direction, the oxygen vacancies (technically positively charged ions) are now directed towards the oxygen exchange layer 108 leading to a dissolution of the conductive filament in the metal oxide switching layer 110. Filament dissolution takes place at some critical voltage (point F), termed VReset, and the device returns to a high resistance state (point G).
  • point F critical voltage
  • the high resistance level of the RRAM device, point G is different and lower in magnitude compared to the resistance level of the device before the onset of the forming process.
  • the momentarily dissolved filament begins to manifests again under the action of vacancy migration.
  • Vset some critical voltage
  • the filament completely bridges the top electrode 122 and the bottom electrode 106 and the device is once again said to be in a conductive mode or a low resistance state, point J.
  • the resistance of the RRAM device is maintained to within a certain range.
  • Vset or VReset the switching voltage
  • the device when an RRAM device undergoes a read operation where a voltage, less than the switching voltage (Vset or VReset) is applied, the device exhibits a numerical resistance value approximately similar in value before the voltage is turned off.
  • Vset and VReset generally refer to a portion of a voltage that is applied to a transistor in series with the RRAM element.
  • the RRAM device coupled with a transistor in this manner is given the term embedded memory.
  • FIG 10 illustrates a RRAM device 1004, formed on a conductive interconnect 1002 disposed in a via formed in a dielectric 1007 and integrated with a logic transistor 1030 disposed above a substrate 1005.
  • RRAM device 1004 includes a bottom electrode 1006, an oxygen exchange layer 1008, a metal oxide switching layer 1010 and a top electrode 1014.
  • the RRAM device 1004 is a device such as described in association with Figure 1A.
  • the RRAM device is disposed directly on a conductive interconnect coupled to a contact structure 1012 connected to the drain 1020 of the transistor.
  • RRAM device 1004 is connected to an upper interconnect 1016.
  • the RRAM device 1004 is a device such as described in association with Figure 1A.
  • the underlying semiconductor substrate 1005 represents a general workpiece object used to manufacture integrated circuits.
  • the semiconductor substrate often includes a wafer or other piece of silicon or another semiconductor material.
  • Suitable semiconductor substrates include, but are not limited to, single crystal silicon, poly crystalline silicon and silicon on insulator (SOI), as well as similar substrates formed of other
  • the substrate may also include semiconductor materials, metals, dielectrics, dopants, and other materials commonly found in semiconductor substrates.
  • transistors associated with substrate 1005 are metal-oxide- semiconductor field-effect transistors (MOSFET or simply MOS transistors), fabricated on the substrate 1005.
  • MOSFET metal-oxide- semiconductor field-effect transistors
  • the MOS transistors may be planar transistors, nonplanar transistors, or a combination of both.
  • Nonplanar transistors include Fin- FET transistors such as double-gate transistors and tri-gate transistors, and wrap-around or all- around gate transistors such as nanoribbon and nanowire transistors.
  • each MOS transistor 1030 of substrate 1005 includes a gate stack formed of at least two layers, a gate dielectric layer and a gate electrode layer.
  • the gate dielectric layer may include one layer or a stack of layers.
  • the one or more layers may include silicon oxide, silicon dioxide (S1O2) and/or a high-k dielectric material.
  • the high-k dielectric material may include elements such as hafnium, silicon, oxygen, titanium, tantalum, lanthanum, aluminum, zirconium, barium, strontium, yttrium, lead, scandium, niobium, and zinc.
  • high-k materials that may be used in the gate dielectric layer include, but are not limited to, hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate.
  • an annealing process may be carried out on the gate dielectric layer to improve its quality when a high-k material is used.
  • the gate electrode layer of each MOS transistor of substrate 1005 is formed on the gate dielectric layer and may consist of at least one P-type work function metal or N-type work function metal, depending on whether the transistor is to be a PMOS or an NMOS transistor.
  • the gate electrode layer may consist of a stack of two or more metal layers, where one or more metal layers are work function metal layers and at least one metal layer is a conductive fill layer.
  • metals that may be used for the gate electrode include, but are not limited to, ruthenium, palladium, platinum, cobalt, nickel, and conductive metal oxides, e.g., ruthenium oxide.
  • a P-type metal layer will enable the formation of a PMOS gate electrode with a work function that is between about 4.9 eV and about 5.2 eV.
  • metals that may be used for the gate electrode include, but are not limited to, hafnium, zirconium, titanium, tantalum, aluminum, alloys of these metals, and carbides of these metals such as hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide, and aluminum carbide.
  • An N-type metal layer will enable the formation of an NMOS gate electrode with a work function that is between about 3.9 eV and about 4.2 eV.
  • the gate electrode may consist of a "U"-shaped structure that includes a bottom portion substantially parallel to the surface of the substrate and two sidewall portions that are substantially perpendicular to the top surface of the substrate.
  • at least one of the metal layers that form the gate electrode may simply be a planar layer that is substantially parallel to the top surface of the substrate and does not include sidewall portions substantially perpendicular to the top surface of the substrate.
  • the gate electrode may consist of a combination of U-shaped structures and planar, non-U-shaped structures.
  • the gate electrode may consist of one or more U-shaped metal layers formed atop one or more planar, non-U-shaped layers.
  • a pair of sidewall spacers 1040 may be formed on opposing sides of the gate stack that bracket the gate stack.
  • the sidewall spacers may be formed from a material such as silicon nitride, silicon oxide, silicon carbide, silicon nitride doped with carbon, and silicon oxynitride. Processes for forming sidewall spacers are well known in the art and generally include deposition and etching process operations. In an alternate implementation, a plurality of spacer pairs may be used, for instance, two pairs, three pairs, or four pairs of sidewall spacers may be formed on opposing sides of the gate stack.
  • source 1050 and drain 1020 regions are formed within the substrate adjacent to the gate stack of each MOS transistor.
  • the source and drain regions are generally formed using either an implantation/diffusion process or an etching/deposition process.
  • dopants such as boron, aluminum, antimony, phosphorous, or arsenic may be ion-implanted into the substrate to form the source and drain regions.
  • An annealing process that activates the dopants and causes them to diffuse further into the substrate typically follows the ion implantation process.
  • the substrate may first be etched to form recesses at the locations of the source and drain regions.
  • the source and drain regions may be fabricated using a silicon alloy such as silicon germanium or silicon carbide.
  • the epitaxial deposited silicon alloy may be doped in situ with dopants such as boron, arsenic, or phosphorous.
  • the source and drain regions may be formed using one or more alternate semiconductor materials such as germanium or a group III-V material or alloy. And in further embodiments, one or more layers of metal and/or metal alloys may be used to form the source and drain regions.
  • integrating memory directly onto a microprocessor chip would be advantageous since it enables higher operation speeds compared to having physically separate logic and memory chips.
  • traditional charge-based memory technologies such as DRAM and NAND Flash are now facing severe scalability issues related to increasingly precise charge placement and sensing requirements.
  • embedding charge-based memory directly onto a high performance logic chip is not very attractive for future technology nodes.
  • a memory technology that does have the potential to scale to much smaller geometries compared to traditional charge-based memories is resistive random access memory (RRAM), since it relies on resistivity rather than charge as the information carrier.
  • RRAM resistive random access memory
  • an appropriate integrated logic plus RRAM structure and fabrication method is needed.
  • Embodiments of the present invention include such structures and fabrication processes.
  • Embodiments described herein include a fabrication method for embedding RRAM bit cell arrays into a logic process technology. Embodiments described may be advantageous for processing schemes involving the fabrication of logic processors with embedded memory arrays.
  • an RRAM element may be included in an integrated circuit in regions typically referred to as back end or back end of line (BEOL) layers of the integrated circuit.
  • BEOL back end or back end of line
  • Figures 11 A-l IE illustrate schematic views of several options for positioning an RRAM element in an integrated circuit, in accordance with embodiments of the present invention.
  • Each memory region 1100 includes a select transistor 1104 and overlying alternating metal lines and vias.
  • Each logic region includes a plurality of transistors 1106 and overlying alternating metal lines and vias which can be used to connect the plurality of transistors 1106 into functional circuits, as is well known in the art.
  • an RRAM device 1120 is disposed between a lower conductive via 1122 and an upper conductive line 1124.
  • the lower conductive via 1122 is in electrical contact with a bottom electrode of the RRAM device 1120
  • the upper conductive line 1124 is in electrical contact with a top electrode of the RRAM device 1120.
  • the lower conductive via 1122 is in direct contact with a bottom electrode of the RRAM device 1120
  • the upper conductive line 1124 is in direct contact with a top electrode of the RRAM device 1120.
  • an RRAM device 1130 is disposed between a lower conductive line 1132 and an upper conductive via 1134.
  • the lower conductive line 1132 is in electrical contact with a bottom electrode of the RRAM device 1130
  • the upper conductive via 1134 is in electrical contact with a top electrode of the RRAM device 1130.
  • the lower conductive line 1132 is in direct contact with a bottom electrode of the RRAM device 1130
  • the upper conductive via 1134 is in direct contact with a top electrode of the RRAM device 1130.
  • an RRAM device 1140 is disposed between a lower conductive line 1142 and an upper conductive line 1144 without an intervening conductive via.
  • the lower conductive line 1142 is in electrical contact with a bottom electrode of the RRAM device 1140
  • the upper conductive line 1144 is in electrical contact with a top electrode of the RRAM device 1140.
  • the lower conductive line 1142 is in direct contact with a bottom electrode of the RRAM device 1140
  • the upper conductive line 1144 is in direct contact with a top electrode of the RRAM device 1140.
  • an RRAM device 1150 is disposed between a lower conductive via 1152 and an upper conductive via 1154 without an intervening conductive line.
  • the lower conductive via 1152 is in electrical contact with a bottom electrode of the RRAM device 1150
  • the upper conductive via 1154 is in electrical contact with a top electrode of the RRAM device 1150.
  • the lower conductive via 1152 is in direct contact with a bottom electrode of the RRAM device 1150
  • the upper conductive via 1154 is in direct contact with a top electrode of the RRAM device 1150.
  • an RRAM device 1160 is disposed between a lower conductive line 1162 and an upper conductive via 1164 in place of an intervening conductive line and conductive via pairing.
  • the lower conductive line 1162 is in electrical contact with a bottom electrode of the RRAM device 1160
  • the upper conductive via 1164 is in electrical contact with a top electrode of the RRAM device 1160.
  • the lower conductive line 1162 is in direct contact with a bottom electrode of the RRAM device 1160
  • the upper conductive via 1164 is in direct contact with a top electrode of the RRAM device 1160.
  • Figure 12 illustrates a schematic of a memory bit cell, which includes a metal-conductive oxide-metal RRAM device, in accordance with embodiments of the present invention.
  • the RRAM memory device 1210 may include a bottom electrode 1212 with an extended metal oxide switching layer 1213 formed on the bottom electrode 1212.
  • An oxygen exchange layer 1214 is formed on the extended metal oxide switching layer 1213.
  • a top electrode 1216 is formed on the oxygen exchange layer 1214.
  • the top electrode 1216 may be electrically connected to a bit line 1232.
  • the bottom electrode 1212 may be coupled with a transistor 1234.
  • the transistor 1234 may be coupled with a wordline 1236 and a source line 1238 in a manner that will be understood to those skilled in the art.
  • the RRAM cell 1200 may further include additional read and write circuitry (not shown), a sense amplifier (not shown), a bit line reference (not shown), and the like, as will be understood by those skilled in the art, for the operation of the RRAM cell 1200. It is to be appreciated that a plurality of the RRAM cells 1200 may be operably connected to one another to form a memory array, wherein the memory array can be incorporated into a non-volatile memory region of a substrate in common with a logic region.
  • FIG. 13 illustrates a block diagram of an electronic system 1300, in accordance with an embodiment of the present invention.
  • the electronic system 1300 can correspond to, for example, a portable system, a computer system, a process control system, or any other system that utilizes a processor and an associated memory.
  • the electronic system 1300 may include a microprocessor 1302 (having a processor 1304 and control unit 1306), a memory device 1308, and an input/output device 1310 (it is to be appreciated that the electronic system 1300 may have a plurality of processors, control units, memory device units and/or input/output devices in various embodiments).
  • the electronic system 1300 has a set of instructions that define operations, which are to be performed on data by the processor 1304, as well as, other transactions between the processor 1304, the memory device 1308, and the input/output device 1310.
  • the control unit 1306 coordinates the operations of the processor 1304, the memory device 1308 and the input/output device 1310 by cycling through a set of operations that cause instructions to be retrieved from the memory device 1308 and executed.
  • the memory device 1308 can include a memory element having a conductive oxide and electrode stack as described in the present description.
  • the memory device 1308 is embedded in the microprocessor 1302, as depicted in Figure 13.
  • the processor 1304, or another component of electronic system 1300 includes an array of RRAM devices.
  • FIG 14 illustrates a computing device 1400 in accordance with one embodiment of the invention.
  • the computing device 1400 houses a board 1402.
  • the board 1402 may include a number of components, including but not limited to a processor 1404 and at least one communication chip 1406.
  • the processor 1404 is physically and electrically coupled to the board 1402.
  • the at least one communication chip 1406 is also physically and electrically coupled to the board 1402.
  • the communication chip 1406 is part of the processsor 1404.
  • computing device 1400 may include other components that may or may not be physically and electrically coupled to the board 1402. These other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touch screen display, a touch screen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).
  • volatile memory e.g., DRAM
  • non-volatile memory e.g., ROM
  • flash memory e.g., a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna
  • the communication chip 1406 enables wireless communications for the transfer of data to and from the computing device 1400.
  • wireless and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non- solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not.
  • the communication chip 1406 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev- DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond.
  • the computing device 1400 may include a plurality of communication chips 1406.
  • a first communication chip 1406 may be dedicated to shorter-range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 1406 may be dedicated to longer-range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
  • the processor 1404 of the computing device 1400 includes an integrated circuit die packaged within the processor 1404.
  • the integrated circuit die of the processor includes one or more arrays, such as RRAM memory arrays integrated into a logic processor, built in accordance with embodiments of the present invention.
  • the term "processor" may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • the communication chip 1406 also includes an integrated circuit die packaged within the communication chip 1406.
  • the integrated circuit die of the communication chip includes RRAM memory arrays integrated into a logic processor, built in accordance with embodiments of the present invention.
  • another component housed within the computing device 1400 may contain a stand-alone integrated circuit memory die that includes one or more arrays, such as RRAM memory arrays integrated into a logic processor, built in accordance with
  • the computing device 1400 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra- mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set- top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder.
  • the computing device 1400 may be any other electronic device that processes data.
  • one or more embodiments of the present invention relate generally to the fabrication of embedded microelectronic memory.
  • the microelectronic memory may be nonvolatile, wherein the memory can retain stored information even when not powered.
  • FIG. 15 illustrates an interposer 1500 that includes one or more embodiments of the invention.
  • the interposer 1500 is an intervening substrate used to bridge a first substrate 1502 to a second substrate 1504.
  • the first substrate 1502 may be, for instance, an integrated circuit die.
  • the second substrate 1504 may be, for instance, a memory module, a computer motherboard, or another integrated circuit die.
  • the purpose of an interposer 1500 is to spread a connection to a wider pitch or to reroute a connection to a different connection.
  • an interposer 1500 may couple an integrated circuit die to a ball grid array (BGA) 1506 that can subsequently be coupled to the second substrate 1504.
  • BGA ball grid array
  • the first and second substrates 1502/1504 are attached to opposing sides of the interposer 1500.
  • the first and second substrates 1502/1504 are attached to the same side of the interposer 1500.
  • three or more substrates are interconnected by way of the interposer 1500.
  • the interposer 1500 may be formed of an epoxy resin, a fiberglass-reinforced epoxy resin, a ceramic material, or a polymer material such as polyimide.
  • the interposer may be formed of alternate rigid or flexible materials that may include the same materials described above for use in a semiconductor substrate, such as silicon, germanium, and other group III-V and group IV materials.
  • the interposer may include metal interconnects 1508 and vias 1510, including but not limited to through-silicon vias (TSVs) 1512.
  • the interposer 1500 may further include embedded devices 1514, including both passive and active devices.
  • Such devices include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, and electrostatic discharge (ESD) devices.
  • More complex devices such as radio- frequency (RF) devices, power amplifiers, power management devices, antennas, arrays, sensors, and MEMS devices may also be formed on the interposer 1500.
  • RF radio- frequency
  • Resistive random access memory (RRAM) devices having a bottom oxygen exchange layer and their methods of fabrication are described.
  • a resistive random access memory cell includes a conductive interconnect disposed in a first dielectric layer above a substrate.
  • a resistive random access memory device is coupled to the conductive interconnect and includes a bottom electrode metal layer formed above the conductive interconnect.
  • An oxygen exchange metal layer is formed on the bottom electrode metal layer.
  • a metal oxide switching layer is formed on the oxygen exchange metal layer.
  • a first dielectric hardmask layer is formed on the metal oxide switching layer and includes an opening with bottom and sidewalls.
  • a portion of a top electrode metal layer is formed in the opening, on the oxygen exchange metal layer and on the bottom and along the sidewalls of the opening.
  • a top electrode fill metal layer is then formed on the top electrode metal layer.
  • the bottom electrode metal layer, the oxygen exchange metal layer, the metal oxide switching layer, and the first and second dielectric hardmask layers form a stack having sidewalls.
  • the resistive random access memory device further includes a dielectric spacer film surrounding the sidewalls of the stack, extending from a lowermost portion of the bottom electrode to the uppermost portion of the second dielectric hardmask layer.
  • the second dielectric layer is disposed on a substrate adjacent the dielectric spacer layer.
  • An uppermost surface of the second dielectric layer, the dielectric spacer layer and the second dielectric hardmask layer are coplanar or substantially coplanar with each other.
  • a third dielectric layer is formed on the second dielectric layer, on the dielectric spacer layer and on the second dielectric hardmask layer.
  • the third dielectric layer includes a second opening exposing a first opening.
  • the top electrode metal layer extends along the sidewalls of second opening in the third dielectric layer.
  • the top electrode fill metal layer is formed in the second opening on the top electrode metal layer.
  • the top electrode metal layer is formed on a portion of the metal oxide switching layer.
  • metal oxide switching layer has a chemical composition, MC -x, where M is a metal and O is an oxide, and where X is approximately in the range from 0 to 0.05.
  • the metal oxide switching layer has a thickness approximately in the range of 1-5 nanometers and the oxygen exchange metal layer has a thickness between 5-20nm.
  • the bottom electrode metal layer and the top electrode metal layer include a material, the material selected from the group consisting of titanium nitride, tantalum nitride, tungsten and ruthenium.
  • top electrode metal layer comprises a high work function metal selected from the group consisting of palladium (Pd), tungsten (W) and platinum (Pt), a noble metal or a metal alloy distinct from the bottom electrode metal layer.
  • the resistive random access memory device of claim 1 wherein the top electrode metal layer and the top electrode metal fill layer are a same material, the material selected from the group consisting of titanium nitride, tantalum nitride and tungsten.
  • a method of fabricating resistive random access memory (RRAM) device includes forming a conductive interconnect in a dielectric layer above a substrate.
  • a bottom electrode metal layer is formed on the conductive interconnect.
  • An oxygen exchange metal layer is formed on the bottom electrode metal layer.
  • a metal oxide switching layer is formed on the oxygen exchange metal layer.
  • the method further includes forming a first dielectric hardmask layer on the metal oxide switching layer and forming a second dielectric hardmask layer on the first dielectric hardmask layer. The first and the second dielectric hardmask layers are patterned to form a patterned first and a second dielectric hardmask layer.
  • the method further includes etching the metal oxide switching layer, the oxygen exchange metal layer and the bottom electrode metal layer to form a patterned material layer stack having sidewalls. Subsequent to forming a material layer stack a dielectric spacer is formed surrounding the patterned material layer stack. The dielectric spacer layer extends from the bottom electrode metal layer to the top of the second dielectric hardmask layer. A second dielectric layer is formed on the first dielectric layer, on the patterned second dielectric hardmask layer, on the uppermost surface of the dielectric spacer layer and along the sidewalls of the dielectric spacer layer. The method further includes planarizing the second dielectric layer to form coplanar surfaces of the second dielectric layer, the first dielectric hardmask layer and the dielectric spacer layer.
  • a third dielectric layer is formed on the first dielectric hardmask layer, the dielectric spacer layer and the second dielectric layer.
  • a via is formed in the third dielectric layer and the first dielectric hardmask layer.
  • a layer of top electrode metal layer is formed in the opening and along the sidewalk The method further includes forming a top electrode fill metal layer on the top electrode fill metal layer and planarizing to expose coplanar surfaces of the third dielectric layer, top electrode metal layer and the top electrode fill metal layer.
  • forming the oxygen exchange metal layer includes a physical vapor deposition process and the metal oxide switching layer comprises a physical vapor deposition process or an atomic layer deposition process.
  • the bottom electrode layer, the oxygen exchange metal layer and the metal oxide switching layers are formed sequentially without an air break via a physical vapor deposition process.
  • the hardmask is formed from a material including silicon nitride, silicon carbide and any other dielectric not containing any oxygen.
  • the sidewalls of the opening in the third dielectric layer and the first dielectric hardmask layer are vertical.
  • a method of fabricating resistive random access memory (RRAM) device includes forming a conductive interconnect in a dielectric layer above a substrate.
  • a bottom electrode metal layer is formed on the conductive interconnect.
  • An oxygen exchange metal layer is formed on the bottom electrode metal layer.
  • a metal oxide switching layer is formed on the oxygen exchange metal layer.
  • the method further includes forming a first dielectric hardmask layer on the metal oxide switching layer and forming a second dielectric hardmask layer on the first dielectric hardmask layer. The first and the second dielectric hardmask layers are patterned to form a patterned first and a second dielectric hardmask layer.
  • the method further includes etching the metal oxide switching layer, the oxygen exchange metal layer and the bottom electrode metal layer to form a patterned material layer stack having sidewalls. Subsequent to forming a material layer stack a dielectric spacer is formed surrounding the patterned material layer stack. The dielectric spacer layer extends from the bottom electrode metal layer to the top of the second dielectric hardmask layer. A second dielectric layer is formed on the first dielectric layer, on the patterned second dielectric hardmask layer, on the uppermost surface of the dielectric spacer layer and along the sidewalls of the dielectric spacer layer. The method further includes planarizing the second dielectric layer to form coplanar surfaces of the second dielectric layer, the second dielectric hardmask layer and the dielectric spacer layer.
  • An opening is formed in the second dielectric hardmask layer and in the first dielectric hardmask layer to expose a portion of the metal oxide switching layer.
  • a top electrode metal layer is formed in and along the sidewall of the opening. The top electrode metal layer is planarized to form coplanar surfaces of the top electrode, the first dielectric hardmask layer, the dielectric spacer layer and the second dielectric layer.
  • a third dielectric layer is formed on the top electrode, the first dielectric hardmask layer, the dielectric spacer layer and the second dielectric layer.
  • An opening is formed in the third dielectric layer to expose the top electrode and the first dielectric hardmask layer.
  • a top electrode fill metal layer is formed in the opening, on the top electrode, the first dielectric hardmask layer and along the sidewalls of the third dielectric layer. The top electrode fill metal layer is planarized to form a top electrode contact.
  • the opening in the first dielectric hardmask layer is smaller than the opening in the third dielectric layer.
  • the hardmask is formed of a material consisting of silicon nitride, silicon carbide and any other dielectric not containing any oxygen.
  • the bottom electrode layer, the oxygen exchange metal layer and the metal oxide switching layers are formed sequentially without an air break via a physical vapor deposition process.

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)

Abstract

L'invention porte également sur des dispositifs de mémoire vive résistive (RRAM) ayant une couche inférieure d'échange d'oxygène et sur leurs procédés de fabrication. Dans un exemple, une cellule RRAM comprend une interconnexion conductrice disposée dans une première couche diélectrique au-dessus d'un substrat. Un dispositif RRAM est couplé à l'interconnexion conductrice et comprend une couche d'électrode inférieure formée au-dessus de l'interconnexion conductrice. Une couche métallique d'échange d'oxygène est formée sur la couche d'électrode inférieure. Une couche de commutation est formée sur la couche métallique d'échange d'oxygène. Une première couche de masque dur diélectrique est formée sur la couche de commutation et comprend une ouverture. Une portion d'une couche d'électrode supérieure est formée dans l'ouverture, sur la couche métallique d'échange d'oxygène. Une couche métallique de remplissage d'électrode supérieure est formée sur la couche d'électrode supérieure.
PCT/US2016/040888 2016-07-02 2016-07-02 Dispositifs rram ayant une couche inférieure d'échange d'oxygène et leurs procédés de fabrication WO2018009155A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
PCT/US2016/040888 WO2018009155A1 (fr) 2016-07-02 2016-07-02 Dispositifs rram ayant une couche inférieure d'échange d'oxygène et leurs procédés de fabrication

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2016/040888 WO2018009155A1 (fr) 2016-07-02 2016-07-02 Dispositifs rram ayant une couche inférieure d'échange d'oxygène et leurs procédés de fabrication

Publications (1)

Publication Number Publication Date
WO2018009155A1 true WO2018009155A1 (fr) 2018-01-11

Family

ID=60912952

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2016/040888 WO2018009155A1 (fr) 2016-07-02 2016-07-02 Dispositifs rram ayant une couche inférieure d'échange d'oxygène et leurs procédés de fabrication

Country Status (1)

Country Link
WO (1) WO2018009155A1 (fr)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10361367B1 (en) 2018-07-17 2019-07-23 International Business Machines Corporation Resistive memory crossbar array with top electrode inner spacers
CN110473961A (zh) * 2018-05-10 2019-11-19 华邦电子股份有限公司 电阻式随机存取存储器结构及其制造方法
US10720581B2 (en) 2018-10-30 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for resistive random access memory
CN113097382A (zh) * 2020-01-08 2021-07-09 芯恩(青岛)集成电路有限公司 Rram单元的制造方法及rram单元
CN113889569A (zh) * 2020-07-02 2022-01-04 华邦电子股份有限公司 电阻式随机存取存储器及其制造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120032132A1 (en) * 2010-08-06 2012-02-09 Samsung Electronics Co., Ltd. Nonvolatile Memory Elements And Memory Devices Including The Same
US20130146829A1 (en) * 2011-12-12 2013-06-13 Samsung Electronics Co., Ltd. Resistive random access memory devices and methods of manufacturing the same
US20150011071A1 (en) * 2012-10-16 2015-01-08 Internolecular Inc. Diffusion Barrier Layer for Resistive Random Access Memory Cells
US20150056749A1 (en) * 2011-09-01 2015-02-26 Intermolecular Inc. Atomic Layer Deposition of Metal Oxide Materials for Memory Applications
WO2015147801A1 (fr) * 2014-03-25 2015-10-01 Intel Corporaton Techniques de formation de cellules de mémoire résistive non planes

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120032132A1 (en) * 2010-08-06 2012-02-09 Samsung Electronics Co., Ltd. Nonvolatile Memory Elements And Memory Devices Including The Same
US20150056749A1 (en) * 2011-09-01 2015-02-26 Intermolecular Inc. Atomic Layer Deposition of Metal Oxide Materials for Memory Applications
US20130146829A1 (en) * 2011-12-12 2013-06-13 Samsung Electronics Co., Ltd. Resistive random access memory devices and methods of manufacturing the same
US20150011071A1 (en) * 2012-10-16 2015-01-08 Internolecular Inc. Diffusion Barrier Layer for Resistive Random Access Memory Cells
WO2015147801A1 (fr) * 2014-03-25 2015-10-01 Intel Corporaton Techniques de formation de cellules de mémoire résistive non planes

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110473961A (zh) * 2018-05-10 2019-11-19 华邦电子股份有限公司 电阻式随机存取存储器结构及其制造方法
US10361367B1 (en) 2018-07-17 2019-07-23 International Business Machines Corporation Resistive memory crossbar array with top electrode inner spacers
US10672980B2 (en) 2018-07-17 2020-06-02 International Business Machines Corporation Resistive memory crossbar array with top electrode inner spacers
US11038104B2 (en) 2018-07-17 2021-06-15 International Business Machines Corporation Resistive memory crossbar array with top electrode inner spacers
US10720581B2 (en) 2018-10-30 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for resistive random access memory
TWI712155B (zh) * 2018-10-30 2020-12-01 台灣積體電路製造股份有限公司 記憶體結構及其形成方法
CN113097382A (zh) * 2020-01-08 2021-07-09 芯恩(青岛)集成电路有限公司 Rram单元的制造方法及rram单元
CN113097382B (zh) * 2020-01-08 2023-04-18 芯恩(青岛)集成电路有限公司 Rram单元的制造方法及rram单元
CN113889569A (zh) * 2020-07-02 2022-01-04 华邦电子股份有限公司 电阻式随机存取存储器及其制造方法

Similar Documents

Publication Publication Date Title
US11856797B2 (en) Resistive switching random access memory with asymmetric source and drain
US10868246B2 (en) Conductive bridge random access memory (CBRAM) devices with low thermal conductivity electrolyte sublayer
US11502254B2 (en) Resistive random access memory device and methods of fabrication
US10658586B2 (en) RRAM devices and their methods of fabrication
US11342499B2 (en) RRAM devices with reduced forming voltage
US11430948B2 (en) Resistive random access memory device with switching multi-layer stack and methods of fabrication
EP3731278B1 (fr) Dispositifs de mémoire à accès aléatoire résistive et procédés de fabrication
TWI791589B (zh) 電阻式隨機存取記憶體裝置及製造方法
WO2018009155A1 (fr) Dispositifs rram ayant une couche inférieure d'échange d'oxygène et leurs procédés de fabrication
WO2018004697A1 (fr) Dispositifs rram à oxyde métallique double couche et procédés de fabrication
WO2018022027A1 (fr) Interconnexions de barrettes pour dispositifs rram et procédés de fabrication
WO2017222525A1 (fr) Dispositifs de rram avec ballast intrinsèque sur deux faces
WO2018182649A1 (fr) Électrodes de barrière à l'oxygène stratifiées pour dispositifs de mémoire vive résistive (rram) et leurs procédés de fabrication
WO2019022732A1 (fr) Sélecteur bicouche pour dispositifs de mémoire bipolaire basse tension
WO2018004588A1 (fr) Approches pour la fabrication de dispositifs rram compatibles avec la fin de ligne (beol) et structures ainsi obtenues
US11171176B2 (en) Asymmetric selector element for low voltage bipolar memory devices
US11522011B2 (en) Selector element with ballast for low voltage bipolar memory devices
WO2019005167A1 (fr) Électrode inférieure double pour applications de mémoire et procédés pour former celle-ci
WO2018125034A1 (fr) Dispositifs de mémoire à semi-conducteur à oxyde amorphe
WO2018009154A1 (fr) Dispositifs rram à couche de commutation étendue et procédés de fabrication
WO2018004562A1 (fr) Approches pour fabriquer des socles auto-alignés pour des dispositifs rram et structures résultantes
WO2018004625A1 (fr) Dispositif de mémoire vive á pont conducteur (cbram) avec ingénierie des parois latérales pour la localisation de filament
WO2018004670A1 (fr) Couche ild segmentée dans l'espace destinée à des dispositifs logiques extrêmement mis à l'échelle compatibles avec une rram
WO2018056963A1 (fr) Dispositifs de mémoire vive à pont conducteur (cbram) à couche d'électrolyte à conductivité calibrée

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16908278

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 16908278

Country of ref document: EP

Kind code of ref document: A1