TWI712155B - 記憶體結構及其形成方法 - Google Patents

記憶體結構及其形成方法 Download PDF

Info

Publication number
TWI712155B
TWI712155B TW108111047A TW108111047A TWI712155B TW I712155 B TWI712155 B TW I712155B TW 108111047 A TW108111047 A TW 108111047A TW 108111047 A TW108111047 A TW 108111047A TW I712155 B TWI712155 B TW I712155B
Authority
TW
Taiwan
Prior art keywords
layer
stack
dielectric
conductive
metal
Prior art date
Application number
TW108111047A
Other languages
English (en)
Other versions
TW202017155A (zh
Inventor
蔡子中
陳彥志
陳俠威
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202017155A publication Critical patent/TW202017155A/zh
Application granted granted Critical
Publication of TWI712155B publication Critical patent/TWI712155B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本揭示案針對具有底電極阻障堆疊的電阻性隨機存取記憶體(RRAM)結構。舉例而言,RRAM結構包括:(i)具有導電材料及層堆疊的底電極,其中層堆疊覆蓋導電材料的底面及側面且插入於導電材料與下導電結構之間;(ii)安置於底電極上且與導電結構相對的電阻切換層;以及(iii)安置於電阻切換層上的頂電極。

Description

記憶體結構及其形成方法
本揭示案有關於一種記憶體結構及其形成方法。
在積體電路中,電阻性隨機存取記憶體(RRAM)結構可形成於包括金屬(例如銅)或金屬合金(例如銅合金)之互連結構(例如線及通道)層之間的後段製程中。RRAM之阻障層中的不連續(例如裂痕)可導致銅自互連結構損失且可對晶粒良率具有不利影響。
本揭示案提供一種記憶體結構形成方法,包含:在具有導電結構的一層上方安置介電材料;在介電材料中形成開口以曝露導電結構的一部分;在開口中沉積堆疊,其中堆疊包含插入於底層與頂層之間的中間層;在堆疊上方沉積導電材料以填充開口;移除介電層上方的導電材料及堆疊以在介電層中形成底電極;在底電極上方安置電阻切換 層;以及在電阻切換層上方沉積頂電極,其中堆疊、底電極、電阻切換層及頂電極形成記憶體結構。
本揭示案提供一種記憶體結構形成方法,包含:在導電結構上方安置介電層;在介電層中形成開口以曝露導電結構的頂面,其中開口的頂部為錐形的;在開口中沉積堆疊,其中堆疊包含底層、中間層以及頂層,底層包含金屬氮化物,並安置於導電結構之經曝露的頂面上及開口之側面上,中間層包含金屬,並安置於底層上,頂層包含金屬氮化物,並安置於中間層上;在堆疊上方沉積第一導電材料以填充開口;在導電材料上形成電阻切換層;以及在電阻切換層上沉積第二導電材料。
本揭示案提供一種記憶體結構,包含記憶體結構、第一介電質以及第二介電質。記憶體結構於導電結構上方。記憶體結構包含底電極、電阻切換層以及頂電極。底電極與導電結構接觸且包含導電材料及層堆疊。層堆疊覆蓋導電材料的底面及側面,且插入於導電材料與導電結構之間。電阻切換層安置於底電極上且與導電結構相對。頂電極安置於電阻切換層上。第一介電質圍繞導電結構。第二介電質圍繞記憶體結構之底電極。
100:方法
110:操作
120:操作
130:操作
140:操作
150:操作
200:層
210:介電層
220:導電結構
230:介電質
300:光阻層
310:開口
400:開口
500:堆疊
500A:底層
500B:中間層
500C:頂層
600:導電材料
700:底電極
800:RRAM結構
810:電阻切換層
820:頂電極
900:層
當與附圖一起閱讀時自以下實施方式段落最好地理解本揭示案的態樣。應注意根據工業中的慣例,不按比 例繪製各種特徵。事實上,為論述的清晰性可任意地增加或減少各種特徵的尺寸。
第1圖繪示根據一些實施例的在電阻性隨機存取記憶體(RRAM)結構中形成底電極阻障堆疊的方法。
第2圖繪示根據一些實施例的具有導電結構之層上方之介電層的橫截面圖。
第3圖繪示根據一些實施例的在圖案化光阻層之後具有導電結構之層上方之介電層的橫截面圖。
第4圖繪示根據一些實施例的形成於介電層中以曝露下層中之導電結構的開口的橫截面圖。
第5圖繪示根據一些實施例的安置於導電結構上方之開口中的阻障堆疊的橫截面圖。
第6圖繪示根據一些實施例的互連層上方之電阻性隨機存取記憶體結構之部分形成的底電極的橫截面圖。
第7圖繪示根據一些實施例的插入於隨機存取記憶體結構之底電極材料與下層之導電結構之間的阻障堆疊的橫截面圖。
第8圖繪示根據一些實施例的具有插入於電阻性隨機存取記憶體結構之底電極材料與下層之導電結構之間之阻障堆疊的電阻性隨機存取記憶體結構的橫截面圖。
第9圖繪示根據一些實施例的安置於兩個層之間的電阻性隨機存取記憶體結構的橫截面圖。
以下揭示案提供用於實施所提供標的之不同特徵的許多不同的實施例或實例。下文描述部件及佈置的特定實例以簡化本揭示案。當然,此等特定實例僅為實例且不意欲為限制性的。舉例而言,在以下描述中第一特徵形成於第二特徵上方可包括第一特徵及第二特徵直接接觸而形成的實施例,且亦可包括額外特徵可形成於第一特徵與第二特徵之間使得第一特徵及第二特徵不直接接觸的實施例。
另外,本文為便於描述可使用諸如「之下」、「下方」、「下」、「上方」、「上」及其類似物之空間相對術語以描述如圖形中所繪示的一元素或特徵相對於另一(其他)元素或特徵的關係。空間相對術語意欲涵蓋除圖形中所描繪的定向之外使用中或操作中之元件的不同定向。設備可按另一方向定向(轉動90度或處於其他定向)且可因此同樣地解釋本文中所使用的空間相對描述語。
如本文中所使用的術語「大體上」指示可基於與標的半導體元件相關之特定技術節點而變化的既定量的值。在一些實施例中,基於特定技術節點,術語「大體上」可指示在(例如)值的±5%內變化的既定量之值。
如本文中所使用的術語「約」指示可基於與標的半導體元件相關之特定技術節點而變化的既定量之值。在一些實施例中,基於特定技術節點,術語「約」可指示在(例如)值的5-30%(例如值的±5%、±20%或±30%)內變化的既定量之值。
如本文中所使用的術語「標稱」係指在產品或製程的設計階段期間設定於高於及/或低於所要值之值範圍的部件或製程操作之特徵或參數的所要值或目標值。由於製造製程或容差中的輕微變化可出現範圍值的範圍。除非另有定義,否則本文中所使用的技術術語及科學術語具有本揭示案所屬之技術的一般技術者所通常理解的相同意義。
電阻性隨機存取記憶體(RRAM)為非揮發性類型的記憶體。RRAM單元可使用電阻而非電荷儲存位元資料。更特定而言,RRAM單元包括電阻性材料層,可調整其電阻以表示邏輯「0」或邏輯「1」。RRAM按照介電材料可經製造以經由形成於將電壓施加於圍繞介電材料的一對電極之後的「細絲」或導電路徑傳導電流的原理而操作。導電路徑可因包括介電材料中之空位、金屬缺陷遷移及/或其他機制的不同機制而形成。細絲或導電路徑的形成是RRAM單元之「形成操作」或形成過程(例如程式化)的一部分。一旦建立細絲或導電路徑,其可藉由另一電壓經重設(「中斷」,導致較高電阻)或設定(「重形成」,導致較低電阻)。低電阻路徑可為局部化的(例如限於細絲的區域)或均質的(例如,在兩個電極之間的介電質各處)。
RRAM結構可與互補金屬氧化物半導體(CMOS)積體電路(IC)相整合(例如埋入CMOS中)。為滿足工業需求,縮小亦稱為「埋入式RRAM結構」的此等RRAM結構的大小,很像CMOS IC中的其他部件,諸如電晶體及互連件。因此,當RRAM尺寸縮小時,插入於 RRAM結構之底電極與下互連層之導電結構之間的阻障層的大小亦縮小。阻障層大小變化的副作用是阻障層可在諸如底電極拐角處的結構「弱點」處產生不連續。來自下導電結構的銅原子可經由此等不連續擴散且留下銅空隙,因此損害元件操作。
本文中所描述的實施例針對具有底電極阻障堆疊的RRAM結構。根據一些實施例,底電極阻障堆疊包括氮化鉭/鉭/氮化鉭三層堆疊或氮化鈦/鈦/氮化鈦三層堆疊。底電極阻障堆疊與單一阻障層相比可不受不連續之形成的影響及/或提供改良的抵抗銅擴散的阻障特性。因此,阻障堆疊可抑制下互連層之導電結構中銅空隙的形成。
第1圖為根據一些實施例的在RRAM結構中形成底電極阻障堆疊的製造方法100的流程圖。本揭示案不限於此操作描述且其他操作在本揭示案的精神及範疇內。應瞭解可執行額外操作。此外,執行本文中所提供的揭示案不需要所有操作。另外,可同時或按不同次序執行第1圖中所展示的操作中之一些操作。在一些實施例中,可除現所描述的操作之外或代替現所描述的操作執行一或多個其他操作。為說明性目的,將參考第2圖至第9圖中所展示的實施例描述方法100。
參考第1圖,方法100開始於操作110,在具有導電結構的層上方沉積介電質。舉例而言,第2圖為具有導電結構220之層200上方的介電質230的部分橫截面圖。根據一些實施例,層200可為包括埋入於介電層210中之一或 多個導電結構220的後段製程(BEOL)金屬互連層。例如且不限制,層200可在其他層上方,為簡單而未在第2圖中展示。此等其他層可包括(例如)具有導電結構的額外的金屬化層,諸如形成於基板(例如矽晶圓、另一半導體晶圓、絕緣矽片晶圓等等)上的場效電晶體、二極體、電容器、電阻器或其組合上方的先前形成的BEOL層及/或中段製程(MOL)層。在一些實施例中,導電結構220可包括填充有諸如銅、銅合金、另一金屬或另一合金之導電材料的垂直互連進出(via)結構、橫向線、其他結構或其組合。在一些實施例中,導電結構220包括其上安置導電材料的襯墊層(為簡單而未在第2圖中展示)。在一些實施例中,襯墊層覆蓋導電結構220的側壁及底面以防止金屬原子自導電結構220向外擴散至層200下的其他層。
介電層210可為層間介電質(ILD)(例如相鄰層之間的介電質),諸如具有低於約3.9(例如約3.2、約3.0、約2.9、約2.5等等)之介電常數值(「k值」)的介電質。在一些實施例中,介電層210可為諸如低k介電質及另一介電質的介電質之堆疊:例如(i)低k介電質(例如摻碳氧化矽)及具有氮摻雜的碳化矽;(ii)低k介電質(例如摻碳氧化矽)及具有氧摻雜的碳化矽;(iii)具有氮化矽的低k介電質(例如摻碳氧化矽);或(iv)具有氧化矽的低k介電質(例如摻碳氧化矽)。例如且不限制,可藉由高密度化學氣相沉積(HDCVD)製程、電漿增強化學氣相沉積製程(PECVD)、電漿增強原子層沉積製程(PEALD) 或任何另一合適的沉積製程將介電層210沉積為約200nm與約100nm之間的厚度。上述的沉積厚度範圍、沉積方法及材料為例示性的且非限制性的。因此,其他材料、沉積厚度範圍及沉積方法在本揭示案的精神及範疇內。
在一些實施例中,介電質230包括具有約5nm與約80nm之間之厚度的碳化矽層、矽氧氮化物層、氧化矽層或氮化矽層。例如且不限制,可用CVD製程、PECVD製程、PEALD製程或任何其他合適的沉積製程沉積介電質230。在一些實施例中,介電質230在於層200上製造RRAM結構或其他記憶體結構期間充當蝕刻停止層。
參考第1圖,方法100繼續至操作120,此處開口形成於介電質230中以曝露導電結構220的頂面。參考第3圖,可在介電質230上方安置(例如旋轉塗佈)光阻層300。隨後,光阻層300可經圖案化(例如藉由使用光罩或主光罩、光微影及蝕刻操作)以形成曝露下介電質230之部分的開口310,如第3圖中所展示。在一些實施例中,開口310對準導電結構220。
參考第4圖,諸如乾式蝕刻的蝕刻操作可經由開口310移除介電質230的經曝露部分以形成開口400。開口400曝露導電結構220的一部分。在一些實施例中,介電質230中的蝕刻操作可包括用諸如基於氟的化學物質、基於氯的化學物質或其組合的基於鹵素的蝕刻化學物質進行一或多個蝕刻子操作以獲得開口400的錐形輪廓。例如且不限制,第一蝕刻子操作可形成開口400的頂部錐形輪廓;且相 比於第一蝕刻子操作各向異性程度更高的第二蝕刻子操作可形成開口400的垂直側壁。替代地,第一蝕刻子操作可形成具有大體上垂直之側壁的開口400,且第二蝕刻子操作可在開口400的上部上產生錐形。在一些實施例中,介電質230中開口400的錐形輪廓可為一或多個光微影操作及隨後之各別蝕刻操作的結果。開口400的形成不限於上述實例且額外的方法在本揭示案的精神及範疇內。不論用於形成開口400之形狀的蝕刻方案為何,最後一次蝕刻子操作可過蝕刻經曝露的導電結構220以保證暴露導電結構220且已自開口400的底部移除介電質230。例如且不限制,上述蝕刻製程可過蝕刻導電結構220約1.5nm。在一些實施例中,開口400的縱橫比可在自約0.1至約0.6的範圍中,其中縱橫比定義為開口400之寬度(例如底寬)與開口400之高度的比。開口400及導電結構220的上述尺寸及過蝕刻值為例示性的且非限制性的。因此,開口400的其他尺寸及導電結構220的過蝕刻值在本揭示案的精神及範疇內。在於介電質230中形成開口400之後,可用濕式蝕刻製程、乾式蝕刻製程、其組合或另一合適的光阻移除製程移除用於形成開口400的經圖案化之光阻層300(展示於第3圖中)。
參考第1圖,方法100繼續至操作130,此處阻障堆疊沉積於開口400的底面及側壁面上方。參考第5圖,堆疊500可沉積於介電質230上方使得此堆疊500覆蓋開口400的底面(例如經曝露的導電結構220)及開口400的側壁面。例如且不限制,堆疊500亦可在介電質230的頂面上 方延伸。根據一些實施例,如第5圖中所展示,堆疊500包括安置於底層500A與頂層500C之間的中間層500B。可原位(例如無真空破壞)沉積堆疊500中之層的各者(例如底層500A、中間層500B及頂層500C)。例如且不限制,可逐步沉積堆疊500中的層。舉例而言,底層500A可首先毯覆性沉積於(例如)介電質230的經曝露表面上方(例如開口400的側壁面及介電質230的頂面上方)及經曝露導電結構220上方(例如導電結構220的經曝露頂面上方)。隨後,中間層500B可沉積於底層500A上方,且頂層500C可沉積於中間層500B上方。在一些實施例中,中間層500B可包括諸如鉭(Ta)或鈦(Ti)的金屬。另外,底層500A及頂層500C可包括對應於用於沉積中間層500B之金屬的金屬氮化物。舉例而言,根據一些實施例堆疊500可包括TiN/Ti/TiN三層或TaN/Ta/TaN三層。
在一些實施例中,可用物理氣相沉積製程(例如濺射製程)沉積堆疊500的各層(例如底層500A、中間層500B及頂層500C)。舉例而言,在TiN/Ti/TiN堆疊500的情況下,可藉由在存在氮氣或氮電漿、存在氨氣或氨電漿或其組合的情況下濺射鈦靶(例如用氬電漿)而沉積底層500A及頂層500C(例如TiN)。因此,可藉由在無氣體(氮氣或氨氣)流動或任何電漿(例如氮或氨)在沉積製程期間形成的情況下濺射鈦靶(例如用氬電漿)而沉積中間層500B(例如Ti金屬)。在TaN/Ta/TaN堆疊500的情況下,可藉由在存在氮氣或氮電漿、存在氨氣或氨電漿或其組合的情況 下濺射鉭靶(例如用氬電漿)而沉積底層500A及頂層500C(例如TaN)。因此,可藉由在無氣體(氮氣或氨氣)流動或任何電漿(例如氮或氨)在沉積製程期間形成的情況下濺射鉭靶(例如用氬電漿)而沉積中間層500B(例如Ta金屬)。應注意沉積方法及氣體的上述實例非限制性的,且其他氣體或額外的氣體、電漿或沉積方法可用於沉積堆疊500的底層500A、中間層500B及頂層500C。此等其他氣體、電漿及沉積方法在本揭示案的精神及範疇內。
底層500A的剛沉積厚度可在自約2nm至約10nm(例如自約2nm至約5nm、自約4nm至約8nm、自約7nm至約10nm)的範圍中。中間層500B的剛沉積厚度可在自約1nm至約10nm(例如自約1nm至約5nm、自約3nm至約7nm、自約6nm至約10nm)的範圍中。頂層500C的剛沉積厚度可在自約2nm至約10nm(例如自約2nm至約5nm、自約4nm至約8nm、自約7nm至約10nm)的範圍中。因此,堆疊500的總厚度可取決於各層(例如底層500A、中間層500B及頂層500C)的個別厚度而在約5nm至約30nm的範圍中。若底層500A、中間層500B及頂層500C的厚度分別低於1nm,則堆疊500可顯示不良的抵抗(例如)銅自導電結構220擴散的阻障效能。另一方面,若底層500A、中間層500B及頂層500C的厚度均高於10nm,則堆疊500可顯示不良的高電阻率(例如大於500μΩ‧cm)。
在一些實施例中,堆疊500為防止諸如銅的金屬自導電結構220向外擴散的金屬阻擋堆疊。與單一層相比,堆疊的優點是堆疊可提供改良的抵抗銅向外擴散的金屬阻障特性且具有較低的電阻率值(例如約10μΩ‧cm與約500μΩ‧cm之間)。舉例而言,增加單一層的厚度以改良層的阻障效能亦可增加其電阻率。另一方面,具有夾於兩個金屬氮化物層之間之金屬層的堆疊相比於單一阻障層造成較低的電阻率,同時改善抵抗銅向外擴散的阻障效能。因此,為讓單一阻障層顯示與堆疊相似的(或相同的)阻障效能,單一阻障層將更厚且比堆疊的電阻率更高(例如具有大於約500μΩ‧cm的電阻率)。
參考第1圖,方法100繼續至操作140,此處導電材料沉積於堆疊500上方以填充開口400且形成RRAM結構的底電極。例如且不限制且參考第6圖,導電材料600沉積於堆疊500上方以填充開口400(見第5圖)。在一些實施例中,導電材料600包括諸如金(Au)、鉑(Pt)、釕(Ru)、銥(Ir)、鈦(Ti)、鋁(Al)、銅(Cu)、鉭(Ta)、鎢(W)的金屬;諸如銥鉭合金(Ir-Ta)的合金;諸如氧化銦錫(ITO)的氧化物或其組合。在一些實施例中,導電材料600包括上述金屬的任何合金、氧化物、氮化物、氟化物、碳化物、硼化物或矽化物,諸如氮化鉭(TaN)、氮化鈦(TiN)、氮化鈦鋁(TiAlN)、鈦鎢合金(TiW)或其組合。另外,導電材料600的厚度可在自約2nm至約30nm的範圍中。例如且不限制,可藉由物理氣相沉積(例如濺 射)、金屬有機化學氣相沉積(MOCVD)、或化學氣相沉積或電鍍法(ECP)而沉積導電材料600。
隨後,化學機械平坦化(CMP)製程可拋光且移除介電質230上方的導電材料600及堆疊500。在一些實施例中,如第7圖中所展示,當暴露介電質230時CMP製程可終止,使得介電質230、堆疊500及導電材料600的頂面可為大體上共面的。根據一些實施例,第7圖中展示的堆疊500及導電材料600形成第8圖中所展示之RRAM結構800的底電極700。
參考第1圖,方法100繼續至操作150,在底電極700上方形成RRAM結構。在一些實施例中且參考第8圖,RRAM結構800包括底電極700、形成於底電極700上的電阻切換層810及安置於電阻切換層810上的頂電極820。在一些實施例中,電阻切換層810為包括金屬、矽及氧的複合材料。例如且不限制,電阻切換層810的厚度可在自約1nm至約100nm的範圍中。電阻切換層810中的金屬可包括鎢(W)、鉭(Ta)、鈦(Ti)、鎳(Ni)、鈷(Co)、鉿(Hf)、釕(Ru)、鋯(Zr)、鋅(Zn)、鐵(Fe)、錫(Sn)、鋁(Al)、銅(Cu)、銀(Ag)、鉬(Mo)、鉻(Cr)或其組合。例如且不限制,頂電極820可包括諸如金(Au)、鉑(Pt)、釕(Ru)、銥(Ir)、鈦(Ti)、鋁(Al)、銅(Cu)、鉭(Ta)、鎢(W)的金屬;諸如銥鉭合金(Ir-Ta)的合金;諸如氧化銦錫(ITO)的氧化物或其組合。在一些實施例中,頂電極820包括上述金屬的 任何合金、氧化物、氮化物、氟化物、碳化物、硼化物或矽化物,諸如氮化鉭(TaN)、氮化鈦(TiN)、氮化鈦鋁(TiAlN)、鈦鎢合金(TiW)或其組合。在一些實施例中,頂電極820的厚度可在約5nm與約500nm的範圍中。例如且不限制,可藉由物理氣相沉積(例如濺射)、金屬有機化學氣相沉積(MOCVD)或化學氣相沉積而沉積頂電極820。
第9圖為具有導電結構220的兩個層200、900及此等層之間的RRAM結構800的部分橫截面圖。如上所論述,RRAM結構800包括具有金屬阻障堆疊500及導電材料600的底電極。在一些實施例中,導電結構220可形成在晶片上電性連接相鄰層的金屬化網路;舉例而言,如第9圖中所展示,導電結構220可垂直地連接層200及層900。例如且不限制,導電結構220可包括延伸於相鄰層200與層900之間的垂直結構(例如通道)220V及在各層內(例如在層200內及/或在層900內)橫向延伸的線220L。根據一些實施例,連接至RRAM結構800之底電極的導電結構220可與連接至RRAM結構800之頂電極820的導電結構220不同。在一些實施例中,層200及層900可為BEOL金屬化層。然而,此非限制性的,且取決於晶片佈局,層200及層900可為MOL金屬化層。替代地,層200及層900可為安置於晶片中他處的金屬化層,此處金屬(例如銅)自下導電結構220的擴散是一個問題。
未展示於第9圖中的額外層可形成於層200下方及/或層900上方。例如且不限制,此等額外層可包括額 外的導電結構、記憶體結構、電阻器結構、電晶體、結構電容器,其他晶片元件或其組合。例如且不限制,此等額外層及層200/900及RRAM結構800可安置於基板上方。在一些實施例中,基板可為半導體晶圓(例如矽晶圓)、絕緣半導體片晶圓、插入件、轉移晶圓或介電基板(例如石英)。在一些實施例中,層200與層900之間可能有一個以上記憶體結構。因此,第9圖可包括如RRAM結構800的額外RRAM結構或其他記憶體結構及元件。另外,額外的導電結構可形成於層900與層200之間或此等層內部。
本文中所描述的實施例不限於RRAM結構且可應用於其他類型的記憶體結構以防止金屬自下導電結構向外擴散。例如且不限制,本文中所描述的實施例可應用於磁性隨機存取記憶體(MRAM)結構。更特定而言,根據本文中所描述的實施例,可在於MRAM結構中製造底電極期間沉積堆疊500。
本揭示案針對具有底電極阻障堆疊(相比於單一阻障層)的RRAM結構。根據一些實施例,底電極阻障堆疊包括插入於一對金屬氮化物層之間的金屬。舉例而言,堆疊可包括可用物理氣相沉積製程(例如濺射)沉積的氮化鉭/鉭/氮化鉭三層堆疊或氮化鈦/鈦/氮化鈦三層堆疊。根據本文中所描述的實施例,相比於單一阻障層,底電極阻障堆疊可對不連續的形成具有彈性及/或提供改良的抵抗銅自下導電結構向外擴散的阻障特性。在一些實施例中,堆疊厚度取決於堆疊中各層的個別厚度可在自約5nm至約30nm的 範圍中。舉例而言,堆疊中之金屬層的厚度可在自約1nm至約10nm的範圍中,而堆疊中之各金屬氮化物層的厚度可在自約2nm至約10nm的範圍中。在一些實施例中,堆疊的電阻率可在自約20μΩ‧cm至約500μΩ‧cm的範圍中。
在一些實施例中,記憶體結構形成方法包括在具有導電結構的層上方安置介電材料及在介電材料中形成開口以曝露導電結構的部分。另外,方法包括在開口中沉積堆疊,其中堆疊包括插入於底層與頂層之間的中間層。另外,方法包括在堆疊上方沉積導電材料以填充開口;移除介電層上方的導電材料及堆疊以在介電層中形成底電極;在底電極上方安置電阻切換層;以及在電阻切換層上方沉積頂電極。堆疊、底電極、電阻切換層及頂電極形成記憶體結構。
在一些實施例中,堆疊之頂層及底層各包含具有約2nm與約10nm之間之厚度的氮化鉭或氮化鈦。在一些實施例中,堆疊之中間層包含具有約1nm與約10nm之間之厚度的金屬。在一些實施例中,前述金屬包含鉭或鈦。在一些實施例中,導電結構包含銅或銅合金。在一些實施例中,沉積堆疊包含用物理氣相沉積製程沉積堆疊的各層。在一些實施例中,堆疊具有約5nm與約30nm之間的厚度。
在一些實施例中,記憶體結構形成方法包括在導電結構上方安置介電層;在介電層中形成開口以曝露導電結構的頂面,其中開口的頂部為錐形的。方法另外包括在開口中沉積堆疊,其中堆疊包括:(i)包括金屬氮化物的底層,其中底層安置於導電結構的經曝露頂面上及開口的側面 上;(ii)包括金屬且安置於底層上的中間層;以及(iii)包括金屬氮化物且安置於中間層上的頂層。另外,方法包括在堆疊上方沉積第一導電材料以填充開口;在導電材料上形成電阻切換層;以及在電阻切換層上沉積第二導電材料。
在一些實施例中,前述金屬氮化物包含氮化鈦或氮化鉭。在一些實施例中,前述金屬包含鈦或鉭。在一些實施例中,堆疊具有約20μΩ‧cm與約500μΩ‧cm之間的電阻率及約5nm與約30nm之間的厚度。在一些實施例中,頂層的金屬氮化物、底層的金屬氮化物及中間層中的金屬包含相同的金屬。在一些實施例中,堆疊及第一導電材料形成用於電阻性隨機存取記憶體結構的底電極。
在一些實施例中,記憶體結構包括導電結構上方的記憶體結構,其中記憶體結構包括:(i)具有導電材料及層堆疊的底電極,其中層堆疊覆蓋導電材料的底面及側面且插入於導電材料與導電結構之間,且其中底電極與導電結構接觸;(ii)安置於底電極上且與導電結構相對的電阻切換層;以及(iii)安置於電阻切換層上的頂電極。結構進一步包括圍繞導電結構的第一介電質及圍繞記憶體結構之底電極的第二介電質。
在一些實施例中,層堆疊包含插入於金屬氮化物層之間且具有約5nm與約30nm之間之厚度的金屬層。在一些實施例中,前述金屬層包含鉭或鈦,並具有約1nm與約10nm之間之厚度。在一些實施例中,前述金屬氮化物層包含氮化鉭或氮化鈦,並具有約2nm與約10nm之間之 厚度。在一些實施例中,前述金屬氮化物層中之一者與導電材料接觸。在一些實施例中,電阻切換層與導電材料之頂面及層堆疊之頂面接觸。在一些實施例中,底電極具有錐形輪廓及約0.1與約0.6之間的縱橫比。
上文概述了實施例的特徵使得熟習此項技術者可更好地理解本揭示案的態樣。熟習此項技術者應瞭解他們可容易地以本揭示案為基礎設計或修改用於達到相同目的及/或實現本文中所介紹之實施例之相同優點的其他製程與結構。熟習此項技術者亦應瞭解此等等效構造不脫離本揭示案的精神及範疇,且他們可在不脫離本揭示案之精神及範疇的情況下作出各種改變、替換及變更。
100:製造方法/方法
110:操作
120:操作
130:操作
140:操作
150:操作

Claims (10)

  1. 一種記憶體結構形成方法,包含:在具有一導電結構的一層上方安置一介電材料;在該介電材料中形成一開口以曝露該導電結構的一部分;在該開口中沉積一堆疊,其中該堆疊包含插入於一底層與一頂層之間的一中間層;在該堆疊上方沉積一導電材料以填充該開口;移除該介電層上方的該導電材料及該堆疊以在該介電層中形成一底電極;在該底電極上方安置一電阻切換層;以及在該電阻切換層上方沉積一頂電極,其中該堆疊、該底電極、該電阻切換層及該頂電極形成一記憶體結構。
  2. 如請求項1所述之記憶體結構形成方法,其中該堆疊之該頂層及該底層各包含具有2nm與10nm之間之一厚度的氮化鉭或氮化鈦。
  3. 如請求項1所述之記憶體結構形成方法,其中該堆疊之該中間層包含具有1nm與10nm之間之一厚度的一金屬。
  4. 一種記憶體結構形成方法,包含:在一導電結構上方安置一介電層; 在該介電層中形成一開口以曝露該導電結構的一頂面,其中該開口的一頂部為錐形的;在該開口中沉積一堆疊,其中該堆疊包含:一底層,包含一金屬氮化物,並安置於該導電結構之經曝露的該頂面上及該開口之側面上;一中間層,包含一金屬,並安置於該底層上;以及一頂層,包含該金屬氮化物,並安置於該中間層上;在該堆疊上方沉積一第一導電材料以填充該開口;在該導電材料上形成一電阻切換層;以及在該電阻切換層上沉積一第二導電材料。
  5. 如請求項4所述之記憶體結構形成方法,其中該堆疊具有20μΩ‧cm與500μΩ‧cm之間的一電阻率及5nm與30nm之間的一厚度。
  6. 如請求項4所述之記憶體結構形成方法,其中該頂層的該金屬氮化物、該底層的該金屬氮化物及該中間層中的該金屬包含相同的金屬。
  7. 一種記憶體結構,包含:一記憶體結構,於一導電結構上方,其中該記憶體結構包含: 一底電極,與該導電結構接觸且包含一導電材料及一層堆疊,其中該層堆疊覆蓋該導電材料的一底面及一側面,且插入於該導電材料與該導電結構之間;一電阻切換層,安置於該底電極上且與該導電結構相對,其中該層堆疊包含插入於金屬氮化物層之間的一金屬層;以及一頂電極,安置於該電阻切換層上;一第一介電質,圍繞該導電結構;以及一第二介電質,圍繞該記憶體結構之該底電極。
  8. 如請求項7所述之記憶體結構,其中該金屬層具有5nm與30nm之間之一厚度。
  9. 如請求項7所述之記憶體結構,其中該電阻切換層與該導電材料之一頂面及該層堆疊之一頂面接觸。
  10. 如請求項7所述之記憶體結構,其中該底電極具有一錐形輪廓及0.1與0.6之間的一縱橫比。
TW108111047A 2018-10-30 2019-03-28 記憶體結構及其形成方法 TWI712155B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862752468P 2018-10-30 2018-10-30
US62/752,468 2018-10-30
US16/229,345 2018-12-21
US16/229,345 US10720581B2 (en) 2018-10-30 2018-12-21 Barrier layer for resistive random access memory

Publications (2)

Publication Number Publication Date
TW202017155A TW202017155A (zh) 2020-05-01
TWI712155B true TWI712155B (zh) 2020-12-01

Family

ID=70325497

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108111047A TWI712155B (zh) 2018-10-30 2019-03-28 記憶體結構及其形成方法

Country Status (3)

Country Link
US (1) US10720581B2 (zh)
CN (1) CN111129292B (zh)
TW (1) TWI712155B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11730070B2 (en) * 2019-02-27 2023-08-15 International Business Machines Corporation Resistive random-access memory device with step height difference
US11380842B2 (en) * 2019-07-18 2022-07-05 International Business Machines Corporation Phase change memory cell with second conductive layer
CN117425390A (zh) * 2020-04-16 2024-01-19 联华电子股份有限公司 半导体元件及其制作方法
KR20220093583A (ko) * 2020-12-28 2022-07-05 에스케이하이닉스 주식회사 전자 장치
US11515256B2 (en) * 2021-01-27 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0220281A1 (en) * 1985-04-26 1987-05-06 Paul J Roberg HOLDER FOR PHOTOGRAPHIC FILM TAPES.
TW201729441A (zh) * 2015-11-23 2017-08-16 英特爾公司 用於磁電阻隨機存取記憶體裝置之電氣端子
WO2018009155A1 (en) * 2016-07-02 2018-01-11 Intel Corporation Rram devices having a bottom oxygen exchange layer and their methods of fabrication
TW201814775A (zh) * 2016-09-30 2018-04-16 台灣積體電路製造股份有限公司 具有單一底部電極層的記憶體裝置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2202816B1 (en) 2008-12-24 2012-06-20 Imec Method for manufacturing a resistive switching memory device
US8198620B2 (en) * 2009-12-14 2012-06-12 Industrial Technology Research Institute Resistance switching memory
US9431604B2 (en) * 2012-12-14 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Resistive random access memory (RRAM) and method of making
US8963114B2 (en) * 2013-03-06 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. One transistor and one resistive (1T1R) random access memory (RRAM) structure with dual spacers
US9257641B2 (en) * 2013-11-08 2016-02-09 Industrial Technology Research Institute Via structure, memory array structure, three-dimensional resistance memory and method of forming the same
US9502649B2 (en) * 2015-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom electrode structure for improved electric field uniformity

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0220281A1 (en) * 1985-04-26 1987-05-06 Paul J Roberg HOLDER FOR PHOTOGRAPHIC FILM TAPES.
TW201729441A (zh) * 2015-11-23 2017-08-16 英特爾公司 用於磁電阻隨機存取記憶體裝置之電氣端子
WO2018009155A1 (en) * 2016-07-02 2018-01-11 Intel Corporation Rram devices having a bottom oxygen exchange layer and their methods of fabrication
TW201814775A (zh) * 2016-09-30 2018-04-16 台灣積體電路製造股份有限公司 具有單一底部電極層的記憶體裝置

Also Published As

Publication number Publication date
US10720581B2 (en) 2020-07-21
CN111129292A (zh) 2020-05-08
TW202017155A (zh) 2020-05-01
CN111129292B (zh) 2023-02-28
US20200136039A1 (en) 2020-04-30

Similar Documents

Publication Publication Date Title
TWI712155B (zh) 記憶體結構及其形成方法
TWI594405B (zh) 積體電路及其製造方法
US10164184B2 (en) Resistance variable memory structure and method of forming the same
US9825224B2 (en) RRAM device
US9673391B2 (en) Resistance variable memory structure and method of forming the same
US10158072B1 (en) Step height reduction of memory element
CN110875353B (zh) 存储器装置及其形成方法
CN103715353B (zh) 可变电阻存储结构及其形成方法
US10103330B2 (en) Resistance variable memory structure
US11678592B2 (en) Step height mitigation in resistive random access memory structures
US20200365655A1 (en) Memory device and method for manufacturing the same
KR20220000374A (ko) 메모리 디바이스 및 이를 제조하는 방법
US11957070B2 (en) Semiconductor device, memory cell and method of forming the same
US12075713B2 (en) Phase-change memory and method of forming same
US11335730B2 (en) Vertical resistive memory device with embedded selectors
US11430513B1 (en) Non-volatile memory structure and method for low programming voltage for cross bar array
US20230065500A1 (en) Semiconductor device, memory cell and method of forming the same
TW202406179A (zh) 磁阻式隨機存取記憶體(mram)元件及其形成方法