WO2015108558A1 - Revêtement de chambre en ligne pour réguler l'écaillage de particules - Google Patents

Revêtement de chambre en ligne pour réguler l'écaillage de particules Download PDF

Info

Publication number
WO2015108558A1
WO2015108558A1 PCT/US2014/037242 US2014037242W WO2015108558A1 WO 2015108558 A1 WO2015108558 A1 WO 2015108558A1 US 2014037242 W US2014037242 W US 2014037242W WO 2015108558 A1 WO2015108558 A1 WO 2015108558A1
Authority
WO
WIPO (PCT)
Prior art keywords
silicon
chamber
substrate
silicon nitride
processing region
Prior art date
Application number
PCT/US2014/037242
Other languages
English (en)
Inventor
Iv Edward P. Hammond
Damanjot Kaur Kochhar
Michael P. Stewart
Tsutomu Tanaka
Hari K. Ponnekanti
Christopher T. Lane
Ruiping Wang
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to TW104101538A priority Critical patent/TW201539578A/zh
Publication of WO2015108558A1 publication Critical patent/WO2015108558A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • C23C14/0652Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating

Definitions

  • Embodiments of the invention generally relate to methods for reducing particle flaking in in-line coating chambers, such as semiconductor processing chambers.
  • in-line processing tools deposit material on substrates, such as solar cells, in a continuous manner for dozens to hundreds of hours.
  • substrates such as solar cells
  • several millimeters of film can accumulate on chamber components, such as a plasma source.
  • the accumulated deposition material can undesirably flake off of the chamber components onto the processed substrate as the substrates move through the processing chamber.
  • the flaking of the deposited material is exacerbated by the non-uniform composition of the deposition material.
  • the film may include concentration gradients therein adjacent to precursor gas inlets. The concentration gradients result in different film qualities throughout the film and promote flaking.
  • the flaked material can undesirably reduce performance of the final device. Prohibiting the flaking of material from chamber components is especially difficult when depositing silicon nitride, due the relatively high compressive stress of silicon nitride.
  • Embodiments of the present invention relate to a method for reducing flaking in an in-line processing system.
  • the method includes transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate.
  • the substrate is then transferred from the processing region.
  • a silicon dioxide layer is then deposited over components of the chamber to cover any silicon nitride deposited on the chamber components during the silicon nitride deposition, The silicon dioxide layer disposed on the silicon nitride layer reduces flaking of the silicon nitride layer from the chamber components.
  • a method for reducing flaking from chamber components includes transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate. During deposition of the silicon nitride layer on the substrate, silicon nitride material is also deposited on components within the chamber. The method also includes transferring the substrate from the processing region, and while the substrate is absent from the processing region, depositing a silicon dioxide layer over the silicon nitride layer deposited on components within the chamber.
  • a method for reducing flaking from chamber components comprises transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate.
  • the depositing the silicon nitride layer comprises introducing silicon, nitrogen, and oxygen into the process chamber, wherein during deposition of the silicon nitride layer on the substrate, silicon nitride material is also deposited on components within the chamber.
  • the silicon nitride material deposited on the components includes oxygen therein.
  • the substrate is then transferred from the processing region.
  • FIG. 1A is a schematic isometric view of a substrate processing system, according to one embodiment of the invention.
  • Figure 1 B is a schematic side cross-sectional view of a deposition chamber, according to one embodiment of the invention.
  • Figure 2 is a schematic sectional view of a deposition source, according to one embodiment of the invention.
  • Figure 3 is a flow diagram illustrating a method of controlling particle flaking, according to one embodiment of the invention.
  • Figure 4 illustrates a film stack deposited according to the method of Figure 3.
  • Embodiments of the present invention relate to a method for reducing flaking in an in-line processing system.
  • the method includes transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate.
  • the substrate is then transferred from the processing region.
  • a silicon dioxide layer is then deposited over components of the chamber to cover any silicon nitride deposited on the chamber components during the silicon nitride deposition.
  • the silicon dioxide layer disposed on the silicon nitride layer reduces flaking of the silicon nitride layer from the chamber components.
  • FIG. 1A is a schematic isometric view of a substrate processing system 100, according to one embodiment of the invention.
  • the present invention generally provides a high throughput substrate processing system 100, or in-line processing system, for in-situ processing of a film stack used to form regions of a solar cell device.
  • one or more film stacks formed on each of the substrates 101 contains one or more passivating or dielectric layers that are deposited and further processed within one or more processing chambers 140, 141 , 142 contained within the high throughput substrate processing system 100.
  • the processing chambers 140, 141 , 142 may include, for example, one or more of plasma enhanced chemical vapor deposition (PECVD) chambers, low pressure chemical vapor deposition (LPCVD) chambers, atomic layer deposition (ALD) chambers, plasma enhanced atomic layer deposition chambers (PEALD), physical vapor deposition (PVD) chambers, thermal processing chambers (e.g., RTA or RTO chambers), substrate reorientation chambers (e.g., flipping chambers) and/or other similar processing chambers.
  • PECVD plasma enhanced chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • ALD atomic layer deposition
  • PEALD plasma enhanced atomic layer deposition chambers
  • PVD physical vapor deposition
  • thermal processing chambers e.g., RTA or RTO chambers
  • substrate reorientation chambers e.g., flipping chambers
  • the high throughput substrate processing system 100 may include one or more deposition chambers, such as process chambers 140, 141 , 142 in which substrates 101 are exposed to one or more gas-phase materials and a plasma.
  • the processing system 100 includes at least one plasma enhanced chemical vapor deposition (PECVD) processing chamber that has been adapted to process a plurality of substrates 101 , such as solar cell substrates, as the substrates 101 pass through the system 100 in a linear direction.
  • the substrates 101 are simultaneously transferred in a vacuum or inert environment through the high throughput substrate processing system 100 to prevent substrate contamination and improve substrate throughput.
  • PECVD plasma enhanced chemical vapor deposition
  • the substrate processing system 100 includes a substrate receiving chamber 105, pre-processing chamber 107, at least one processing chamber maintained at a pressure below that of atmospheric pressure, such as a first processing chamber 140, a second processing chamber 141 , and a third processing chamber 180, at least one transferring chamber, such as transferring chambers 109 and 1 1 1 , a buffer chamber 1 14 and a substrate unload chamber 1 16.
  • the substrate processing system 100 may also include one or more support components 1 10, such as a control unit, user interface, buffer, and the like.
  • FIG. 1 B is a schematic side cross-sectional view of a processing chamber 140, according to one embodiment of the invention.
  • the processing chamber 140 comprises one or more deposition sources, such as deposition sources 160A-160D, gas sources 128 and 129, one or more power sources 131 (four are shown), chamber walls 102 that at least partially enclose a portion of the chamber volume 106, and at least a portion of the conveyor transfer system 1 15.
  • Deposition sources 160A-180D are adapted to form a layer on the surface of the substrates 101 as the substrates 101 pass under and adjacent to the deposition sources 180A-160D.
  • the walls 102 generally comprise a material that can structurally support the loads applied by the environment 143, which is external to the chamber volume 106, when it is heated to a desirable temperature and pumped to a vacuum pressure by a vacuum pump 145.
  • the walls 102 generally comprise a material such as aluminum, an aluminum alloy, or stainless steel.
  • the portion of the conveyor transfer system 1 15 comprises a conveyor 121 that is adapted to support, guide, and move the substrates 101 through the processing chamber 140 by use of one or more actuators (not shown), for example, a stepper motor or servo motor.
  • the conveyor 121 comprises two or more rollers 1 12 (four are shown) and a belt 1 13 that are configured to support and move the substrates 101 in a positive X-direction during processing.
  • processing in a reverse configuration is also contemplated.
  • each of the deposition sources 180A-160D are coupled to at least one gas source, such as gas sources 128 and 129, that is configured to deliver one or more process gases to a processing region 125 formed with the chamber volume 106, and below each of the deposition sources 160A-180D and over the surface of a substrate 101 disposed there under.
  • Gas lines 148 and 149 facilitate transfer of gases from the gas sources 128, 129 to the deposition sources 180A-180D.
  • the deposition sources 160A-180D will generally include at least one gas delivery element, such as a first gas delivery element 181 and second gas delivery element 182, which are each configured to direct the process gases to the processing region 125.
  • the first gas delivery element 181 includes a fluid plenum 161 that is configured to receive the process gas from a gas source 128 and deliver the received gas to the processing region 125 through one or more openings 163 formed therein.
  • the second gas delivery element 182 comprises a fluid plenum 162 that is configured to receive the process gas from a gas source 129 and deliver the received gas to the processing region 125 through one or more openings 164 formed therein.
  • the gas sources 128 and 129 are generally configured to provide one or more precursor gases and/or carrier gases that are used to deposit a layer on the surface of the substrates 101 via deposition process, such as a PECVD process.
  • At least one of the gas sources 128 and 129 is configured to deliver a silicon-containing gas to the deposition sources 160A-160D.
  • the silicon- containing gas may be selected from a group consisting of silane, disilane, chlorosilane, dichlorosilane, trichlorosilane, dibromosilane, trimethylsilane, tetramethylsilane, tridimethylaminosilane (TriDMAS), tetraethoxysilane (TEOS), triethoxyfluorosilarie (TEFS), silicon tetrachloride, silicon tetrabromide, 1 ,3,5,7-tetramethylcyclotetrasiloxane (T CTS), dimethyidiethoxy silane (DMDE), octomethylcyclotetrasiloxane (OMCTS), methyldiethoxysilane (MDEOS), bis(tertiary-buty
  • the oxygen-containing gas may be selected from a group consisting of oxygen (02), nitrous oxide (N2O), ozone (O3), and combinations thereof.
  • the siiicon-containing gas is silane and the oxygen-containing gas is O2.
  • the silicon-containing gas and the oxygen-containing gas may form a dielectric layer on the surface of the substrates 101 .
  • At least one of the gas sources 128 and 129 is configured to deliver a silicon-containing gas and nitrogen-containing gas to a deposition source 160A-160D.
  • the nitrogen-containing gas may be, for example, diatomic nitrogen, nitrous oxide, or ammonia.
  • the gas sources 128 and 129 may be adapted to provide multiple precursor gases, either independently or simultaneously.
  • the gases sources 128, 129 may be gas cabinets housing multiple precursor and/or carrier gas sources.
  • any of deposition sources 16GA-160D may be configured to deliver other precursor gases in addition to those listed above, including an aluminum-containing gas.
  • the deposition sources 160A- 180D, and the precursor gases provided thereto, may be used to facilitate the formation of a desired passivation layer stack deposition. It is also contemplated that more gas sources may be added to the chamber 140 to accommodate more types of gas delivery.
  • each of the deposition sources 180A-180D may be adapted to deposit different film materials on the substrates 101 .
  • the deposition sources 160A-160D may be adapted to deposition one or more films of silicon dioxide, silicon nitride, aluminum oxide, aluminum nitride, and the like.
  • FIG. 2 is a schematic sectional view of a deposition source, according to one embodiment of the invention.
  • the first deposition source 160A includes the gas delivery element 181 , such as a nozzle, for introducing processing gas into the processing region 125.
  • Gas delivery elements 182 (two are shown) are disposed adjacent to the gas delivery element 181 , and are adapted to deliver a second process gas to the processing region 125.
  • Each of the gas delivery elements 181 , 182 are coupled to a gas source, such as the gas source 128 or 129.
  • the gas delivery element 161 may be coupled to the gas source 128, and the gas delivery element 182 may be coupled to the gas source 129.
  • the deposition source 160A also includes a housing 208 in which electrodes 210 are enclosed.
  • the electrodes 210 may be coupled to a common power supply 131 (shown in Figure 1 B).
  • the power supply 131 is an AC power supply.
  • the electrodes 210 are encircled by a target 283, such as silicon target, that protects the electrode from plasma erosion during deposition processes.
  • the target 283 is a sacrificial material and may contribute to the formation of material on a substrate 101 via sputtering.
  • the material formed on a substrate 101 includes less than 1 percent of materia! originating from the target 283.
  • the target may also include materials other than silicon.
  • the target composition may share a common element with a precursor gas.
  • the target 283 may be secured using one or more tabs (not shown), and electrical insulators 288a, 288b disposed at upper and lower end of the target 283.
  • the electrical insulators 286a, 288b may also facilitate electrical isolation of the electrode 210
  • a cooling block 284 is also disposed in the housing 208 and includes cooling passages 219a formed therein to facilitate cooling of deposition source components.
  • a cooling jacket 285 having cooling passages 219b formed therein may also be disposed within the housing 208 to further enhance cooling.
  • the gas delivery elements 182 include cavity portions 222 that are bound by a shield 223.
  • Magnets 224 are disposed circumferentially around the shield 223 between the shield 223 and a portion of the housing 208.
  • the magnets 224 may include permanent magnets or, alternatively, magnetrons.
  • magnet shunts 228 are present within the cavity portion 222 and coupled to the electrodes 210.
  • the magnet shunts 228 are opposite polarity to the respective magnets 224.
  • Collectively, the magnets 224 and the shunts 226 facilitate plasma formation, and shape a magnetic field that affects the deposition.
  • the magnetic shunts may be enclosed or protected by a pole cover 287.
  • power supply 131 is an alternating current power supply with a frequency range between 20 kHz to 500 kHz, such as 40 kHz.
  • a gas source such as gas source 129
  • a second gas is introduced to the processing region 125 through the gas delivery element 181 .
  • the magnets 224 and magnet shunts 228 facilitate formation of plasma from process gases located in the processing region 125, thereby inducing deposition of material on a substrate located within the processing region 125.
  • the formation of the plasma adjacent the gas delivery elements 182 often undesirably results in film formation on surfaces of the deposition source 160A, particularly the shield 223 and the pole cover 227.
  • the deposited film is subject to flaking off, especially when the film contains silicon nitride, which can negatively impact the performance of processed devices. While processing can be stopped after every deposition to remove the film from the shield 223, such a cleaning results in a significant reduction in production, and therefore, minimizing cleaning frequency is desirable.
  • Figure 3 illustrates a method for reducing particle flaking while minimizing chamber cleaning frequency.
  • FIG 3 is a flow diagram illustrating a method 370 of controlling particle flaking, according to one embodiment of the invention.
  • the method 370 begins at operation 371 , in which a substrate is transferred to a processing region adjacent a deposition source, such as processing region 125 adjacent deposition source 160A (shown in Figure 1 B).
  • the substrate may be a solar cell substrate, such as a crystalline silicon wafer.
  • a silicon-containing process gas and a nitrogen-containing process gas are introduced to the processing region in operation 372.
  • a silicon-containing process gas such as siiane
  • the nitrogen-containing process gas such as ammonia
  • the nitrogen-containing process gas and the silicon containing process gas are introduced into the processing region
  • power is applied to the electrodes 210 and the magnets 224 to generate a plasma from the silicon- containing process gas and the nitrogen containing process.
  • the resultant plasma facilitates deposition of a silicon nitride film on a surface of the substrate positioned within the processing region 125. Consequently, an undesirable silicon nitride film also deposits on components of the deposition source 160A, such as on surfaces of the shield 223.
  • the substrate having the silicon nitride film formed thereon is transferred from the processing region 125 on the conveyor 121 to the next processing location, such as the processing region 125 adjacent to the deposition source 160B.
  • the next processing location such as the processing region 125 adjacent to the deposition source 160B.
  • a silicon-containing process gas and an oxygen-containing process gas are introduced to the processing region 125 adjacent the deposition source 160A in operation 374.
  • the silicon-containing process gas and the oxygen-containing process gas are ignited into a plasma to facilitate deposition of a silicon dioxide film over the silicon nitride film located on the components of the deposition source 160; e.g., over the silicon nitride layer deposited on the shield 223.
  • the addition of silicon dioxide over the silicon nitride reduces the flaking of the silicon nitride film by providing a capping or sealing layer. Additionally, the silicon dioxide layer provides a smoother surface for subsequent silicon nitride layers to adhere to, thus further reducing the likelihood of flaking.
  • oxygen from the plasma in operation 373 may partially oxidize the silicon nitride layer, additionally reducing the likelihood of the silicon nitride layer flaking by reducing the stress within the silicon nitride layer. Incorporation of oxygen into the silicon nitride film results in a more mechanically and thermodynamically stable film.
  • a duty cycle for oxygen flow may be about 25 percent or less, such as about 10 percent or less, which provides for sufficient substrate throughput while minimizing particulate flaking.
  • operation 372 may additionally include introducing an oxygen-containing process gas to the processing region.
  • an oxygen-containing process gas may be utilized when the film formed on the substrate 125 allows for incorporation of some oxygen, per film formation specifications.
  • the flow of an oxygen-containing process gas while forming the silicon nitride film on the substrate results in oxygen being incorporated into the silicon nitride film that deposits on the surfaces of the deposition source.
  • the incorporation of oxygen facilitates reduced flaking from deposition source components until the deposition source components are cleaned, for example, after 100 hours of continuous use.
  • operation 374 may be omitted.
  • nitrous oxide may be used as a precursor gas to provide both oxygen and nitrogen to the processing region 125, and thus, separate precursor gases for oxygen and nitrogen may not be necessary.
  • operations 371- 373 may be performed several times cyclically before performing operation 374.
  • a silicon oxide coating layer need not be deposited on each individual silicon nitride layer formed on the deposition source components, thereby enhancing substrate throughput.
  • operations 371 -373 may be performed 5, 10, 50 or more times before performing operation 374.
  • operations 374 may be performed each time after operations 371 -373 are completed.
  • FIG. 4 illustrates a film stack 450 deposited according to the method of Figure 3, according to one embodiment of the invention.
  • the film stack 450 is illustrated as being disposed on a shield 233; however, it is to be understood that the film stack 450 may be formed on components other than the shield 233.
  • the film stack includes alternating layers of silicon dioxide and silicon nitride. It is contemplated that the silicon dioxide layer could also include a non-stoichiometric silicon and oxygen-containing layer. Similarly, it is contemplated that the silicon nitride layer may include, for example, 8S3N4, or other stoichiometric or non-stoichiometric silicon and nitrogen-containing materials.
  • the stack includes a layer 451 of silicon nitride deposited on the shield 233.
  • a layer 452 of silicon dioxide is deposited on the layer 451 to prevent flaking of the layer 451 .
  • a layer 453 including silicon nitride is deposited on the layer 452.
  • a layer 454 including silicon dioxide is deposited on the layer 453 to prevent flaking of the layer 453.
  • a layer 455 including silicon nitride is deposited on the layer 454.
  • a layer 458 including silicon dioxide is deposited on layer 455 to prevent flaking thereon.
  • the layers 453, and 455 may be deposited according to operation 372.
  • the silicon dioxide layers 452, 454, 458 generally have uniform compositions of Si0 2 , and facilitate reduced flaking of the silicon nitride layers 451 , 453, 455 thereunder.
  • the silicon dioxide layers 452, 454, 456 may be deposited to a thickness between about 1 micron and about 5 microns, such as about 2 microns to about 3 microns.
  • Benefits of the present invention include a reduction in flaking of materials from chamber components, resulting in higher quality and better performing devices.
  • the reduction in flaking extends the mean time between chamber cleanings, thus increasing substrate throughput.
  • embodiments herein are described with respect to a particular deposition source, it is contemplated that deposition sources using other types of plasma generation, including inductively-coupled plasma (ICP) or microwave plasma, may benefit from embodiments described herein.
  • ICP inductively-coupled plasma
  • embodiments herein are described with respect to a silicon oxide layer to reduce silicon nitride flaking, it is contemplated that other materials, such as amorphous silicon, may be used to reduce flaking of silicon nitride films.
  • a silicon-containing gas such as silane, may be used to deposit the amorphous film over the silicon nitride.
  • embodiments herein may be utilized to prevent films other than silicon nitride.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Selon des modes de réalisation, la présente invention porte sur un procédé permettant de réduire l'écaillage dans un système de traitement en ligne. Le procédé consiste à transférer un substrat vers une zone de traitement à l'intérieur d'une chambre, et à déposer une couche de nitrure de silicium sur le substrat. Le substrat est alors transféré depuis la zone de traitement. Une couche de dioxyde de silicium est alors déposée au-dessus de composants de la chambre pour recouvrir tout nitrure de silicium déposé sur les composants de la chambre pendant le dépôt de nitrure de silicium. La couche de dioxyde de silicium déposée sur la couche de nitrure de silicium réduit l'écaillage de la couche de nitrure de silicium depuis les composants de la chambre.
PCT/US2014/037242 2014-01-17 2014-05-08 Revêtement de chambre en ligne pour réguler l'écaillage de particules WO2015108558A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW104101538A TW201539578A (zh) 2014-01-17 2015-01-16 控制粒子剝脫之線上腔室塗佈

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461928848P 2014-01-17 2014-01-17
US61/928,848 2014-01-17

Publications (1)

Publication Number Publication Date
WO2015108558A1 true WO2015108558A1 (fr) 2015-07-23

Family

ID=53543303

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2014/037242 WO2015108558A1 (fr) 2014-01-17 2014-05-08 Revêtement de chambre en ligne pour réguler l'écaillage de particules

Country Status (2)

Country Link
TW (1) TW201539578A (fr)
WO (1) WO2015108558A1 (fr)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109852940A (zh) * 2017-11-16 2019-06-07 三星电子株式会社 溅射设备及其操作方法
CN110062950A (zh) * 2016-12-06 2019-07-26 应用材料公司 物理气相沉积腔室中的颗粒减量
CN110835728A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 用于太阳电池制造的9腔体卧式pecvd-pvd一体化设备
JP2022553008A (ja) * 2019-10-25 2022-12-21 アプライド マテリアルズ インコーポレイテッド 極紫外線マスクブランク欠陥低減方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5589233A (en) * 1993-12-28 1996-12-31 Applied Materials, Inc. Single chamber CVD process for thin film transistors
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
WO2010113928A1 (fr) * 2009-03-31 2010-10-07 東京エレクトロン株式会社 Procédé de formation d'un film de nitrure de silicium, procédé de fabrication d'un dispositif de mémoire à semi-conducteur et appareil de dépôt en phase vapeur assisté par plasma
US8138103B2 (en) * 2006-05-31 2012-03-20 Tokyo Electron Limited Plasma CVD method, method for forming silicon nitride film and method for manufacturing semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5589233A (en) * 1993-12-28 1996-12-31 Applied Materials, Inc. Single chamber CVD process for thin film transistors
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US8138103B2 (en) * 2006-05-31 2012-03-20 Tokyo Electron Limited Plasma CVD method, method for forming silicon nitride film and method for manufacturing semiconductor device
WO2010113928A1 (fr) * 2009-03-31 2010-10-07 東京エレクトロン株式会社 Procédé de formation d'un film de nitrure de silicium, procédé de fabrication d'un dispositif de mémoire à semi-conducteur et appareil de dépôt en phase vapeur assisté par plasma

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110062950A (zh) * 2016-12-06 2019-07-26 应用材料公司 物理气相沉积腔室中的颗粒减量
CN110062950B (zh) * 2016-12-06 2023-02-17 应用材料公司 物理气相沉积腔室中的颗粒减量
CN109852940A (zh) * 2017-11-16 2019-06-07 三星电子株式会社 溅射设备及其操作方法
CN109852940B (zh) * 2017-11-16 2022-08-26 三星电子株式会社 溅射设备及其操作方法
CN110835728A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 用于太阳电池制造的9腔体卧式pecvd-pvd一体化设备
JP2022553008A (ja) * 2019-10-25 2022-12-21 アプライド マテリアルズ インコーポレイテッド 極紫外線マスクブランク欠陥低減方法
JP7393538B2 (ja) 2019-10-25 2023-12-06 アプライド マテリアルズ インコーポレイテッド 極紫外線マスクブランク欠陥低減方法

Also Published As

Publication number Publication date
TW201539578A (zh) 2015-10-16

Similar Documents

Publication Publication Date Title
KR102443854B1 (ko) 단속적 재생 플라즈마를 사용하는 ald 실리콘 옥사이드 표면 코팅을 사용하여 라디칼 재결합 최소화
US10930475B2 (en) Graded in-situ charge trapping layers to enable electrostatic chucking and excellent particle performance for boron-doped carbon films
TWI806214B (zh) 減輕系統、真空處理系統以及冷卻組成物的方法
TWI777069B (zh) 基板處理裝置、基板處理裝置之電極及半導體裝置之製造方法
US20100304574A1 (en) Film formation method and apparatus for semiconductor process
KR20170125732A (ko) Pecvd를 사용하여 컨포멀하고 저 습식 에칭 레이트의 캡슐화 층을 증착하는 방법
KR101774086B1 (ko) 성막 방법, 기억 매체 및 성막 장치
KR20150079470A (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
KR20150139774A (ko) 후면 증착 장치 및 애플리케이션들
WO2013070438A1 (fr) Caractéristiques de distribution de précurseurs pour une uniformité de dépôt améliorée
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
KR102514466B1 (ko) 진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층
WO2007038050A2 (fr) Procedes de traitement pour un reacteur discontinu effectuant le depot de couches atomiques
WO2015108558A1 (fr) Revêtement de chambre en ligne pour réguler l'écaillage de particules
JP2005123532A (ja) 成膜装置及び成膜方法
KR102405729B1 (ko) 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착
TWI733021B (zh) 電漿源組件、處理腔室與處理基板的方法
KR101674251B1 (ko) 종형 열처리 장치의 운전 방법, 종형 열처리 장치 및 기억 매체
US20230238223A1 (en) Carrier rings with radially-varied plasma impedance
CN110494950A (zh) 由远程氮自由基源实现的高沉积速率高质量氮化硅
KR20160062370A (ko) 반도체 소자의 제조방법
KR20180110601A (ko) 성막 방법 및 종형 열처리 장치
WO2021262585A1 (fr) Outils de traitement multi-station présentant des caractéristiques de support variant en fonction d'une station pour un traitement de côté arrière
WO2015122977A1 (fr) Procédé d'injection de gaz précurseur étendu
TWI846200B (zh) 成膜方法、半導體裝置之製造方法、成膜裝置及程式

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14878630

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 14878630

Country of ref document: EP

Kind code of ref document: A1