WO2015035691A1 - Ditch groove forming method and semiconductor component preparation method - Google Patents

Ditch groove forming method and semiconductor component preparation method Download PDF

Info

Publication number
WO2015035691A1
WO2015035691A1 PCT/CN2013/086126 CN2013086126W WO2015035691A1 WO 2015035691 A1 WO2015035691 A1 WO 2015035691A1 CN 2013086126 W CN2013086126 W CN 2013086126W WO 2015035691 A1 WO2015035691 A1 WO 2015035691A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
substrate
trench
forming
hard mask
Prior art date
Application number
PCT/CN2013/086126
Other languages
French (fr)
Chinese (zh)
Inventor
唐兆云
闫江
李峻峰
Original Assignee
中国科学院微电子研究所
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 中国科学院微电子研究所 filed Critical 中国科学院微电子研究所
Publication of WO2015035691A1 publication Critical patent/WO2015035691A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not

Definitions

  • the present disclosure relates to the field of semiconductors, and more particularly to a trench forming method and a semiconductor device manufacturing method.
  • IHJ-in trenches In many applications it is desirable to form IHJ-in trenches in the substrate. However, as devices continue to be miniaturized, it is difficult to effectively control the formation of such trenches, particularly their depth and depth uniformity.
  • a method of forming a trench in a substrate comprising: forming a hard mask layer on a substrate; forming an etch stop determining layer on the hard mask layer; respectively etching Stopping the determining layer and the hard mask layer to pattern a pattern corresponding to the trench to be formed therein; etching the substrate by patterning the etch stop determining layer and the hard mask layer as a mask, Forming a trench therein, wherein etching the substrate simultaneously etches the etch stop determining layer; and detecting a signal indicating that the etch stop determining layer is etched to the end point to determine etching of the substrate stop.
  • a method of fabricating a semiconductor device comprising: forming a trench in a substrate according to the above method; forming a sidewall on a sidewall of the trench; filling a trench in the trench Forming source/drain regions on both sides of the trench in the substrate; and removing the shadowing layer filled in the trench and forming a gate stack in the trench.
  • an etch stop determining layer is formed on the hard mask layer.
  • the stop of etching the substrate can be determined Stop. In this way, the depth uniformity of the resulting trench can be improved.
  • 1-6 are schematic diagrams showing various stages in a process of forming a trench in a substrate, in accordance with an embodiment of the present disclosure
  • FIGS. 7-17 are schematic diagrams showing stages in a process of fabricating a semiconductor device based on trenches in accordance with another embodiment of the present disclosure. detailed description
  • a layer/element when a layer/element is referred to as being "on" another layer/element, the layer/element may be located directly on the other layer/element, or a central layer may be present between them. element. In addition, if a layer/element is "on” another layer/element, the layer/element may be "under” the other layer/element when the orientation is reversed.
  • a method of forming a trench in a substrate is provided.
  • a hard mask layer is formed on the substrate, and the hard mask layer can serve as a mask when the substrate is subsequently etched.
  • an etch stop determining layer may be formed on the hard mask layer. The material of the etch stop determining layer can be selected to be etched with the substrate. In this way, the layer can be etched to the end point by detecting the indication of the etch stop. The signal (ie, substantially completely etched away) is used to determine the cessation of the substrate etch.
  • the etch rate of each of the layer and the substrate can be determined according to the depth of the trench to be etched and the etch stop (for example, if the materials of the two are the same, their etch rates can be substantially the same) Etching stops determining the thickness of the layer.
  • the etch stop determining layer and the hard mask layer may be separately patterned to form a pattern corresponding to the trench to be formed therein. Thus, they can then be used as a mask to etch the substrate to form corresponding trenches therein.
  • a semiconductor device such as a field effect transistor (FET) can be further fabricated based on the trench-formed substrate.
  • FET field effect transistor
  • a gate stack can be formed within the trench.
  • a spacer can be formed on the sidewall of the trench, which then acts as a gate spacer.
  • the source/drain regions may be formed first, and then the gate stack is formed.
  • a masking layer can be filled in the trench to mask the trench (and the portion of the substrate below it, which then acts as a channel region).
  • source/drain regions may be formed on both sides of the trench in the substrate, for example, by ion implantation or the like.
  • the masking layer can be removed and a gate stack formed in the trench.
  • the gate stack can be in a variety of suitable forms, such as a stack of high-k gate dielectrics and metal gate conductors (and optionally a work function adjustment layer sandwiched between them).
  • the portions of the substrate on both sides of the trench may be silicided. Processing to form a contact with the source/drain regions. Because of the presence of a masking layer (typically a dielectric material) in the trench, this silicidation does not substantially affect the trench (and the underlying substrate portion). Thereby, the contacts are self-aligned to the source/drain regions on both sides of the trench. Moreover, the formation of such contacts does not require etching and filling of the contact holes, simplifying the process.
  • a masking layer typically a dielectric material
  • a substrate 1000 is provided.
  • the substrate 1000 may be a suitable substrate in various forms, such as a bulk semiconductor substrate such as Si, Ge, etc., a compound semiconductor substrate such as SiGe, GaAs, GaSb, AlAs, InAs, InP, GaN, SiC, InGaAs, InSb, InGaSb Etc., a semiconductor-on-insulator (SOI), etc.
  • SOI semiconductor-on-insulator
  • an SOI substrate and a silicon-based material will be described as an example. However, it should be noted that this disclosure is not limited to this.
  • the SOI substrate 1000 may include a stacked base substrate 1000-1, a buried insulating layer 1000-2 and SOI layer 1000-3.
  • the base substrate 1000-1 may include bulk silicon.
  • the buried insulating layer 1000-2 may include an oxide such as silicon oxide having a thickness of, for example, about 500 A to 4000 A, typically such as 1450A.
  • the SOI layer 1000-3 may comprise crystalline silicon having a thickness of, for example, about 400 A to 3000 A, typically 500 A.
  • a shallow trench isolation (STI) 1002 for defining an active region is also formed.
  • STI 1002 for example, can include an oxide and extend into buried insulating layer 1000-2 to ensure efficient electrical isolation.
  • a person skilled in the art can think of various ways to form such an STI, and details are not described herein again.
  • a pad oxide layer 1004 may be formed on the surface of the substrate 1000.
  • the pad oxide layer 1004 can be formed, for example, by thermal oxidation or deposition, and can have a thickness of about 50 A - 300 A, typically 120 A.
  • a hard mask layer 1006 can be formed on the substrate 1000 (or on the pad oxide layer 1004), such as by deposition, such as low pressure chemical vapor deposition (LPCVD).
  • the hard mask layer 1006 may comprise a nitride (e.g., silicon nitride) or an oxynitride (e.g., silicon oxynitride) having a thickness of about 100-2000 A, typically 600 A.
  • the etch stop determining layer 1010 may be formed on the hard mask layer 1006, for example, by deposition.
  • the etch stop determining layer 1010 includes the same silicon material as the substrate, such as amorphous silicon.
  • the present disclosure is not limited thereto, and the etching stop determination layer
  • a pad oxide layer 1008 may be formed (eg, by deposition) on the hard mask layer 1006, and the thickness may be approximately 50A - 300 A, typically 100 A, is then formed on the pad oxide layer 1008 to form an etch stop determining layer 1010.
  • the etching stop determination layer can be determined according to the etching scheme of the subsequent etching scheme for the substrate (specifically, the SOI layer 1000-3) and the etching stop of the etching stop determining layer 1010 and the depth to be etched. 1010 thickness.
  • the SOI layer 1000-3 and the etch stop determining layer 1010 are both silicon materials (one is crystalline silicon and one is amorphous silicon), their etching rates can be selected by selecting an appropriate etching scheme. Roughly the same.
  • the thickness of the etch stop determining layer 1010 can be set to be substantially the same as the depth to be etched.
  • etching can be performed. Specifically, as shown in FIG. 3, a photoresist 1012 may be formed on the etch stop determining layer 1010, and the photoresist 1012 may be patterned by photolithography to form therein. An opening G1 corresponding to the groove to be formed. Then, using the patterned photoresist 1012 as a mask, the etch stop determining layer 1010 and the hard mask layer 1006 may be selectively etched, such as reactive ion etching (RIE), to transfer the pattern of the opening G1. Thereto, thereby forming an opening G2 therein. In this example, the pad oxide layers 1004 and 1008 are also etched to also form the opening G2 therein. Then, the photoresist 1012 can be removed, as shown in FIG.
  • RIE reactive ion etching
  • the patterned etch stop determining layer 1010 and the hard mask layer 1006 may be used as a mask, and the substrate (specifically, the SOI layer 1000-3) is etched, such as RIE, to A groove G3 is formed therein.
  • the timing at which the etching of the substrate is stopped may be determined based on the etch stop determining the signal that the layer 1010 is etched to the end point. For example, the etching of the substrate may be stopped as soon as the end point signal is detected; or, after the end point signal is detected, a certain degree of over etching may be performed.
  • Those skilled in the art will be able to devise various schemes for the detection of the endpoint signal.
  • the etching stop determination layer 1010 can be determined whether the etching stop determination layer 1010 has been etched by detecting the etching product (for example, when the nitrogen-containing product is detected, it can be judged that the etching has reached the hard mask layer 1006, and thus the judgment is inscribed The etch stop determining layer 1010 has been etched).
  • the remaining SOI layer 1000-3 under the trench has a thickness of about 2-20 nm. This portion of the SOI layer below the trench can then act as the channel region CH of the device. Subsequently, the pad oxide layer 1008, the hard mask layer 1006, and the pad oxide layer 1004 may be removed.
  • the groove G3 is formed in the substrate (specifically, the SOI layer 1000-3). Since the etching stop condition of the trench G3 can be effectively controlled, the depth of the trench G3 and its depth uniformity can be effectively controlled.
  • Various structures can be fabricated based on such a groove G3 formed in the substrate.
  • a semiconductor device such as a FET, in which a gate stack can be formed in the trench G3, will be described.
  • a gate spacer can be formed on the sidewall of the trench.
  • an oxide layer 1014 eg, by in-situ vapor phase epitaxy (ISSG)
  • a nitride layer 1016 eg, by deposition
  • the oxide layer 1014 may have a thickness of about 50 A to 200 A, typically such as germanium; and the nitride layer 1016 may have a thickness of about 80 A to 300 A, typically 150 A.
  • the nitride layer 1016 may be anisotropically etched such as RIE to form sidewall spacers.
  • such an oxide layer 1014 may not be formed, but only the nitride layer 1016 may be formed.
  • well implantation and threshold voltage adjustment implantation may optionally be performed after the formation of the oxide layer 1014 and before the formation of the nitride layer 1016.
  • the mask can be filled in the trench.
  • a structure thickened by a high aspect ratio deposition process (HARP) or a high density plasma (HDP) may be formed on the structure shown in FIG.
  • the masking material 1018 can comprise an oxide.
  • a planarization process such as chemical mechanical polishing (CMP) can be performed.
  • CMP chemical mechanical polishing
  • This planarization process can be a stop layer for the SOI layer 1000-3 (in this example, silicon).
  • the masking layer 1018 remains in the trench and exposes portions of the SOI layer 1000-3 on both sides of the trench to facilitate subsequent source/drain processing.
  • the method of forming the source/drain regions is not limited to ion implantation.
  • a portion of the SOI layer 1000-3 on both sides of the trench can be removed by selective etching.
  • the source/drain regions can be formed by epitaxially growing an additional semiconductor layer (not shown). In-situ doping can be performed while epitaxial growth.
  • the grown semiconductor layer may include a material different from the SOI layer 1000-3 (e.g., SiGe or Si: C) so that stress can be applied to the channel region CH to enhance device performance.
  • the source/drain contacts can be formed directly on both sides of the trench by silicidation.
  • a metal layer 1020 may be formed on the substrate, for example, by deposition.
  • the metal layer 1020 may comprise Ni, Ti, Co or alloys thereof in an amount sufficient to sufficiently react with the underlying SOI layer 1000-3 to form a metal silicide.
  • annealing such as rapid thermal annealing (RTA)
  • RTA rapid thermal annealing
  • This metal silicide 1022 is self-aligned to the source/drain regions formed in the SOI layer 1000-3, and thus can be from the contact portion of the source/drain regions. Subsequently, as shown in Fig. 15, the shielding layer 1018 can be removed, for example, by BOE or by diluting the hydrofluoric acid solution.
  • FIG. 13 shows a case where the metal layer 1020 is formed on the structure after the mask layer 1018 is partially removed.
  • metal silicide 1022 is shown as extending the entire thickness of SOI layer 1000-3.
  • the present disclosure is not limited thereto.
  • the metal silicide 1022 may be formed on the upper portion of the SOI layer 1000-3 near the surface without extending to the bottom of the SOI layer 1000-3.
  • a gate stack can be formed inside the sidewalls 1016 in the trench.
  • the gate dielectric layer 1026 and the gate conductor layer 1030 may be sequentially formed on the structure shown in FIG.
  • the gate dielectric layer 1026 may include a high-k gate dielectric such as Hf0 2 having a thickness of, for example, about 15 A -40 A; the gate conductor layer 1030 may include a metal gate conductor such as Ti, Ni, or the like.
  • an interfacial oxide layer 1024 having a thickness of about 6 A -15 A may be formed between the gate dielectric layer 1026 and the substrate, for example, by thermal oxidation or deposition.
  • a work function adjusting layer 1028 such as TiN, may be included between the high K gate dielectric layer and the metal less conductor. Subsequently, a planarization process such as CMP may be performed to expose the source/drain contact portion 1022. It can be seen that the gate stack has substantially the same height as the source/drain contacts 1022. This facilitates the fabrication of subsequent interconnect structures.
  • the semiconductor device can include a gate stack embedded within a trench formed in the substrate.
  • the gate stack can include a gate dielectric layer 1026 and a gate conductor layer 1030 (and optionally an interfacial oxide layer 1024 and a work function adjustment layer 1028).
  • a portion of the substrate under the gate stack can be used as the channel region of the device.
  • the semiconductor device further includes source/drain regions formed on both sides of the gate stack (more specifically, both sides of the channel region) in the substrate, and source/drain contacts 1022 formed in the substrate with source/drain regions.
  • the source/drain contact portion 1022 may include a metal silicide formed by silicidation of a substrate portion on both sides of the trench.
  • the SOI substrate is exemplified in the above description, the technology of the present disclosure can be applied to other various substrates. Additionally, in the embodiments described above, the trenches are formed to form a gate stack therein, but the techniques of the present disclosure may be applied to various applications requiring trench formation.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)

Abstract

Disclosed are a ditch groove forming method and a semiconductor preparation method. An example method can comprise: forming a hard mask layer on a substrate; forming an etching stop determination layer on the head mask layer; patterning on the etching stop determination layer and the hard mask layer respectively to form an image corresponding to a ditch groove to be formed therein; using the etching stop determination layer and the hard mask layer with a pattern as a mask, and etching the substrate so as to form the ditch groove therein, wherein the substrate and the etching stop determination layer are etched simultaneously; and detecting a signal instructing that the etching stop determination layer is etched to an end point so as to determine to stop etching the substrate.

Description

沟槽形成方法和半导体器件制造方法 本申请要求了 2013年 9月 11 日提交的、 申请号为 201310412253.5、发明 名称为 "沟槽形成方法和半导体器件制造方法" 的中国专利申请的优先权, 其 全部内容通过引用结合在本申请中。 技术领域  BACKGROUND OF THE INVENTION 1. Field of the Invention The present application claims priority to Chinese Patent Application No. 201310412253.5, filed on Sep. 11, 2013, entitled,,,,,,,,,,, The entire contents are incorporated herein by reference. Technical field
本公开涉及半导体领域, 更具体地, 涉及一种沟槽形成方法和一种半导体 器件制造方法。  The present disclosure relates to the field of semiconductors, and more particularly to a trench forming method and a semiconductor device manufacturing method.
背景技术 Background technique
在许多应用中需要在衬底中形成 IHJ入的沟槽。 然而, 随着器件的不断小型 化, 难以有效控制这种沟槽的形成, 特别是其深度及深度一致性。  In many applications it is desirable to form IHJ-in trenches in the substrate. However, as devices continue to be miniaturized, it is difficult to effectively control the formation of such trenches, particularly their depth and depth uniformity.
发明内容 Summary of the invention
本公开的目的至少部分地在于提供一种沟槽形成方法以及一种半导体器 件制造方法, 以更好地控制所形成的沟槽的深度及深度一致性。  It is an object of the present disclosure to at least partially provide a trench formation method and a semiconductor device fabrication method to better control the depth and depth uniformity of the trenches formed.
根据本公开的一个方面, 提供了一种在衬底中形成沟槽的方法, 包括: 在 衬底上形成硬掩膜层; 在硬掩膜层上形成刻蚀停止确定层; 分别对刻蚀停止确 定层和硬掩膜层进行构图, 以在其中形成与要形成的沟槽相对应的图案; 以构 图的刻蚀停止确定层和硬掩膜层为掩模,对衬底进行刻蚀,以在其中形成沟槽, 其中,对衬底的刻蚀同时对刻蚀停止确定层进行刻蚀; 以及检测指示刻蚀停止 确定层被刻蚀到终点的信号, 以确定对衬底刻蚀的停止。  According to an aspect of the present disclosure, there is provided a method of forming a trench in a substrate, comprising: forming a hard mask layer on a substrate; forming an etch stop determining layer on the hard mask layer; respectively etching Stopping the determining layer and the hard mask layer to pattern a pattern corresponding to the trench to be formed therein; etching the substrate by patterning the etch stop determining layer and the hard mask layer as a mask, Forming a trench therein, wherein etching the substrate simultaneously etches the etch stop determining layer; and detecting a signal indicating that the etch stop determining layer is etched to the end point to determine etching of the substrate stop.
根据本公开的另一方面, 提供了一种制造半导体器件的方法, 包括: 根据 上述方法, 在衬底中形成沟槽; 在沟槽的侧壁上形成侧墙; 在沟槽中填充遮蔽 层; 在衬底中沟槽两侧形成源 /漏区; 以及去除沟槽中填充的遮蔽层, 并在沟 槽中形成栅堆叠。  According to another aspect of the present disclosure, there is provided a method of fabricating a semiconductor device, comprising: forming a trench in a substrate according to the above method; forming a sidewall on a sidewall of the trench; filling a trench in the trench Forming source/drain regions on both sides of the trench in the substrate; and removing the shadowing layer filled in the trench and forming a gate stack in the trench.
根据本发明的示例性实施例,在硬掩膜层上形成了刻蚀停止确定层。通过 检测指示该刻蚀停止确定层被刻蚀到终点的信号, 可以确定对衬底刻蚀的停 止。 这样, 可以改善得到的沟槽的深度一致性。 附图说明 According to an exemplary embodiment of the present invention, an etch stop determining layer is formed on the hard mask layer. By detecting a signal indicating that the etch stop determines that the layer is etched to the end point, the stop of etching the substrate can be determined Stop. In this way, the depth uniformity of the resulting trench can be improved. DRAWINGS
通过以下参照附图对本公开实施例的描述, 本公开的上述以及其他目的、 特征和优点将更为清楚, 在附图中:  The above and other objects, features and advantages of the present disclosure will become more apparent from
图 1-6是示出了根据本公开实施例的在衬底中形成沟槽的流程中多个阶段 的示意图; 以及  1-6 are schematic diagrams showing various stages in a process of forming a trench in a substrate, in accordance with an embodiment of the present disclosure;
图 7-17是示出了根据本公开另一实施例的基于沟槽来制造半导体器件的 流程中多个阶段的示意图。 具体实施方式  7-17 are schematic diagrams showing stages in a process of fabricating a semiconductor device based on trenches in accordance with another embodiment of the present disclosure. detailed description
以下, 将参照附图来描述本公开的实施例。 但是应该理解, 这些描述只是 示例性的, 而并非要限制本公开的范围。 此外, 在以下说明中, 省略了对公知 结构和技术的描述, 以避免不必要地混淆本公开的概念。  Hereinafter, embodiments of the present disclosure will be described with reference to the drawings. However, it should be understood that the description is only illustrative, and is not intended to limit the scope of the disclosure. In addition, descriptions of well-known structures and techniques are omitted in the following description in order to avoid unnecessarily obscuring the concept of the present disclosure.
在附图中示出了根据本公开实施例的各种结构示意图。这些图并非是按比 例绘制的, 其中为了清楚表达的目的, 放大了某些细节, 并且可能省略了某些 细节。 图中所示出的各种区域、 层的形状以及它们之间的相对大小、位置关系 仅是示例性的, 实际中可能由于制造公差或技术限制而有所偏差, 并且本领域 技术人员根据实际所需可以另外设计具有不同形状、 大小、 相对位置的区域 / 层。  Various structural schematics in accordance with embodiments of the present disclosure are shown in the drawings. The figures are not drawn to scale, and some details are exaggerated for clarity of presentation and some details may be omitted. The various regions, the shapes of the layers, and the relative sizes and positional relationships therebetween are merely exemplary, and may vary in practice due to manufacturing tolerances or technical limitations, and may be Areas/layers with different shapes, sizes, and relative positions can be additionally designed as needed.
在本公开的上下文中, 当将一层 /元件称作位于另一层 /元件 "上" 时, 该 层 /元件可以直接位于该另一层 /元件上, 或者它们之间可以存在居中层 /元件。 另外,如果在一种朝向中一层 /元件位于另一层 /元件"上",那么当调转朝向时, 该层 /元件可以位于该另一层 /元件 "下"。  In the context of the present disclosure, when a layer/element is referred to as being "on" another layer/element, the layer/element may be located directly on the other layer/element, or a central layer may be present between them. element. In addition, if a layer/element is "on" another layer/element, the layer/element may be "under" the other layer/element when the orientation is reversed.
根据本公开的实施例,提供了一种在衬底中形成沟槽的方法。根据该方法, 在衬底上形成硬掩膜层, 该硬掩膜层可以在随后对衬底进行刻蚀时充当掩模。 为了加强对衬底刻蚀的控制,特别是对刻蚀深度及深度一致性的控制, 可以在 硬掩膜层上形成一刻蚀停止确定层。该刻蚀停止确定层的材料可以选择为能够 随衬底一起被刻蚀。 这样, 可以通过检测指示刻蚀停止确定层被刻蚀到终点 (即, 基本被完全刻蚀掉)的信号, 来确定对衬底刻蚀的停止。 例如, 可以根 据所要刻蚀的沟槽的深度以及刻蚀停止确定层和衬底各自的刻蚀速率 (例如, 在两者的材料相同的情况下, 它们的刻蚀速率可以大致相同), 确定刻蚀停止 确定层的厚度。 In accordance with an embodiment of the present disclosure, a method of forming a trench in a substrate is provided. According to the method, a hard mask layer is formed on the substrate, and the hard mask layer can serve as a mask when the substrate is subsequently etched. In order to enhance the control of the substrate etching, particularly the control of the etching depth and the depth uniformity, an etch stop determining layer may be formed on the hard mask layer. The material of the etch stop determining layer can be selected to be etched with the substrate. In this way, the layer can be etched to the end point by detecting the indication of the etch stop. The signal (ie, substantially completely etched away) is used to determine the cessation of the substrate etch. For example, the etch rate of each of the layer and the substrate can be determined according to the depth of the trench to be etched and the etch stop (for example, if the materials of the two are the same, their etch rates can be substantially the same) Etching stops determining the thickness of the layer.
在对衬底刻蚀之前, 可以分别对刻蚀停止确定层和硬掩膜层进行构图, 以 在其中形成与要形成的沟槽相对应的图案。 这样, 随后可以它们为掩模, 对衬 底进行刻蚀, 以在其中形成相应的沟槽。  Before the substrate is etched, the etch stop determining layer and the hard mask layer may be separately patterned to form a pattern corresponding to the trench to be formed therein. Thus, they can then be used as a mask to etch the substrate to form corresponding trenches therein.
在如此形成沟槽之后, 可以该形成有沟槽的衬底为基础, 进一步制造半导 体器件如场效应晶体管 (FET )。 根据一示例, 可以在沟槽内形成栅堆叠。 为 此, 可以在沟槽的侧壁上形成侧墙(spacer ), 其随后充当栅侧墙。 为避免源 / 漏形成处理对栅堆叠的影响, 可以先形成源 /漏区, 再形成栅堆叠。 例如, 可 以在沟槽中填充遮蔽层, 以遮蔽沟槽(及其下方的衬底部分, 其随后充当沟道 区)。 随后, 例如可以通过离子注入等方式, 在衬底中沟槽两侧形成源 /漏区。 接着, 可以去除遮蔽层, 并在沟槽中形成栅堆叠。 栅堆叠可以是各种合适的形 式, 例如高 K栅介质和金属栅导体(以及可选的夹于它们之间的功函数调节 层) 的堆叠。  After the trench is thus formed, a semiconductor device such as a field effect transistor (FET) can be further fabricated based on the trench-formed substrate. According to an example, a gate stack can be formed within the trench. To this end, a spacer can be formed on the sidewall of the trench, which then acts as a gate spacer. In order to avoid the influence of the source/drain formation process on the gate stack, the source/drain regions may be formed first, and then the gate stack is formed. For example, a masking layer can be filled in the trench to mask the trench (and the portion of the substrate below it, which then acts as a channel region). Subsequently, source/drain regions may be formed on both sides of the trench in the substrate, for example, by ion implantation or the like. Next, the masking layer can be removed and a gate stack formed in the trench. The gate stack can be in a variety of suitable forms, such as a stack of high-k gate dielectrics and metal gate conductors (and optionally a work function adjustment layer sandwiched between them).
根据一有利示例, 为了避免源 /漏区接触部的制造困难(特别是在器件不 断小型化的情况下), 在形成源 /漏区之后, 可以对衬底位于沟槽两侧的部分进 行硅化处理, 以形成与源 /漏区的接触部。 由于沟槽中存在遮蔽层 (通常为电 介质材料 ), 因此这种硅化处理基本上不会对沟槽(及其下方的衬底部分)造 成影响。 从而, 接触部自对准于沟槽两侧的源 /漏区。 而且, 这种接触部的形 成不需要接触孔的刻蚀和填充, 简化了工艺。  According to an advantageous example, in order to avoid manufacturing difficulties of the source/drain contact portions (especially in the case where the device is continuously miniaturized), after the source/drain regions are formed, the portions of the substrate on both sides of the trench may be silicided. Processing to form a contact with the source/drain regions. Because of the presence of a masking layer (typically a dielectric material) in the trench, this silicidation does not substantially affect the trench (and the underlying substrate portion). Thereby, the contacts are self-aligned to the source/drain regions on both sides of the trench. Moreover, the formation of such contacts does not require etching and filling of the contact holes, simplifying the process.
本公开可以各种形式呈现, 以下将描述其中一些示例。  The present disclosure can be presented in various forms, some of which are described below.
如图 1所示, 提供衬底 1000。 衬底 1000可以是各种形式的合适衬底, 例 如体半导体衬底如 Si、 Ge等,化合物半导体衬底如 SiGe、 GaAs、 GaSb、 AlAs、 InAs、 InP、 GaN、 SiC、 InGaAs、 InSb、 InGaSb等, 绝缘体上半导体衬底( SOI ) 等。 在此, 以 SOI衬底及硅系材料为例进行描述。 但是需要指出的是, 本公 开不限于此。  As shown in Figure 1, a substrate 1000 is provided. The substrate 1000 may be a suitable substrate in various forms, such as a bulk semiconductor substrate such as Si, Ge, etc., a compound semiconductor substrate such as SiGe, GaAs, GaSb, AlAs, InAs, InP, GaN, SiC, InGaAs, InSb, InGaSb Etc., a semiconductor-on-insulator (SOI), etc. Here, an SOI substrate and a silicon-based material will be described as an example. However, it should be noted that this disclosure is not limited to this.
具体地, SOI衬底 1000 可以包括层叠的基底衬底 1000-1、 埋入绝缘层 1000-2和 SOI层 1000-3。 例如, 基底衬底 1000-1可以包括体硅。 埋入绝缘层 1000-2可以包括氧化物(如氧化硅 ), 厚度例如为约 500 A -4000 A, 典型的如 1450A。 SOI层 1000-3可以包括晶体硅, 厚度例如为约 400 A -3000 A, 典型 的如 500 A。 Specifically, the SOI substrate 1000 may include a stacked base substrate 1000-1, a buried insulating layer 1000-2 and SOI layer 1000-3. For example, the base substrate 1000-1 may include bulk silicon. The buried insulating layer 1000-2 may include an oxide such as silicon oxide having a thickness of, for example, about 500 A to 4000 A, typically such as 1450A. The SOI layer 1000-3 may comprise crystalline silicon having a thickness of, for example, about 400 A to 3000 A, typically 500 A.
在衬底 1000中,还形成了用于限定有源区的浅沟槽隔离(STI ) 1002。 STI 1002例如可以包括氧化物, 且延伸进入到埋入绝缘层 1000-2中, 以确保有效 的电隔离。本领域技术人员可以想到多种方式来形成这种 STI,在此不再赘述。 另外, 在衬底 1000的表面上, 还可以形成有垫氧化物 (pad oxide )层 1004。 垫氧化物层 1004例如可以通过热氧化或淀积来形成,厚度可以为约 50 A -300 A, 典型的如 120 A。  In the substrate 1000, a shallow trench isolation (STI) 1002 for defining an active region is also formed. STI 1002, for example, can include an oxide and extend into buried insulating layer 1000-2 to ensure efficient electrical isolation. A person skilled in the art can think of various ways to form such an STI, and details are not described herein again. Further, on the surface of the substrate 1000, a pad oxide layer 1004 may be formed. The pad oxide layer 1004 can be formed, for example, by thermal oxidation or deposition, and can have a thickness of about 50 A - 300 A, typically 120 A.
然后, 如图 2所示, 可以在衬底 1000 (或者, 在垫氧化物层 1004 )上, 例如通过淀积如低压化学气相沉积(LPCVD ), 形成硬掩膜层 1006。 例如, 硬 掩膜层 1006可以包括氮化物(如氮化硅 )或氮氧化物(如氮氧化硅), 厚度为 约 100-2000 A, 典型的如 600 A。  Then, as shown in FIG. 2, a hard mask layer 1006 can be formed on the substrate 1000 (or on the pad oxide layer 1004), such as by deposition, such as low pressure chemical vapor deposition (LPCVD). For example, the hard mask layer 1006 may comprise a nitride (e.g., silicon nitride) or an oxynitride (e.g., silicon oxynitride) having a thickness of about 100-2000 A, typically 600 A.
如上所述, 为了改善对刻蚀的控制, 可以在硬掩膜层 1006上, 例如通过 淀积, 形成刻蚀停止确定层 1010。 在该示例中, 刻蚀停止确定层 1010包括与 衬底相同的硅材料, 例如非晶硅。 但是, 本公开不限于此, 刻蚀停止确定层 As described above, in order to improve the control of the etching, the etch stop determining layer 1010 may be formed on the hard mask layer 1006, for example, by deposition. In this example, the etch stop determining layer 1010 includes the same silicon material as the substrate, such as amorphous silicon. However, the present disclosure is not limited thereto, and the etching stop determination layer
1010也可以包括不同于衬底的其他材料。 另外, 为了改善硬掩膜层 1006与刻 蚀停止确定层 1010之间的结合, 可以在硬掩膜层 1006上先形成(例如, 通过 淀积)一垫氧化物层 1008, 其厚度可以约为 50A -300 A, 典型的如 100 A, 然 后再在该垫氧化物层 1008上形成刻蚀停止确定层 1010。 1010 may also include other materials than the substrate. In addition, in order to improve the bonding between the hard mask layer 1006 and the etch stop determining layer 1010, a pad oxide layer 1008 may be formed (eg, by deposition) on the hard mask layer 1006, and the thickness may be approximately 50A - 300 A, typically 100 A, is then formed on the pad oxide layer 1008 to form an etch stop determining layer 1010.
在此, 可以根据随后釆用的刻蚀方案对衬底(具体地, SOI层 1000-3 )和 刻蚀停止确定层 1010的刻蚀速率以及需要刻蚀的深度, 来确定刻蚀停止确定 层 1010的厚度。 在该示例中, 由于 SOI层 1000-3和刻蚀停止确定层 1010均 为硅材料(一个为晶体硅, 一个为非晶硅), 因此通过选择适当的刻蚀方案, 它们的刻蚀速率可以大致相同。 在这种情况下, 可以将刻蚀停止确定层 1010 的厚度设置为与需要刻蚀的深度基本上相同。  Here, the etching stop determination layer can be determined according to the etching scheme of the subsequent etching scheme for the substrate (specifically, the SOI layer 1000-3) and the etching stop of the etching stop determining layer 1010 and the depth to be etched. 1010 thickness. In this example, since the SOI layer 1000-3 and the etch stop determining layer 1010 are both silicon materials (one is crystalline silicon and one is amorphous silicon), their etching rates can be selected by selecting an appropriate etching scheme. Roughly the same. In this case, the thickness of the etch stop determining layer 1010 can be set to be substantially the same as the depth to be etched.
接下来, 可以进行刻蚀。 具体地, 如图 3 所示, 可以在刻蚀停止确定层 1010上形成光刻胶 1012, 并通过光刻对光刻胶 1012进行构图, 以在其中形成 与将要形成的沟槽相对应的开口 Gl。 然后, 以该构图的光刻胶 1012为掩模, 可以依次对刻蚀停止确定层 1010和硬掩膜层 1006进行选择性刻蚀如反应离子 刻蚀 (RIE ), 以将开口 G1 的图案转移到其中, 从而在其中形成开口 G2。 在 该示例中, 还刻蚀了垫氧化物层 1004和 1008, 从而也在其中形成开口 G2。 然后, 可以去除光刻胶 1012, 如图 5所示。 Next, etching can be performed. Specifically, as shown in FIG. 3, a photoresist 1012 may be formed on the etch stop determining layer 1010, and the photoresist 1012 may be patterned by photolithography to form therein. An opening G1 corresponding to the groove to be formed. Then, using the patterned photoresist 1012 as a mask, the etch stop determining layer 1010 and the hard mask layer 1006 may be selectively etched, such as reactive ion etching (RIE), to transfer the pattern of the opening G1. Thereto, thereby forming an opening G2 therein. In this example, the pad oxide layers 1004 and 1008 are also etched to also form the opening G2 therein. Then, the photoresist 1012 can be removed, as shown in FIG.
接下来,如图 6所示,可以构图后的刻蚀停止确定层 1010和硬掩膜层 1006 为掩模, 对衬底(具体地, SOI层 1000-3 )进行刻蚀如 RIE, 以在其中形成沟 槽 G3。 在此, 可以根据刻蚀停止确定层 1010被刻蚀到终点的信号, 来确定停 止对衬底刻蚀的时刻。 例如, 可以一检测到终点信号, 就停止对衬底的刻蚀; 或者, 可以在检测到终点信号之后, 再进行一定程度的过刻蚀。 对于终点信号 的检测,本领域技术人员能够设想到多种方案。例如,可以通过检测刻蚀产物, 来判断刻蚀停止确定层 1010是否已被刻蚀完 (例如, 在检测到含氮产物时, 可以判断刻蚀已经到达硬掩膜层 1006, 且因此判断刻蚀停止确定层 1010已被 刻蚀完)。  Next, as shown in FIG. 6, the patterned etch stop determining layer 1010 and the hard mask layer 1006 may be used as a mask, and the substrate (specifically, the SOI layer 1000-3) is etched, such as RIE, to A groove G3 is formed therein. Here, the timing at which the etching of the substrate is stopped may be determined based on the etch stop determining the signal that the layer 1010 is etched to the end point. For example, the etching of the substrate may be stopped as soon as the end point signal is detected; or, after the end point signal is detected, a certain degree of over etching may be performed. Those skilled in the art will be able to devise various schemes for the detection of the endpoint signal. For example, it can be determined whether the etching stop determination layer 1010 has been etched by detecting the etching product (for example, when the nitrogen-containing product is detected, it can be judged that the etching has reached the hard mask layer 1006, and thus the judgment is inscribed The etch stop determining layer 1010 has been etched).
根据一示例, 在刻蚀后, 沟槽下方剩余的 SOI 层 1000-3 的厚度为约 2-20nm。 沟槽下方的这部分 SOI层随后可以充当器件的沟道区 CH。 随后, 可 以去除垫氧化物层 1008、 硬掩膜层 1006和垫氧化物层 1004。  According to an example, after etching, the remaining SOI layer 1000-3 under the trench has a thickness of about 2-20 nm. This portion of the SOI layer below the trench can then act as the channel region CH of the device. Subsequently, the pad oxide layer 1008, the hard mask layer 1006, and the pad oxide layer 1004 may be removed.
这样, 就在衬底(具体地, SOI层 1000-3 ) 中形成了沟槽 G3。 由于可以 有效控制沟槽 G3的刻蚀停止条件, 从而可以有效控制沟槽 G3的深度及其深 度一致性。  Thus, the groove G3 is formed in the substrate (specifically, the SOI layer 1000-3). Since the etching stop condition of the trench G3 can be effectively controlled, the depth of the trench G3 and its depth uniformity can be effectively controlled.
以衬底中形成的这种沟槽 G3为基础, 可以制作各种结构。 以下, 描述一 制造半导体器件如 FET的示例, 其中可以在沟槽 G3中形成栅堆叠。  Various structures can be fabricated based on such a groove G3 formed in the substrate. Hereinafter, an example of manufacturing a semiconductor device such as a FET, in which a gate stack can be formed in the trench G3, will be described.
与沟槽中要形成的栅堆叠相适应, 可以在沟槽的侧壁上形成栅侧墙。具体 地, 如图 7所示, 可以在形成有沟槽的衬底上依次形成氧化物层 1014 (例如 通过原位气相生长(ISSG ) )和氮化物层 1016 (例如通过淀积)。氧化物层 1014 的厚度可以为约 50 A -200 A, 典型的如 ΙΟΟΑ; 氮化物层 1016的厚度可以为 约 80 A -300 A, 典型的如 150 A。 之后, 如图 8所示, 可以对氮化物层 1016 进行各向异性刻蚀如 RIE,从而形成侧墙。这里需要指出的是,根据另一示例, 可以不形成这种氧化物层 1014, 而只形成氮化物层 1016。 在形成氧化物层 1014的情况下,在形成氧化物层 1014之后且在形成氮化 物层 1016之前, 可选地还可以进行阱注入和阔值电压调节注入。 In accordance with the gate stack to be formed in the trench, a gate spacer can be formed on the sidewall of the trench. Specifically, as shown in FIG. 7, an oxide layer 1014 (eg, by in-situ vapor phase epitaxy (ISSG)) and a nitride layer 1016 (eg, by deposition) may be sequentially formed on the substrate on which the trench is formed. The oxide layer 1014 may have a thickness of about 50 A to 200 A, typically such as germanium; and the nitride layer 1016 may have a thickness of about 80 A to 300 A, typically 150 A. Thereafter, as shown in FIG. 8, the nitride layer 1016 may be anisotropically etched such as RIE to form sidewall spacers. It should be noted here that, according to another example, such an oxide layer 1014 may not be formed, but only the nitride layer 1016 may be formed. In the case of forming the oxide layer 1014, well implantation and threshold voltage adjustment implantation may optionally be performed after the formation of the oxide layer 1014 and before the formation of the nitride layer 1016.
随后, 可以在沟槽中填充遮蔽层。 具体地, 如图 9所示, 可以在图 8所示 的结构上, 例如通过高深宽比淀积工艺 (HARP )或高密度等离子体(HDP ) 淀积, 形成一层较厚足以填满沟槽的遮蔽材料 1018。 该遮蔽材料 1018可以包 括氧化物。然后,如图 10所示,可以进行平坦化处理例如化学机械抛光( CMP )。 该平坦化处理可以 SOI层 1000-3 (在该示例中, 硅) 为停止层。 这样, 遮蔽 层 1018留于沟槽内, 且露出了 SOI层 1000-3位于沟槽两侧的部分, 以便于后 继的源 /漏区处理。  Subsequently, the mask can be filled in the trench. Specifically, as shown in FIG. 9, a structure thickened by a high aspect ratio deposition process (HARP) or a high density plasma (HDP) may be formed on the structure shown in FIG. The shielding material 1018 of the groove. The masking material 1018 can comprise an oxide. Then, as shown in Fig. 10, a planarization process such as chemical mechanical polishing (CMP) can be performed. This planarization process can be a stop layer for the SOI layer 1000-3 (in this example, silicon). Thus, the masking layer 1018 remains in the trench and exposes portions of the SOI layer 1000-3 on both sides of the trench to facilitate subsequent source/drain processing.
然后, 如图 11所示, 例如可以通过离子注入, 在衬底中沟槽两侧 (特别 是沟道区 CH两侧)形成源 /漏区 (未示出)。 例如, 对于 n型器件, 可以注入 n型杂质如?、 As等; 对于 p型器件 B等, 可以注入 p型杂质。 在离子注入之 后, 还可以进行退火如尖峰退火, 以激活注入的离子。  Then, as shown in Fig. 11, for example, source/drain regions (not shown) may be formed on both sides of the trench in the substrate (particularly on both sides of the channel region CH) by ion implantation. For example, for n-type devices, can n-type impurities be injected? , As, etc.; For p-type device B, etc., p-type impurities can be implanted. After the ion implantation, annealing such as spike annealing may also be performed to activate the implanted ions.
这里需要指出的是, 形成源 /漏区的方法不限于离子注入。 可以通过选择 性刻蚀, 去除 SOI层 1000-3位于沟槽两侧的一部分。 然后, 可以通过外延生 长另外的半导体层 (未示出) 来形成源 /漏区。 在外延生长的同时, 可以进行 原位掺杂。生长的半导体层可以包括不同于 SOI层 1000-3的材料(例如, SiGe 或 Si:C ), 从而可以向沟道区 CH施加应力, 以增强器件性能。  It should be noted here that the method of forming the source/drain regions is not limited to ion implantation. A portion of the SOI layer 1000-3 on both sides of the trench can be removed by selective etching. Then, the source/drain regions can be formed by epitaxially growing an additional semiconductor layer (not shown). In-situ doping can be performed while epitaxial growth. The grown semiconductor layer may include a material different from the SOI layer 1000-3 (e.g., SiGe or Si: C) so that stress can be applied to the channel region CH to enhance device performance.
根据一有利示例, 可以通过硅化处理, 在沟槽两侧直接形成源 /漏接触部。 具体地, 如图 13所示, 可以在衬底上例如通过淀积, 形成一金属层 1020。 金 属层 1020可以包括 Ni、 Ti、 Co或其合金, 其量足以与之下的 SOI层 1000-3 充分反应以生成金属硅化物。 之后, 可以进行退火如快速热退火(RTA ), 使 金属层 1020与 SOI层 1000-3 (具体地, 其中的硅)发生硅化反应, 从而得到 金属硅化物 1022, 并可以去除多余的金属层 1020, 如图 14所示。 这种金属硅 化物 1022 自对准于 SOI层 1000-3中形成的源 /漏区, 并因此可以从当源 /漏区 的接触部。 随后, 如图 15所示, 例如可以通过 BOE或者稀释氢氟酸溶液, 去 除遮蔽层 1018。  According to an advantageous example, the source/drain contacts can be formed directly on both sides of the trench by silicidation. Specifically, as shown in Fig. 13, a metal layer 1020 may be formed on the substrate, for example, by deposition. The metal layer 1020 may comprise Ni, Ti, Co or alloys thereof in an amount sufficient to sufficiently react with the underlying SOI layer 1000-3 to form a metal silicide. Thereafter, annealing, such as rapid thermal annealing (RTA), may be performed to cause a metallization reaction between the metal layer 1020 and the SOI layer 1000-3 (specifically, silicon therein) to obtain a metal silicide 1022, and the excess metal layer 1020 may be removed. , as shown in Figure 14. This metal silicide 1022 is self-aligned to the source/drain regions formed in the SOI layer 1000-3, and thus can be from the contact portion of the source/drain regions. Subsequently, as shown in Fig. 15, the shielding layer 1018 can be removed, for example, by BOE or by diluting the hydrofluoric acid solution.
在这种情况下, 为了避免在去除遮蔽层 1018时刻蚀时间过长而损害形成 的金属硅化物 1022, 在进行硅化处理之前, 可以如图 12所示, 部分去除遮蔽 层 1018。 图 13示出了在部分去除遮蔽层 1018之后的结构上形成金属层 1020 的情况。 In this case, in order to avoid damage to the formed metal silicide 1022 when the etching time is too long to remove the shielding layer 1018, before the silicidation treatment, as shown in FIG. Layer 1018. FIG. 13 shows a case where the metal layer 1020 is formed on the structure after the mask layer 1018 is partially removed.
在图 14的示例中, 将金属硅化物 1022示出为延伸 SOI层 1000-3的整个 厚度。但是,本公开不限于此。例如,金属硅化物 1022可以形成于 SOI层 1000-3 靠近表面的上部, 而没有延伸到 SOI层 1000-3的底部。  In the example of Fig. 14, metal silicide 1022 is shown as extending the entire thickness of SOI layer 1000-3. However, the present disclosure is not limited thereto. For example, the metal silicide 1022 may be formed on the upper portion of the SOI layer 1000-3 near the surface without extending to the bottom of the SOI layer 1000-3.
接着, 可以在沟槽中侧墙 1016内侧形成栅堆叠。 具体地, 如图 16所示, 可以在图 15所示的结构上, 依次形成栅介质层 1026和栅导体层 1030。 栅介 质层 1026可以包括高 K栅介质如 Hf02, 厚度例如为约 15 A -40 A; 栅导体层 1030可以包括金属栅导体如 Ti、 Ni等。 另外, 在栅介质层 1026与衬底之间例 如可以通过热氧化或淀积, 形成一界面氧化物层 1024, 厚度为约 6 A -15 A。 在高 K栅介质层和金属少导体之间可以包括功函数调节层 1028, 如 TiN。 随 后, 可以进行平坦化处理如 CMP, 以露出源 /漏接触部 1022。 可以看出, 栅堆 叠与源 /漏接触部 1022具有基本上相同的高度。这有助于后继互连结构的制作。 Next, a gate stack can be formed inside the sidewalls 1016 in the trench. Specifically, as shown in FIG. 16, the gate dielectric layer 1026 and the gate conductor layer 1030 may be sequentially formed on the structure shown in FIG. The gate dielectric layer 1026 may include a high-k gate dielectric such as Hf0 2 having a thickness of, for example, about 15 A -40 A; the gate conductor layer 1030 may include a metal gate conductor such as Ti, Ni, or the like. In addition, an interfacial oxide layer 1024 having a thickness of about 6 A -15 A may be formed between the gate dielectric layer 1026 and the substrate, for example, by thermal oxidation or deposition. A work function adjusting layer 1028, such as TiN, may be included between the high K gate dielectric layer and the metal less conductor. Subsequently, a planarization process such as CMP may be performed to expose the source/drain contact portion 1022. It can be seen that the gate stack has substantially the same height as the source/drain contacts 1022. This facilitates the fabrication of subsequent interconnect structures.
这样, 就得到了根据该实施例的半导体器件。该半导体器件可以包括嵌入 于衬底中形成的沟槽内的栅堆叠。 栅堆叠可以包括栅介质层 1026和栅导体层 1030 (以及可选的界面氧化物层 1024和功函数调节层 1028 )。 衬底中处于栅 堆叠下方的部分 CH可以用作该器件的沟道区。该半导体器件还包括在衬底中 栅堆叠两侧 (更具体地, 沟道区两侧 )形成的源 /漏区以及在衬底中形成的与 源 /漏区的源 /漏接触部 1022。 源 /漏接触部 1022可以包括通过沟槽两侧的衬底 部分经硅化处理而形成的金属硅化物。  Thus, the semiconductor device according to this embodiment is obtained. The semiconductor device can include a gate stack embedded within a trench formed in the substrate. The gate stack can include a gate dielectric layer 1026 and a gate conductor layer 1030 (and optionally an interfacial oxide layer 1024 and a work function adjustment layer 1028). A portion of the substrate under the gate stack can be used as the channel region of the device. The semiconductor device further includes source/drain regions formed on both sides of the gate stack (more specifically, both sides of the channel region) in the substrate, and source/drain contacts 1022 formed in the substrate with source/drain regions. The source/drain contact portion 1022 may include a metal silicide formed by silicidation of a substrate portion on both sides of the trench.
这里需要指出的是, 尽管在以上描述中以 SOI衬底为例, 但是本公开的 技术可以适用于其他各种衬底。 另外, 在以上描述的实施例中, 形成的沟槽用 来在其中形成栅堆叠, 但是本公开的技术可以适用于各种需要形成沟槽的应 用。  It should be noted here that although the SOI substrate is exemplified in the above description, the technology of the present disclosure can be applied to other various substrates. Additionally, in the embodiments described above, the trenches are formed to form a gate stack therein, but the techniques of the present disclosure may be applied to various applications requiring trench formation.
在以上的描述中,对于各层的构图、刻蚀等技术细节并没有做出详细的说 明。 但是本领域技术人员应当理解, 可以通过各种技术手段, 来形成所需形状 的层、 区域等。 另外, 为了形成同一结构, 本领域技术人员还可以设计出与以 上描述的方法并不完全相同的方法。 另外, 尽管在以上分别描述了各实施例, 但是这并不意味着各个实施例中的措施不能有利地结合使用。 以上对本公开的实施例进行了描述。但是, 这些实施例仅仅是为了说明的 目的, 而并非为了限制本公开的范围。 本公开的范围由所附权利要求及其等价 物限定。 不脱离本公开的范围, 本领域技术人员可以做出多种替代和修改, 这 些替代和修改都应落在本公开的范围之内。 In the above description, detailed descriptions of the technical details such as patterning and etching of the respective layers have not been made. However, it should be understood by those skilled in the art that layers, regions, and the like of a desired shape can be formed by various technical means. In addition, in order to form the same structure, those skilled in the art can also design a method that is not exactly the same as the method described above. In addition, although the respective embodiments have been described above, this does not mean that the measures in the respective embodiments are not advantageously used in combination. The embodiments of the present disclosure have been described above. However, the examples are for illustrative purposes only and are not intended to limit the scope of the disclosure. The scope of the disclosure is defined by the appended claims and their equivalents. Numerous alternatives and modifications may be made by those skilled in the art without departing from the scope of the present disclosure.

Claims

权 利 要 求 书 Claim
1. 一种在衬底中形成沟槽的方法, 包括: A method of forming a trench in a substrate, comprising:
在衬底上形成硬掩膜层;  Forming a hard mask layer on the substrate;
在硬掩膜层上形成刻蚀停止确定层;  Forming an etch stop determining layer on the hard mask layer;
分别对刻蚀停止确定层和硬掩膜层进行构图,以在其中形成与要形成的沟 槽相对应的图案;  Etching the etch stop determining layer and the hard mask layer respectively to form a pattern corresponding to the trench to be formed therein;
以构图的刻蚀停止确定层和硬掩膜层为掩模,对衬底进行刻蚀, 以在其中 形成沟槽, 其中, 对衬底的刻蚀同时对刻蚀停止确定层进行刻蚀; 以及  Etching the substrate to form a trench therein by patterning the etch stop determining layer and the hard mask layer as a mask, wherein etching the substrate simultaneously etching the etch stop determining layer; as well as
检测指示刻蚀停止确定层被刻蚀到终点的信号, 以确定对衬底刻蚀的停 止。  A signal indicative of the etch stop determining layer being etched to the end point is detected to determine the stop of the substrate etch.
2. 根据权利要求 1所述的方法, 其中, 衬底包括硅, 刻蚀停止确定层 包括非晶硅。  2. The method according to claim 1, wherein the substrate comprises silicon, and the etch stop determining layer comprises amorphous silicon.
3. 根据权利要求 2所述的方法, 其中, 硬掩膜层包括氮化物。  3. The method of claim 2, wherein the hard mask layer comprises a nitride.
4. 根据权利要求 3所述的方法, 还包括:  4. The method of claim 3, further comprising:
在衬底上形成第一垫氧化物层, 其中硬掩膜层形成于该第一垫氧化物层 上; 和 /或  Forming a first pad oxide layer on the substrate, wherein a hard mask layer is formed on the first pad oxide layer; and/or
在硬掩膜层上形成第二垫氧化物层,其中刻蚀停止确定层形成于该第二垫 氧化物层上。  A second pad oxide layer is formed on the hard mask layer, wherein an etch stop determining layer is formed on the second pad oxide layer.
5. 一种制造半导体器件的方法, 包括:  5. A method of fabricating a semiconductor device, comprising:
根据如权利要求 1-4中任一项所述的方法, 在衬底中形成沟槽;  A method of forming a trench in a substrate according to any one of claims 1 to 4;
在沟槽的侧壁上形成侧墙;  Forming a sidewall on the sidewall of the trench;
在沟槽中填充遮蔽层;  Filling the trench with a shielding layer;
在衬底中沟槽两侧形成源 /漏区; 以及  Forming source/drain regions on both sides of the trench in the substrate;
去除沟槽中填充的遮蔽层, 并在沟槽中形成栅堆叠。  The shadowing layer filled in the trench is removed and a gate stack is formed in the trench.
6. 根据权利要求 5所述的方法, 其中, 在形成源 /漏区之后且在去除遮 蔽层之前, 该方法还包括:  6. The method according to claim 5, wherein, after forming the source/drain regions and before removing the masking layer, the method further comprises:
对衬底位于沟槽两侧的部分进行硅化处理, 以形成与源 /漏区的接触部。 A portion of the substrate on both sides of the trench is silicided to form a contact portion with the source/drain regions.
7. 根据权利要求 5所述的方法, 其中, 衬底包括绝缘体上半导体 SOI 衬底, SOI衬底包括依次堆叠的基底衬底、 埋入绝缘层和 SOI层, 其中沟槽下 方的 SOI层厚度为约 2-20nm。 7. The method of claim 5, wherein the substrate comprises a semiconductor-on-insulator SOI The substrate, the SOI substrate includes a base substrate, a buried insulating layer, and an SOI layer which are sequentially stacked, wherein the SOI layer under the trench has a thickness of about 2-20 nm.
8. 根据权利要求 5所述的方法, 其中, 形成侧墙包括:  8. The method of claim 5, wherein forming the sidewall spacers comprises:
在形成有沟槽的衬底上形成氧化物层;  Forming an oxide layer on the substrate on which the trench is formed;
在氧化物层上形成氮化物层; 以及  Forming a nitride layer on the oxide layer;
对氮化物层进行各向异性刻蚀, 以形成侧墙。  The nitride layer is anisotropically etched to form sidewall spacers.
9. 根据权利要求 5所述的方法, 其中, 侧墙的厚度为约 3-50nm。 9. The method of claim 5, wherein the sidewall spacer has a thickness of about 3-50 nm.
10. 根据权利要求 5所述的方法, 其中, 遮蔽层包括氧化物。 10. The method of claim 5, wherein the masking layer comprises an oxide.
11. 根据权利要求 5所述的方法, 其中, 形成源 /漏区包括:  11. The method of claim 5, wherein forming the source/drain regions comprises:
对衬底进行离子注入。  The substrate is ion implanted.
12. 根据权利要求 5所述的方法, 其中, 进行硅化处理包括: 在衬底上形成金属层; 以及  12. The method of claim 5, wherein performing the silicidation process comprises: forming a metal layer on the substrate;
进行退火, 使金属层与衬底发生硅化反应。  Annealing is performed to cause a silicidation reaction between the metal layer and the substrate.
13. 根据权利要求 12所述的方法, 其中, 在形成金属层之前, 该方法还 包括:  13. The method according to claim 12, wherein before the forming of the metal layer, the method further comprises:
部分去除遮蔽层。  Partially remove the masking layer.
14. 根据权利要求 12所述的方法, 其中, 金属层包括 Ni、 Ti、 Co或其 合金。  14. The method according to claim 12, wherein the metal layer comprises Ni, Ti, Co or an alloy thereof.
15. 根据权利要求 5所述的方法, 其中, 栅堆叠包括高 K栅介质和金属 栅导体。  15. The method of claim 5, wherein the gate stack comprises a high K gate dielectric and a metal gate conductor.
PCT/CN2013/086126 2013-09-11 2013-10-29 Ditch groove forming method and semiconductor component preparation method WO2015035691A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201310412253.5A CN104425351A (en) 2013-09-11 2013-09-11 Trench forming method and semiconductor device manufacturing method
CN201310412253.5 2013-09-11

Publications (1)

Publication Number Publication Date
WO2015035691A1 true WO2015035691A1 (en) 2015-03-19

Family

ID=52664980

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2013/086126 WO2015035691A1 (en) 2013-09-11 2013-10-29 Ditch groove forming method and semiconductor component preparation method

Country Status (2)

Country Link
CN (1) CN104425351A (en)
WO (1) WO2015035691A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112151443A (en) * 2020-09-25 2020-12-29 长江存储科技有限责任公司 Method for manufacturing semiconductor device

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109755171A (en) * 2017-11-06 2019-05-14 中芯国际集成电路制造(上海)有限公司 The forming method of groove and the forming method of fleet plough groove isolation structure
CN111276417B (en) * 2020-02-20 2022-08-09 上海华力集成电路制造有限公司 Method for controlling shape of etching opening of contact hole

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050023631A1 (en) * 2003-07-31 2005-02-03 Varghese Ronnie P. Controlled dry etch of a film
US6919259B2 (en) * 2002-10-21 2005-07-19 Taiwan Semiconductor Manufacturing Co., Ltd Method for STI etching using endpoint detection
CN1812076A (en) * 2004-12-17 2006-08-02 尔必达存储器股份有限公司 Method of manufacturing semiconductor device
JP2008153687A (en) * 1997-06-30 2008-07-03 Toshiba Corp Method of manufacturing semiconductor device
CN101471291A (en) * 2007-12-24 2009-07-01 东部高科股份有限公司 Semiconductor device and method for manufacturing the device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102034708B (en) * 2009-09-27 2012-07-04 无锡华润上华半导体有限公司 Manufacturing method of trench DMOS (double-diffused metal oxide semiconductor) transistor
CN102299156B (en) * 2010-06-28 2014-02-12 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008153687A (en) * 1997-06-30 2008-07-03 Toshiba Corp Method of manufacturing semiconductor device
US6919259B2 (en) * 2002-10-21 2005-07-19 Taiwan Semiconductor Manufacturing Co., Ltd Method for STI etching using endpoint detection
US20050023631A1 (en) * 2003-07-31 2005-02-03 Varghese Ronnie P. Controlled dry etch of a film
CN1812076A (en) * 2004-12-17 2006-08-02 尔必达存储器股份有限公司 Method of manufacturing semiconductor device
CN101471291A (en) * 2007-12-24 2009-07-01 东部高科股份有限公司 Semiconductor device and method for manufacturing the device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112151443A (en) * 2020-09-25 2020-12-29 长江存储科技有限责任公司 Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
CN104425351A (en) 2015-03-18

Similar Documents

Publication Publication Date Title
US10971406B2 (en) Method of forming source/drain regions of transistors
KR101729439B1 (en) Finfet with buried insulator layer and method for forming
KR101769215B1 (en) A source/drain structure and manufacturing the same
US9219129B2 (en) Inverted thin channel mosfet with self-aligned expanded source/drain
US20130020640A1 (en) Semiconductor device structure insulated from a bulk silicon substrate and method of forming the same
US9548387B2 (en) Semiconductor device and method of manufacturing the same
CN105428394B (en) Fin component structure and manufacturing method thereof
WO2012174694A1 (en) Semiconductor device and fabricating method thereof
WO2014079234A1 (en) Semiconductor device and manufacturing method therefor
US10170471B2 (en) Bulk fin formation with vertical fin sidewall profile
WO2014110852A1 (en) Semiconductor device and manufacturing method thereof
US11640977B2 (en) Non-conformal oxide liner and manufacturing methods thereof
WO2015027561A1 (en) Semiconductor device and method of manufacturing same
CN106711217B (en) Fin field effect transistor and manufacturing method thereof
WO2014121535A1 (en) Semiconductor device and manufacturing method thereof
WO2014063380A1 (en) Manufacturing method of mosfet
WO2015035691A1 (en) Ditch groove forming method and semiconductor component preparation method
WO2014015448A1 (en) Semiconductor device and manufacturing method thereof
WO2012174696A1 (en) Semiconductor device and the manufacturing method thereof
WO2013174070A1 (en) Semiconductor device and manufacturing method thereof
WO2014012275A1 (en) Semiconductor component and manufacturing method therefor
WO2014121537A1 (en) Semiconductor device and manufacturing method thereof
WO2014166146A1 (en) Finfet and manufacturing method for same
WO2014121534A1 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13893395

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13893395

Country of ref document: EP

Kind code of ref document: A1