WO2014200726A1 - Low surface roughness polishing pad - Google Patents

Low surface roughness polishing pad Download PDF

Info

Publication number
WO2014200726A1
WO2014200726A1 PCT/US2014/040226 US2014040226W WO2014200726A1 WO 2014200726 A1 WO2014200726 A1 WO 2014200726A1 US 2014040226 W US2014040226 W US 2014040226W WO 2014200726 A1 WO2014200726 A1 WO 2014200726A1
Authority
WO
WIPO (PCT)
Prior art keywords
polishing
polishing pad
mpa
substrate
pad
Prior art date
Application number
PCT/US2014/040226
Other languages
French (fr)
Inventor
Jayakrishnan NAIR
Original Assignee
Cabot Microelectronics Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corporation filed Critical Cabot Microelectronics Corporation
Priority to JP2016519532A priority Critical patent/JP2016524549A/en
Priority to CN201480024087.4A priority patent/CN105163907B/en
Priority to SG11201508452VA priority patent/SG11201508452VA/en
Priority to EP14811299.8A priority patent/EP3007858A4/en
Priority to KR1020157036537A priority patent/KR20160019465A/en
Publication of WO2014200726A1 publication Critical patent/WO2014200726A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/26Lapping pads for working plane surfaces characterised by the shape of the lapping pad surface, e.g. grooved
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/205Lapping pads for working plane surfaces provided with a window for inspecting the surface of the work being lapped

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

The invention provides a polishing pad comprising a polishing pad body comprising a polishing surface, wherein the polishing body comprises pores, and wherein the polishing surface has a surface roughness of 0.1 µm to 10 µm.

Description

LOW SURFACE ROUGHNESS POLISHING PAD
FIELD OF THE INVENTION
[000! j The present invention relates to a polishing pad and a method of polishing a substrate; and in particular, the present invention relates io a polishing pad comprising a polishing pad body comprising a polishing suriace, wherein the polishing body comprises pores, and wherein the polishing surface has a surface roughness of 0. 1 ura to 10 am.
BACKGROUND OF THE INVENTION
j0002| Chemical-mechanical polishing ("C P") processes are used in the manufacturing of microelectronic devices to form flat surfaces on semiconductor wafers, field emission displays, and many other microelectronic substrates. For example, the maimfacture of semiconductor devices generally involves the formation of various process layers, selective removal or patterning of portions of those layers, and deposition of yet additional process layers above the surface of a semiconducting substrate to form a semiconductor wafer. The process layers can include, by way of example, insulation layers, gate oxide layers, conductive layers, and layers of metal or glass, etc. it is generally desirable in certain steps of the wafer process thai the uppermost surface of the process layers be planar, i.e., flat, for the deposition of subsequent .layers. CMP is used to planari/e process layers wherein a deposited material, such as a conductive or insulating material, is polished to planarize the wafer for subsequent process steps.
|O003j In a typical CMP process, a wafer is mounted upside down on a carrier in a CMP tool A force pushes the carrier and the wafer downward toward a polishing pad. The carrier and the wafer are rotated above the rotating polishing pad on the CMP tool's polishing table. A polishing composition (also referred to as a polishing slurry) generally is introduced between the rotating wafer and the rotating polishing pad during the polishing process. The polishing composition typically contains a chemical that interacts with or dissolves portions of the uppermost wafer layerfs) and an abrasive material that physically removes portions of the layeris). The wafer and the polishing pad can be rotated in the same direction or in opposite directions, whichever is desirable for the particular polishing process being carried out. The carrier also can oscillate across the polishing pad o the polishing table.
04J Polishi ng pads typically have an initial surface roughness of greater than 15 microns. During the polishing of several substrates with the same pad, normal wear on the pad surface results in a change in the surface roughness of the pad. As the surface roughness of the pad changes, contact between the pad surface and a substrate being pol ished changes, and thus the polishing rate can change. As a result, the amount of time required for polishing the substrates to achieve desired surface properties, such as pianarity, varies during a production run. Var iances from uniformity between substrates can therefore result.
[000S] Thus, there remains irt the art a need for improved pol ishing pads.
BRIEF SUMMARY OF THE INVENTION
[0006] The invention provides a polishing pad comprising a polishing pad bod comprising a polishing surface, wherein the polishing body comprises pores, and wherein th polishing surface has a surface roughness of 0.1 μηι to 10 urn.
10007] The invention also provides a method of polishing a substrate, which method comprises (i) providing a substrate to be polished, (ii) contactin the substrate with the aforesaid polishing pad and a polishing composition, and (iti) moving the substrate relative to the polishing pad with the polishing composition therebetween to abrade at least a portion of the substrate to polish the substrate.
BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING
[0008] FIG. 1 is a scanning electron microscope image of the surface of polishing pad in accordance wi th an embodiment of the invention.
[0009} FIG. 2 is a scanning electron microscope image of the surface of a polishing pad in accordance with an embodiment of the invention.
[0010] FIG. 3 is a scanning electron microscope image of the surface of a conventional polishing pad.
JOOl l j FIG. 4 is a graphical representation of the silicon oxide removal rate versus the number of wafers polished using a polishing pad in accordance wi h an embodiment of the invention in comparison with a conventional polishing pad.
DEI AILED DESCRIPTION OF THE INVENTION
[0012] The invention provides a polishmg pad comprising a polishing pad body comprising a polishing surface, wherein the polishing pad body comprises pores, and wherein the polishing surface has a. surface roughness of 0.1 μηι to 1.0 pm.
[Θ013] The polishing pad bod can have an suitable dimensions. Typically, the polishing pad body is circular in shape (as is used in rotary polishing tools) or is produced as a looped linear belt (as is used in linear polishing tools). Preferably, the polishing pad body is circular. [0014] The polishing pad body can comprise, consist essentially of. or consist of any suitable material. Desirably, the polishing pad body comprises, consists essentially of, or consists of a polymer resin. The polymer resin can be any suitable polymer resin. Typically, the polymer resin is selected from the group consisting of thermoplastic elastomers, thermoset polymers, po yurethanes (e.g., thermoplastic polyorethanes), polyolefins (e.g., thermoplastic polyolefins}, polycarbonates, polyvinylaieoho!s, nylons, elastomeric rubbers, elastomeric polyethylenes, polyfetrafluoroethylenes, polyethyleneterephthalates, polyimides, polyaraniides, poiyaxylenes, polyacryiates, polystyrenes, polymemylmethacrylates, copolymers thereof and mixtures thereof. Preferably, th polymer resin i a po!yuretfiane, more preferably a thermoplastic polyurethane.
[001.51 The polishing pad body comprises pores. The pores can have an average pore diameter of 2 μηι or more, 3 pm or more, 4 pm or more, 5 μτη or more, 6 um or more, 7 pm or more, 8 pm or more, 9 pm or more, 10 pm or more, 3 5 pm or more, 20 pm or more, 25 μηι or more, 30 pm or more, 35 pm or more, 40 pin or more, 45 pra or more, or 50 pm or more. Alternatively, or in addition, the pores can have an average pore diameter of .150 pm or less, 125 pm or less, 100 pm or less, 90 μιη or less, 80 pro. or less, 70 pm or less, 60 pm or less, 50 μπι or less, 45 pro or less, 40 pm or less, 35 pro or less, 30 pra or less, 25 pm or less, 20 μΐϊ) or less, 15 pm or less, or 10 pm or less. Thus, the pores can have an average pore diameter bounded by any two of the endpoints recited for the average pore diameter . For example, the polishing pad body can have an average pore diameter of 2 pni to 1.50 pm, 3 um to 125 pm, 4 pm to 100 pm, 5 pm to 90 pm, 5 pm to 80 pm, 5 pm to 70 pm, 5 pm to 60 pm, 5 pm to 50 pm, 5 pm to 45 pm, 5 pm to 40 pm, 5 pm to 35 pm, 5 pm. to 30 pm, 5 pm to 25 pm, 5 um to 20 pm, 5 pm to 15 pm, 5 pm to 10 pm, 10 pro to 5 pm, 10 pm to 45 pm, 1 pm to 40 pm, 1 pm to 35 pm, 1.0 pm to 30 pm, 10 pm to 25 pm, or 1 pin to 20 pm.
[001.6) The polishing surface can have a surface roughness of 0.1 pm or more, 0.2 pm or more, 0.3 pm or more, 0.4 pm or more, 0.5 p.m or more, 0.6 um or more, 0.7 pm or more, 0.8 pm or more, 0.9 pm or more, or 1 pm or more. Alternatively, or in addition, the polishing surface ca 'have a surface roughness of 4 pm or less, 3.8 pm or less, 3.6 pm or less, 3.5 pm or less, 3.4 pm or less, 3.2 pm or less, 3 pm or less, 2.8 pm or less, 2.6 pm or less, 2.5 pro or less, 2,4 pm or less, 2.2 pm or less, 2 pm or less, 1.8 pm or less, or 1 .6pm or less. Thus, the polishing surface can have a surface roughness bounded by any two of the endpoints recited for the surface roughness. For example, the polishing pad body can have a surface roughness of 0.1 pm to 4 p.m, 0.1 pm lo 3,8 pm, 0. i pm to 3.6 pm, 0. i pm to 3.4 pm, 0. 1 pm to 3.2 pm. 0.1 μι¾ to 3 η 0.1 μτο to 2.8 μηι, 0.1 μτη to 2.6 μηι, 0.1 μιη to 2.4 μι». 0.1 μιη to 2.2 μηι, 0.Ϊ μιη to 2 μιη, 0.1 pm to 1.8 μνη, 0.1 μιη to ί .6 μτη, 0.5 μιη to 4 urn, 0.5 μηι io 3.5 pm, 0.5 μιΐί to 3 μΐΏ, 0.5 μηι to 2.5 μηι, 0,5 μιη to 2 μιη, 1 μιη to 4 μν , 1 μηι to 3.6 μηι, 1 μιη to 3 μιη, ί μηι to 2.5 μηι, or ί μηι to 2 μην
[00 J 7] The surface roughness can be expressed as the average surface roughness as determined at several regions of the polishing surface. A. non-limiting example of a suitable method for determining the surface roughness of the polishing surface or of a region thereof is 18013565,
0018J The polishing pad body can be produced using any suitable technique, many of which are known in the art. For example, the pol ishing pad can be formed by methods such as casting and extrusion. The polymer resin may be a thermoplastic material which is heated to a temperature at which it will flow and is then formed into a desired shape by easting or extrusion. The polymer resi may provide a porous structure by its natural configuration. In other embodiments, the porous structure may be introduced through the use of various production techniques known in the art (e.g., foaming, blowing, and the like). Representative methods of providing a porous structure comprising closed-cell pores include foaming processes such as a mucell process, a phase inversion process, a spinodal or bimodal decomposition -process, or a pressurized gas injection process, all of which are well known in the art. A re resentative method providing a porous structure comprising open-cell pores comprises sintering particles of a thermoplastic polymer, such as a potyorethane, to provide an open-cell porous structure.
[0019] The polishing surface can be produced using any suitable method. In an embodiment, the polishing surface is produced by skiving the polishing pad body.
[0020] The polishing pad body can have a storage modulus of elasticity at 30° C of 5 MPa or more, 10 MPa or more, 20 MPa or more, 30 MPa or more, 40 MPa or more. 50 MPa or more, 60 MPa or more, 70 MPa or more, 80 MPa or more, 90 MPa or more, iOC) MPa or more, 200 MPa or more, 300 MPa or more, 400 MPa or more, or 500 MPa or more.
Aiteraniively, or in addition, the polishing pad body can have a storage modulus of elasticity at 30° C of 600 MPa or less, 550 MPa or less, 500 MPa or less, 450 MPa or less, 400 MPa or less, 350 M a or less, or 300 MPa or less. Thus, the polishing pad body can have a storage modulus of elasticity at W C bounded by any two of the eadpornis recited for the storage modulus of elasticity at 30° C . For example, the polishing pad body can have a storage modulus of elasticity at 30° C of 5 MPa to 600 MPa, 20 MPa to 600 MPa, 30 MPa to 600 MPa, 40 MP to 550 MPa, SO MPa to 500 MPa, 60 MPa to 450 MPa, 70 MPa to 400 MPa, 80 MPa to 350 MPa. 90 MPa to 300 MPa, 5 MPa to 500 MPa, 10 MPa to 500 MPa, 20 MPa to 400 MPa, or 20 MPa to 300 MPa.
[00211 A polishing pad in accordance with the invention can be used alone or optionally can be used as one layer of a multi -layer stacked polishing pad. For example, the inventive polishing pad can be used in combination with a subpad. The subpad can be any suitable subpad. Suitable subpads include poiyurethane foam subpads, impregnated felt subpads, microporous poiyurethane subpads, or sintered urethane subpads. The subpad typically is softer than the polishing pad of the invention and therefore is more compressible than the polishing pad. In some embodiments, the subpad is harder and is less compressible than, the polishing pad. The subpad optionall comprises grooves, channels, hollow sections, and the like. When the polishing pad of the invention is used in combination with, a subpad, typically there is an intermediate backing layer, such as a polyethy!eneterephthaiate film, coextensive with and between the polishing pad and the subpad.
|0022] In an embodiment, the polishing pad is prepared by sandwiching the polishing pad body between two layers of a backing material. The resulting sandwiched polishing pad body can then be skived to produce two polishing pads. In some embodiments, the sandwiched polishing pad body can be produced by curing a prepolymer, for example, a thermoplastic poiyurethane, between two backing layers. The backing material can be any suitable backing materia! and can comprise a polymeric sheet. In some embodiments, the backing material can comprise a subpad as described herein, in. these embodiments, the sandwiched polishing pad can be prepared in the form of an elongated sheet which is then skived and cut. into segments to form the polishing pad in a continuous process.
[0023 j As is illustrated in Figs, 1 and 2, the surface of the inventive polishing pad comprises open pores resulting from the formation of the polishing surface by skiving of the polishing pad body. The surface roughness of the pol ishing surface refers to the surface roughness of the polishing surface exclusive of the pores, FIG, 3 illustrates the surface of a con ventional polishing pad for purposes of comparison,
[0024] The surface roughness can be measured with. an. optica! type surface roughness tester, such as three-dimensional surface profiler, laser scanning microscope, electron beam surface profiler, a contact type surface roughness tester, such as a surface roughness tester with contaci stylus, and the like. Preferably, the surface roughness is determined according to ISO 13565. [0025] The invention further provides a method of polishing a substrate, which method comprises (i) providing a substrate to be polished, (ii) contacting the substrate with the inventive polishing pad described herein and a polishing composition, and (iii) moving the substrate relative to the polishing pad, with the polishing composition therebetween, to abrade at least a portion of the substrate to polish the substrate.
jO026| The polishing composition can be any suitable polishing composition. The polishing composition typically comprises an aqueous carrier, a pH adjuster, and optionally an abrasi ve. Depending on the type of substrate ( orkpiece) being polished, the pol ishing composition optionally can further comprise one or more oxidizing agents, organic acids, compSexing agent, pH buffers, surfactants, corrosion inhibitors, anti-foaming agents, biocides, and the like.
EXAMPLE
j0027J This example demonstrates the removal rate fo silicon oxide exhibited by the inventive polishing pad as a function of the number of substrates polished therewith.
|0028] Similar substrates comprising a blanket layer of silico oxi de derived from tetraethylorthosilicate were polished with polishing pad in accordance with an embodiment of the invention and with a conventional polishing pad in conjunction with a polishing composition. The inventive polishing pad was prepared using a thermoplastic polyurethane resi (the 8? A thermoplastic polyurethane resin from Lubrizol, Wickcliffe, OH) and had a Shore D hardness of 42D, an average pore diameter of 25-45 pm, an average surface roughness as measured by a confocal microscope of 1.4 pjm, and a storage modulus of elasticity (E\) as shown in the Table.
Table
Figure imgf000008_0001
(0029] The comparative polishing pad was a commercial thermoplastic polyurethane pad having a milled surface and an average surface roughness as measured by a confocal.
microscope of 5,6 pm.
[0O3O| Following polishin of the substrates, the removal rate was determined for each substrate, and the results are illustrated graphically in FIG, 4. [0031] As is apparent from the data shown in FIG. 4, the inventive polishing pad exhibited a silicon oxide removal rate that stabilized at approximately 530 A/min after polishing approximately 40 sisbstrates. The comparative polishing pad exhibited a silicon oxide removal rate that increased during polishing of successive substrates and approached approximatel 370 A/min after polishing over 200 substrates.
(0032] All .references, including publications, paten applications, and patents, cited herein are hereby incorporated by reference to the same extent as if each reference were individually and specifically indicated to be incorporated by reference and were set forth in its entirety herein.
[0033] The use of the terms "a" and "an" and "the" and "at. ieast one" and similar referents in the context of describing the invention (especially in the context of the following claims) are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. The use of the term "at least one" followed by a list of one or more items (for example, "at least one of A and B") is to be construed to mean one item, selected from the listed items (A or B) or any combination of two or more of the listed items (A and B), unless otherwise indicated herein or clearly contradicted by context. The terras "comprising," "having," "including," and "containing" are to be construed as open-ended terms (i.e., meaning "including, but not limited to,") unless otherwise noted. Recitation of ranges of values herein are merely intended to serve as a shorthand method of referring individually to each separate value jailing within the range, unless otherwise indicated herein, and each separate value is incorporated into the specification as if it were individually recited herein. All methods described herein can be performed in any sui table order unless otherwise indicated herein or otherwise clearly contradicted by context. The use of any and ail examples, or e em lar)' language (e.g., "such as") provided herein., is intended merely to better illuminate the invention and does not pose a limitation on the scope of the invention, unless otherwise claimed. No language in the specification should be construed as indicating any non-claimed element as essential to the pract ice of the i nvention.
[003 J Preferred embodiments of this i nvention are described herein, including the best mode known to the inventors for earning out the invention. Variations of those preferred embodiments may become apparent to those of ordinary skill in the art upon reading the foregoing description. The inventors expect skilled artisans to employ such variations as appropriate, and the inventors intend for the invention to be practiced otherwise than as specifically described herein. Accordingly, ibis invention includes all modifications and equivalents of the subject matter recited in the claims appended hereto as permitted by applicable law. Moreover, any combination of the above-described elements in ail possible variations thereof is encompassed by the invention uniess otherwise indicated herein or otherwise clearly contradicted by context.

Claims

CLAIMS):
1 . A polishing pad comprising a polishing pad body comprising a polishing surface, wherein the polishing body comprises pores, and wherein the polishing surface has a surface roughness of 0, 1 μιη to 4 μιη,
2. The polishing pad of claim I , wherein the polishing surface has a surface roughness of 0.5 μτη to 2 μνη.
3. The polishing pad of claim 1 , wherein the pores have an average pore diameter of 2 μ\ΐΐ to .150 μηι,
4. The polishing pad of claim 1 , wherein the polishing ad lias a storage modulus of elasticity at 30c C of 5 MPa to 600 MPa.
5. The polishing pad of claim 1, whereto the polishing pad body comprises thermoplastic polynrethane.
6. The polishing pad of claim 1 , wherein the polishing pad further comprises a pad substrate.
7. The polishing pad of claim 6, wherein the polishing pad body has a non-polishing surface that is opposite to the polishing surface, and wherein the pad substrate is bonded to the non-polishing surface.
8. The polishing pad of claim I , wherein the polishing pad further comprises an optically trartsmissive region extending from the polishing surface to a surface opposite to the polishing surface.
9. A method ofpolishing a substrate, which method composes;
(i) providing a substrate to he polished,
(ii) contacting the substrate with a polishing pad of claim I and a polishing composition, and
(iii) moving the substrate relative to the polishing pad with the polishing composition therebetween to abrade at least a portion of the substrate to polish the substrate.
PCT/US2014/040226 2013-06-13 2014-05-30 Low surface roughness polishing pad WO2014200726A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2016519532A JP2016524549A (en) 2013-06-13 2014-05-30 Low surface roughness polishing pad
CN201480024087.4A CN105163907B (en) 2013-06-13 2014-05-30 The polishing pad of low surface roughness
SG11201508452VA SG11201508452VA (en) 2013-06-13 2014-05-30 Low surface roughness polishing pad
EP14811299.8A EP3007858A4 (en) 2013-06-13 2014-05-30 Low surface roughness polishing pad
KR1020157036537A KR20160019465A (en) 2013-06-13 2014-05-30 Low surface roughness polishing pad

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/917,422 2013-06-13
US13/917,422 US20140370788A1 (en) 2013-06-13 2013-06-13 Low surface roughness polishing pad

Publications (1)

Publication Number Publication Date
WO2014200726A1 true WO2014200726A1 (en) 2014-12-18

Family

ID=52019618

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2014/040226 WO2014200726A1 (en) 2013-06-13 2014-05-30 Low surface roughness polishing pad

Country Status (8)

Country Link
US (1) US20140370788A1 (en)
EP (1) EP3007858A4 (en)
JP (1) JP2016524549A (en)
KR (1) KR20160019465A (en)
CN (1) CN105163907B (en)
SG (1) SG11201508452VA (en)
TW (1) TWI542442B (en)
WO (1) WO2014200726A1 (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875145B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10821573B2 (en) 2014-10-17 2020-11-03 Applied Materials, Inc. Polishing pads produced by an additive manufacturing process
KR102630261B1 (en) 2014-10-17 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 Cmp pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10399201B2 (en) 2014-10-17 2019-09-03 Applied Materials, Inc. Advanced polishing pads having compositional gradients by use of an additive manufacturing process
JP6940495B2 (en) 2015-10-30 2021-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Equipment and methods for forming abrasive articles with the desired zeta potential
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
CN108698206B (en) 2016-01-19 2021-04-02 应用材料公司 Porous chemical mechanical polishing pad
KR101835090B1 (en) * 2017-05-29 2018-03-06 에스케이씨 주식회사 Porous polyurethane polishing pad and method preparing semiconductor device by using the same
KR101835087B1 (en) * 2017-05-29 2018-03-06 에스케이씨 주식회사 Porous polyurethane polishing pad and method preparing semiconductor device by using the same
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. Abrasive delivery polishing pads and manufacturing methods thereof
CN112654655A (en) 2018-09-04 2021-04-13 应用材料公司 Advanced polishing pad formulations
US11813712B2 (en) 2019-12-20 2023-11-14 Applied Materials, Inc. Polishing pads having selectively arranged porosity
US11806829B2 (en) 2020-06-19 2023-11-07 Applied Materials, Inc. Advanced polishing pads and related polishing pad manufacturing methods
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6120353A (en) * 1919-02-12 2000-09-19 Shin-Etsu Handotai Co., Ltd. Polishing method for semiconductor wafer and polishing pad used therein
WO2001091972A1 (en) * 2000-05-27 2001-12-06 Rodel Holdings, Inc. Grooved polishing pads for chemical mechanical planarization
KR100774824B1 (en) * 2006-12-08 2007-11-07 동부일렉트로닉스 주식회사 Polishing pad to prevent scratch in cmp process
US20120100783A1 (en) * 2009-06-23 2012-04-26 Shin-Etsu Handotai Co., Ltd. Polishing pad, manufacturing method thereof and polishing method
WO2012068428A2 (en) * 2010-11-18 2012-05-24 Cabot Microelectronics Corporation Polishing pad comprising transmissive region

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7651761B2 (en) * 2001-11-13 2010-01-26 Toyo Tire & Rubber Co., Ltd. Grinding pad and method of producing the same
US6852020B2 (en) * 2003-01-22 2005-02-08 Raytech Innovative Solutions, Inc. Polishing pad for use in chemical—mechanical planarization of semiconductor wafers and method of making same
US20040171339A1 (en) * 2002-10-28 2004-09-02 Cabot Microelectronics Corporation Microporous polishing pads
US20050276967A1 (en) * 2002-05-23 2005-12-15 Cabot Microelectronics Corporation Surface textured microporous polishing pads
JP3910921B2 (en) * 2003-02-06 2007-04-25 株式会社東芝 Polishing cloth and method for manufacturing semiconductor device
US7435161B2 (en) * 2003-06-17 2008-10-14 Cabot Microelectronics Corporation Multi-layer polishing pad material for CMP
EP1498222B1 (en) * 2003-07-17 2014-12-17 JSR Corporation Chemical mechanical polishing pad and chemical mechanical polishing method
US6899602B2 (en) * 2003-07-30 2005-05-31 Rohm And Haas Electronic Materials Cmp Holdings, Nc Porous polyurethane polishing pads
JP2005212055A (en) * 2004-01-30 2005-08-11 Kanebo Ltd Polishing cloth for nonwoven fabric base, and its fablication method
US8075372B2 (en) * 2004-09-01 2011-12-13 Cabot Microelectronics Corporation Polishing pad with microporous regions
JP3769581B1 (en) * 2005-05-18 2006-04-26 東洋ゴム工業株式会社 Polishing pad and manufacturing method thereof
US20090061743A1 (en) * 2007-08-29 2009-03-05 Stephen Jew Method of soft pad preparation to reduce removal rate ramp-up effect and to stabilize defect rate
JP2009220265A (en) * 2008-02-18 2009-10-01 Jsr Corp Chemical machinery polishing pad
JP2009256473A (en) * 2008-04-17 2009-11-05 Nitta Haas Inc Manufacturing method of expanded polyurethane, and abrasive pad
US8585790B2 (en) * 2009-04-23 2013-11-19 Applied Materials, Inc. Treatment of polishing pad window
JP2012012957A (en) * 2010-06-29 2012-01-19 Toyota Motor Corp Cylinder block made of aluminum alloy, and method of manufacturing the same
US9067297B2 (en) * 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with foundation layer and polishing surface layer
US9156125B2 (en) * 2012-04-11 2015-10-13 Cabot Microelectronics Corporation Polishing pad with light-stable light-transmitting region
US9597769B2 (en) * 2012-06-04 2017-03-21 Nexplanar Corporation Polishing pad with polishing surface layer having an aperture or opening above a transparent foundation layer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6120353A (en) * 1919-02-12 2000-09-19 Shin-Etsu Handotai Co., Ltd. Polishing method for semiconductor wafer and polishing pad used therein
WO2001091972A1 (en) * 2000-05-27 2001-12-06 Rodel Holdings, Inc. Grooved polishing pads for chemical mechanical planarization
KR100774824B1 (en) * 2006-12-08 2007-11-07 동부일렉트로닉스 주식회사 Polishing pad to prevent scratch in cmp process
US20120100783A1 (en) * 2009-06-23 2012-04-26 Shin-Etsu Handotai Co., Ltd. Polishing pad, manufacturing method thereof and polishing method
WO2012068428A2 (en) * 2010-11-18 2012-05-24 Cabot Microelectronics Corporation Polishing pad comprising transmissive region

Also Published As

Publication number Publication date
KR20160019465A (en) 2016-02-19
TW201501865A (en) 2015-01-16
CN105163907A (en) 2015-12-16
US20140370788A1 (en) 2014-12-18
EP3007858A1 (en) 2016-04-20
SG11201508452VA (en) 2015-12-30
CN105163907B (en) 2017-11-28
EP3007858A4 (en) 2017-03-08
JP2016524549A (en) 2016-08-18
TWI542442B (en) 2016-07-21

Similar Documents

Publication Publication Date Title
WO2014200726A1 (en) Low surface roughness polishing pad
US9951054B2 (en) CMP porous pad with particles in a polymeric matrix
US6623337B2 (en) Base-pad for a polishing pad
JP6290004B2 (en) Soft and conditionable chemical mechanical window polishing pad
JP6463618B2 (en) Method for chemical mechanical polishing of silicon wafers
US6998166B2 (en) Polishing pad with oriented pore structure
TWI597355B (en) Soft and conditionable chemical mechanical polishing pad
JP6367611B2 (en) Multilayer chemical mechanical polishing pad stack with soft and conditioned polishing layer
JP2006518940A (en) Materials and methods for chemical mechanical planarization
JP7260698B2 (en) chemical mechanical polishing pad
TWI791157B (en) Polishing pad employing polyamine and cyclohexanedimethanol curatives
JP6334266B2 (en) Soft and conditionable chemical mechanical polishing pad stack
US7118461B2 (en) Smooth pads for CMP and polishing substrates
JP2017052079A (en) Manufacturing method for composite polishing layer for chemical polishing pad
TWI763693B (en) Tapered poromeric polishing pad
JP6773465B2 (en) Chemical mechanical polishing pad composite polishing layer formulation
KR20210119897A (en) Cmp polishing pad with protruding structures having engineered open void space
KR20210149837A (en) Polishing pad, manufacturing method and polishing method of polishing pad
JP2017052078A (en) Chemical mechanical polishing pad and manufacturing method for the polishing pad
TWI490084B (en) A circular polishing pad and a method for manufacturing the same, and a method for manufacturing the semiconductor element
TWI510526B (en) A chemical mechanical polishing pad having a low defect integral window
KR20220025592A (en) Polishing pad and preparing method of semiconductor device using the same

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201480024087.4

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14811299

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2016519532

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2014811299

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20157036537

Country of ref document: KR

Kind code of ref document: A