WO2014134291A1 - Capacitive mems sensor devices - Google Patents

Capacitive mems sensor devices Download PDF

Info

Publication number
WO2014134291A1
WO2014134291A1 PCT/US2014/018985 US2014018985W WO2014134291A1 WO 2014134291 A1 WO2014134291 A1 WO 2014134291A1 US 2014018985 W US2014018985 W US 2014018985W WO 2014134291 A1 WO2014134291 A1 WO 2014134291A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
mems
capacitive
mems sensor
capacitive mems
Prior art date
Application number
PCT/US2014/018985
Other languages
French (fr)
Inventor
Ira Oaktree WYGANT
Peter B. Johnson
Original Assignee
Texas Instruments Incorporated
Texas Instruments Japan Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Incorporated, Texas Instruments Japan Limited filed Critical Texas Instruments Incorporated
Priority to EP14756695.4A priority Critical patent/EP2989433A4/en
Priority to CN201480010876.2A priority patent/CN105026905B/en
Publication of WO2014134291A1 publication Critical patent/WO2014134291A1/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01PMEASURING LINEAR OR ANGULAR SPEED, ACCELERATION, DECELERATION, OR SHOCK; INDICATING PRESENCE, ABSENCE, OR DIRECTION, OF MOVEMENT
    • G01P15/00Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration
    • G01P15/02Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration by making use of inertia forces using solid seismic masses
    • G01P15/08Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration by making use of inertia forces using solid seismic masses with conversion into electric or magnetic values
    • G01P15/125Measuring acceleration; Measuring deceleration; Measuring shock, i.e. sudden change of acceleration by making use of inertia forces using solid seismic masses with conversion into electric or magnetic values by capacitive pick-up
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/0032Packages or encapsulation
    • B81B7/007Interconnections between the MEMS and external electrical signals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00301Connecting electric signal lines from the MEMS device with external electrical signal lines, e.g. through vias
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N1/00Electrostatic generators or motors using a solid moving electrostatic charge carrier
    • H02N1/002Electrostatic motors
    • H02N1/006Electrostatic motors of the gap-closing type
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H3/00Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators
    • H03H3/007Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks
    • H03H3/0072Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks of microelectro-mechanical resonators or networks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/24Constructional features of resonators of material which is not piezoelectric, electrostrictive, or magnetostrictive
    • H03H9/2405Constructional features of resonators of material which is not piezoelectric, electrostrictive, or magnetostrictive of microelectro-mechanical resonators
    • H03H9/2468Tuning fork resonators
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/24Constructional features of resonators of material which is not piezoelectric, electrostrictive, or magnetostrictive
    • H03H9/2405Constructional features of resonators of material which is not piezoelectric, electrostrictive, or magnetostrictive of microelectro-mechanical resonators
    • H03H9/2468Tuning fork resonators
    • H03H9/2478Single-Ended Tuning Fork resonators
    • H03H9/2489Single-Ended Tuning Fork resonators with more than two fork tines
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04RLOUDSPEAKERS, MICROPHONES, GRAMOPHONE PICK-UPS OR LIKE ACOUSTIC ELECTROMECHANICAL TRANSDUCERS; DEAF-AID SETS; PUBLIC ADDRESS SYSTEMS
    • H04R19/00Electrostatic transducers
    • H04R19/005Electrostatic transducers using semiconductor materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/02Sensors
    • B81B2201/0271Resonators; ultrasonic resonators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0127Diaphragms, i.e. structures separating two media that can control the passage from one medium to another; Membranes, i.e. diaphragms with filtering function
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/09Packages
    • B81B2207/091Arrangements for connecting external electrical signals to mechanical structures inside the package
    • B81B2207/094Feed-through, via
    • B81B2207/096Feed-through, via through the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0109Bonding an individual cap on the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0118Bonding a wafer on the substrate, i.e. where the cap consists of another wafer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/03Bonding two components
    • B81C2203/033Thermal bonding
    • B81C2203/036Fusion bonding
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/02Details
    • H03H9/05Holders; Supports
    • H03H9/10Mounting in enclosures
    • H03H9/1057Mounting in enclosures for microelectro-mechanical devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49165Manufacturing circuit on or in base by forming conductive walled aperture in base

Definitions

  • Disclosed embodiments relate to capacitive microelectromechanical system
  • TSVs through-substrate vias
  • each sensing cell is sealed, such as by vacuum diffusion bonding, to another substrate (e.g., wafer) referred to as a third "capping" substrate over the fully released MEMS electrode(s) of the capacitive MEMS sensor device, which eliminates the need for a conventional passivation layer.
  • a third substrate e.g., wafer
  • the completed capacitive MEMS sensor device will itself provide a package.
  • FIG. 1 A is a top view depiction an example capacitive MEMS device shown as a capacitive MEMS element with a single capacitive MEMs sensor cell, with the third capping substrate removed to show underlying features according to an example embodiment, along with a cut line for the cross sectional depictions described below.
  • FIG. IB is a cross sectional depiction of an example capacitive MEMS device/element/cell shown in FIG. 1 A along the cut line A-A' shown.
  • FIG. 1C is a top view depiction of an example capacitive MEMS device shown as a capacitive MEMS element with a tuning fork resonator cell with the third capping substrate removed to show underlying features, according to an example embodiment.
  • FIG. ID is a top view depiction of an example capacitive MEMS device shown as a two cell capacitive MEMS element with a tuning fork resonator cell with the third capping substrate removed to show underlying features, according to an example embodiment.
  • FIGS. 2A-2H are cross-sectional diagrams showing processing progression for an example method of forming a capacitive MEMS sensor device, according to an example embodiment.
  • FIG. 3 is a top view depiction an example capacitive MEMS device including a plurality of capacitive MEMS elements, each capacitive MEMS element including a plurality of the MEMS cell shown in FIGS. 1A and IB coupled together within each element, according to an example embodiment.
  • the capacitive MEMS sensor unit entity is a capacitive MEMS sensor cell.
  • capacitive MEMS sensor cells can be connected in parallel, such as on the die using an electrically common MEMS electrode 120b (typically by a membrane layer 120 with metal on top) to form a capacitive MEMS sensor element.
  • a capacitive MEMS sensor element can have any number (> 1) of capacitive MEMS sensor cells. Typically, the more capacitive MEMS sensor cells in an element the greater the output that element can generate responsive to a given stimulus.
  • a capacitive MEMS sensor array (device/die) can have any number of capacitive MEMS sensor cell elements.
  • One of the electrodes (e.g., top) of the respective CMUT elements can be electrically isolated from the other electrodes (e.g., top) of the other CMUT elements to allow each CMUT element to be connected independently to allow individual addressability.
  • the electrically common MEMS electrodes 120b for each capacitive MEMS sensor element can be addressed by a single TSV.
  • FIG. 1A illustrates an example packaged capacitive MEMS sensor device (die)
  • FIG. 100 shown as a capacitive MEMS element with a single capacitive MEMS sensor cell 100a, shown as a disk resonator, according to an example embodiment.
  • the third capping substrate described below is depicted removed or transparent to show underlying features.
  • a cut line A- A' is shown which is used for some cross sectional depictions described below, including the cross sectional depictions shown in FIG. IB.
  • circular feature geometries are shown (a disk) for the capacitive MEMS sensor cell 100a in FIGS. 1A and IB, other feature geometries may be used such as rectangular.
  • the capacitive MEMS sensor device 100/cell 100a includes a first substrate 101 having a top side 102 including a patterned dielectric layer thereon, including as shown in FIG. IB thick dielectric regions 106 and thin dielectric regions 107 which form the bottom surface of the MEMS cavity 114.
  • Capacitive MEMS sensor cell 100a includes a plurality TSVs including at least a first TSV 111 and a second TSV 112, which as shown in FIG. IB are exposed on a bottom side 103 of the first substrate 101 and extend a full thickness of the first substrate 101.
  • First TSV 111 and a second TSV 112 are shown including protruding TSV tips 111a and 112a, respectively.
  • the TSVs 111 and 112 include a TSV dielectric liner 131.
  • Capacitive MEMS sensor cell 100a includes a second substrate providing a membrane layer 120 which is on the thick dielectric regions 106 and over the thin dielectric regions 107.
  • the membrane layer refers to a semiconductor layer (e.g., silicon) that is defined to form the fixed electrode 120a and MEMS electrode 120b for the capacitive MEMS sensor cell 100a, and can optionally also provide interconnect lines (typically having a metal layer thereon) between the MEMS electrodes 120b of respective MEMS sensor cells within capacitive MEMS sensor elements of capacitive MEMS sensor devices having a plurality of MEMS sensor elements.
  • the membrane layer 120 need not include any integrated circuit elements (e.g., transistors).
  • the membrane layer 120 includes a fixed portion providing a fixed electrode
  • the plurality of TSVs also extend a full thickness of the membrane layer 120 including the first TSV 111 which extends through a top side of the MEMS electrode 120b and the second TSV 112 which extends through a top of the fixed electrode 120a.
  • a patterned metal layer 251 including a metal cap 132 is on a top of the first TSV 111 and another metal cap 133 is over the second TSV 112.
  • MEMS sensor cell 100a includes a third capping substrate 140 which functions as a cap to seal the MEMS electrode 120b, having a bottom side 142 including a recessed inner cavity 144 and outer protruding portions 146 which frame the inner cavity 144.
  • the third capping substrate 140 is bonded with its bottom side 142 down with the protruding portions 146 bonded (e.g., diffusion vacuum bonded) to the thick dielectric region 106 as shown.
  • the final cavity for the capacitive MEMS sensor cell 100a is thus defined by the third capping substrate 140 and the first substrate 101 (e.g., by 2 wafers during fabrication).
  • the third capping substrate 140 together with the first substrate 101 can vacuum seal the MEMS electrode 120b so that third capping substrate 140 completes the packaged capacitive MEMS sensor device 100.
  • FIG. 1C illustrates an example packaged capacitive MEMS sensor device 180 shown as a capacitive MEMS element with a tuning fork resonator capacitive sensor cell 180a with the third capping substrate 140 again removed to show underlying features, according to an example embodiment.
  • the packaged capacitive MEMS sensor device 180/tuning fork resonator capacitive sensing cell 170a includes a MEMS cavity 172 and three (3) electrodes shown as electrode 1 (170a), electrode 2 (170b), 170a and 170b being multi-finger electrodes, and electrodes 3 (for first substrate 101), where the MEMS cavity 172 is a lateral cavity located between the outer edges of electrode 1 (170a) and electrode 2 (170b), while electrode 3 is provided by the first substrate 101.
  • TSV 176 and TSV 177 extend through a top side of their respective electrodes, electrode 1 (170a) and electrode 2 (170b), respectively.
  • a third electrode is not always needed for disclosed packaged capacitive MEMS sensor devices. If the capacitive MEMS device is a 2D motion sensor only, the connections provided by two TSV is sufficient for sensor operation.
  • a low resistivity first substrate 101 can be used as a third electrode for devices such as the packaged capacitive MEMS sensor device 180/tuning fork resonator capacitive sensor cell 180a to enable 3D motion detection.
  • a third electrode allows rocking motion or vertical deflection of the MEMS electrode 120b (e.g., a Si disk) to be detected as a change in capacitance between the MEMS electrode 120b and the low resistivity first substrate 101.
  • FIG. ID illustrates an example capacitive MEMS device 190 shown as a two cell capacitive MEMS element (comprising cells 190a and 190b) having a tuning fork resonator cell configuration with the third capping substrate 140 removed to show underlying features, according to an example embodiment.
  • the tuning fork resonator capacitive sensing cells 190a and 190b each include their own MEMS cavity 172a and 172b.
  • Capacitive MEMS device 190 has three (3) electrodes shown as electrode 1 (195a), electrode 2 (195b), 195a and 195b being multi-finger electrodes, with optional electrode 3 (from first substrate 101).
  • Electrodes 195a and 195b comprise the membrane layer 120 which may have an optional metal thereon (for low resistance) which couple together the electrodes 195a and 195b for cells 190a and 190b.
  • TSV 176 and TSV 177 extend through a top side of their respective electrodes, electrode 1 (195 a) and electrode 2 (195b), respectively.
  • Third capping substrate 140 if shown would have its outer protruding portions 146 aligned with the perimeter of thick dielectric region 106 (perimeter of capacitive MEMS device 190), with a recessed inner cavity within.
  • FIGS. 2A-2H illustrate steps in processing for an example method of forming capacitive MEMS devices during different stages of fabrication, according to an example embodiment.
  • the capacitive MEMS device is described being formed as a capacitive MEMS element with a single capacitive MEMS sensor cell, disclosed capacitive MEMS devices may be formed having a plurality of interconnected capacitive MEMS elements each having a plurality of capacitive MEMS cells (see FIG. 3 discussion below).
  • FIGS. 2A-2H illustrate formation of a packaged MEMS sensor device having a capacitive MEMS element with a single capacitive MEMS cell 100a.
  • Other techniques for forming disclosed capacitive MEMS devices can be used, including forming capacitive MEMS sensor devices including a plurality of disclosed capacitive MEMS sensor elements each having a plurality of capacitive MEMS sensor cells.
  • Several sensor elements in parallel can be used to achieve a given frequency over a given area.
  • One can connect the sensor elements in parallel to reduce the impedance (for driving) or connect them in series to increase the impedance (for sensing).
  • One can drive/sense sensor elements differentially to improve common mode signals or mitigate manufacturing asymmetries.
  • Thick dielectric regions 106 such as comprising a silicon oxide layer, is provided on a top surface 102 of a first substrate 101.
  • First substrate 101 can generally comprise any substrate material, including silicon-based substrates, or other substrates.
  • the first substrate 101 can be a low resistivity bulk silicon substrate (e.g., resistivity ⁇ 0.1 ⁇ -cm such as about ⁇ . ⁇ -cm), which as described above enables the first substrate 101 to be used as practical (low series resistance) third electrode for the MEMS sensor cell(s).
  • a thick silicon oxide layer is grown to a thickness of 4.5 ⁇ to 5.5 ⁇ using a high pressure oxidation (HiPOx) process.
  • HiPOx high pressure oxidation
  • the use of HiPOx facilitates the rapid growth of thick thermal oxide layers and provides excellent thickness control of typically less than 1%.
  • One particular example set of HiPOx process conditions are temperature of 1000 °C at 25 atmospheres pressure in steam for 9.5 hours on virgin first substrates 101 (e.g., silicon wafers), and the alignment marks for photolithography are etched later in the process.
  • thick dielectric regions 106 may also comprise conventional thermally grown silicon oxide, or a deposited dielectric layer, including silicon oxide or other dielectric material.
  • the substrate vendor's laser scribe generally present can be used to ensure a minimum of surface contamination or roughness. Masking and etching of front side alignment marks can follow. Resist strip and a pre-clean process can help ensure a smooth surface for the thick dielectric regions 106 used later in the process for facilitating subsequent wafer bonding of the third capping substrate thereto.
  • a first masking level "CELLETCH” uses thick photoresist in order to support the subsequent etch through the thick dielectric regions 106 (e.g., thick silicon oxide layer) to initially begin to define at least one etched capacitive MEMS sensor cell for each device/die.
  • a plasma etch which is non-polymerizing can be used for etching a first portion of the thick dielectric region 106, such as to etch about 4.65 ⁇ of silicon oxide when thick dielectric regions 106 comprise silicon oxide and have a thickness of about 5 ⁇ to 5.3 ⁇ .
  • a sidewall slope of -80° is generally desirable and can be achieved from the natural resist erosion.
  • the remaining portion of the thick dielectric region 106 (e.g., 0.5 ⁇ silicon oxide) after plasma etch can be removed by wet etch that provides etch selectivity relative to the substrate material (e.g., Si) to avoid damaging the top surface 102 of the first substrate 101.
  • the substrate material e.g., Si
  • the top surface 102 of the first substrate 101 e.g., a wafer
  • the resist is then stripped (e.g., a wet strip process).
  • a thin (e.g., 0.3 ⁇ ) sensor cell oxide and post oxide can be grown.
  • the post oxide surface roughness should generally be less than about 3 A.
  • FIG. 2A illustrates the device after bonding (e.g., vacuum fusion bonding) of a second substrate shown as a SOI wafer 115 having a handle (wafer) 116, a buried dielectric layer (generally referred to in the art as a "buried oxide layer” or “(BOX) layer”) 117 and membrane layer 120 (e.g., generally referred in the art as an "active layer”) to the thick dielectric region 106 of the first substrate 101.
  • the handle comprise silicon and is 500 ⁇ to 750 ⁇ thick
  • the membrane layer 120 is 15 ⁇ ⁇ 0.5 ⁇ (e.g., about 14 ⁇ ) thick
  • the buried dielectric layer 117 is ⁇ . ⁇ to 2.0 ⁇ thick.
  • the membrane layer 120 is bonded to the thick dielectric regions 106 of the first substrate 101.
  • the handle 116 represents any suitable semiconductor wafer formed from any suitable material(s), such as undoped or lightly-doped (n or p-doped) silicon.
  • the buried dielectric layer 117 represents any suitable layer(s) of electrically insulative material(s), such as a silicon oxide layer.
  • the membrane layer 120 represents any suitable layer(s) of substrate material(s), for example, moderately-doped silicon, such as having a resistivity of about 5 to 10 ⁇ -cm.
  • the membrane layer 120 can include a metal layer thereon which renders the pathway provided a low resistivity pathway.
  • the membrane layer 120 can be heavily doped and/or include a metal layer thereon to permit low resistance connections between sensor cells in multi-cell capacitive MEMS elements to be made by connection provided at least in part by the membrane layer 120 (see FIG. ID described above).
  • membrane layer 120 can have a resistivity of ⁇ 0.1 ⁇ -cm, such as about ⁇ . ⁇ -cm. In other embodiments, the membrane layer 120 can have a resistivity of up to about 100 ⁇ -cm.
  • Proper known bonding procedures including cleans and plasma pre- treatments can be used.
  • the bonding can comprise vacuum fusion wafer bonding.
  • attributes which ensure good wafer bonding include the bonding surfaces being smooth with a surface roughness typically less than 3A. Grown thermal oxide and silicon substrates generally satisfy this requirement.
  • the surfaces Prior to bonding the surfaces can be treated with an RCA clean (SC-1, where SC stands for Standard Clean, with a 1 : 1 :5 solution of NH 4 OH (ammonium hydroxide) + H 2 0 2 (hydrogen peroxide) + H 2 0 (water) at 75 or 80 °C typically for 10 minutes.
  • the second RCA clean step is a short immersion in a 1 :50 solution of HF + H 2 0 at 25 °C, in order to remove the thin oxide layer and some fraction of ionic contaminants.
  • the third and last step RCA clean (called SC-2) is performed with a 1 : 1 :6 solution of HC1 + H 2 0 2 + H 2 0 at 75 or 80 °C.
  • This treatment effectively removes the remaining traces of metallic (ionic) contaminants.
  • a N 2 plasma activation and a DI water rinse can follow.
  • the vacuum bonding is typically performed at a pressure less than 8 x 10 5 mbar.
  • the bonded surfaces are annealed in N 2 for several hours, such a 4 hour 1050 °C N 2 anneal.
  • the handle 116 is then removed after bonding, such as by backgrinding the handle 116 to about a 150 ⁇ post-backgrind target, performing a second 4 hour 1050 °C anneal after backgrinding prior to a wet etch of the handle remaining after backgrind, and then wet etching the remaining handle.
  • the handle remaining after backgrind when the handle 116 comprises silicon can be etched in a wet silicon etch, such as using a hydroxide (e.g., KOH or TMAH), stopping on the buried dielectric layer 117.
  • Mask and etching plates from membrane layer 120 can be used to form at least one MEMS electrode 120b over the alignment marks to reopen the alignment marks and enable proper alignment for subsequent process steps.
  • a Bosch etch can compensate for resist erosion during the etch of the membrane layer 120.
  • the Bosch process also known as pulsed or time-multiplexed etching, alternates repeatedly between two modes/phases to achieve nearly vertical etched structures.
  • the SOI wafer 115 can be replaced by a alternative second substrate such as a standard bulk silicon substrate to reduce cost, where the standard substrate material can be bonded to the thick dielectric region 106 on the first substrate 101.
  • the second substrate material can be thinned by backgrind and polishing to the desired target membrane thickness, such as 14 ⁇ ⁇ 5 ⁇ thick.
  • FIG. 2B illustrates the device after the mask level "TSVHOLE"(Mask #2) and formation of a TSV holes to form embedded vias 219 beginning from the top side of the membrane layer 120.
  • Embedded vias 219 in one particular embodiment can be 30 ⁇ in diameter and 150 ⁇ deep.
  • the resist 217 should be thick enough to support etching through the stack (e.g., ⁇ . ⁇ buried dielectric layer 117 plus 14 ⁇ membrane layer 120 plus 5.15 ⁇ thick dielectric layer 106 plus 130 ⁇ thick first (e.g., silicon) substrate 101, to blind etch the embedded vias referred 219 which can also be referred to as to as TSV holes.
  • first (e.g., silicon) substrate 101 to blind etch the embedded vias referred 219 which can also be referred to as to as TSV holes.
  • Separate etch tools may be used for the oxide and Bosch silicon etches.
  • TSV dielectric liner 131 e.g. a dielectric oxide 0.5 ⁇ thick
  • a diffusion barrier metal layer can be formed and added onto on the TSV dielectric liner 131 to frame the TSVs and protect against escape of the subsequently deposited TSV filler material (167, see FIG. 2C) into the semiconductor (e.g., silicon) in the case of highly mobile metal TSV filler materials, such as copper.
  • a 0.0875 ⁇ Ta/TaN diffusion barrier metal layer then a 1.5 ⁇ Cu seed layer 233 (see FIG. 2C) is deposited for copper filled TSV embodiments.
  • the seed layer 233 can, for example, represent a copper and titanium seed layer.
  • a Mask “CUMOLD” (Mask #3) can exclude copper (or other metal) plating over the MEMS sensor cell(s) of each MEMS sensor device. Negative resist can be used to ensure the TSVs are resist- free after masking. The mask can be helpful since the MEMS electrode 120b (e.g. a Si plate) may be deflected by several microns and the subsequent copper chemical mechanical polishing (CMP) step in the case of copper filled TSVs may not fully remove the copper over the MEMs sensor cells.
  • CMP copper chemical mechanical polishing
  • FIG. 2C illustrates the device after electroplating a metal such as copper (e.g.,
  • TSV filler material 167 onto a seed layer 233 (a Cu seed layer for Cu) to fill the embedded vias/ TSV holes 219 lined with dielectric liner 131 and a diffusion barrier metal layer and resist strip to form TSVs 112 and 111 having TSV filler material 167 therein.
  • the dielectric liner 131 is shown along the full length of the TSVs 111 and 112 including on the thick dielectric region 106, in the case of a thermally formed dielectric liner 131 (e.g., silicon oxide), as opposed to a deposited dielectric liner 131, the dielectric liner 131 will not grow on the thick dielectric region 106 and thus not be on the thick dielectric region 106.
  • the diffusion barrier metal layer (e.g., for copper TSV filler material 167) is not shown for simplicity.
  • a TSV Cu CMP process can be used to remove the copper (or other TSV filler material) "nail heads" over the TSVs 112, 111 and lateral to the nail heads.
  • a TSV filler material anneal can follow.
  • a second TSV copper CMP can be used to remove all the remaining copper and diffusion barrier metal layer (e.g., Ta/TaN).
  • a wet strip may be used to clear residue over the sensor cell.
  • the dielectric liner 131 along with the buried dielectric layer 117 stopping on the MEMS electrode 120b (e.g. a Si plate) are then removed.
  • FIG. 2D illustrates the device after depositing metal layer 251, such as 0.5 ⁇
  • This metal layer 251 will provide the MEMS electrode (e.g., Si plate) 120b metallization thereon and connect to the TSV structures.
  • a mask level "ALTOP" (Mask #4) having resist 256 shown in FIG. 2D is used to define the metal layer 25 lover the capacitive MEMS sensing cells.
  • the ALTOP critical dimensions (CDs) can be ⁇ m/side smaller than the final MEMS electrode 120b (e.g. a Si plate) dimension.
  • Patterning the metal layer 251 before patterning the membrane layer 120 to form the MEMS electrode 120b helps avoid both the metal layer 251 and resist step coverage issues.
  • a wet etch can be used to etch the metal layer 251 from the field area.
  • the resist 256 is then stripped.
  • FIG. 2E illustrates the device after a mask level "PLATESI" (Mask #5) uses a resist pattern 257 to completely encapsulate the patterned metal layer 251.
  • the plate mask can be about ⁇ /side larger than the metal layer 251 (ALTOP) mask.
  • the depiction in FIG. 2E is after etching the membrane layer 120 to form the MEMS electrode 120b (e.g. a Si plate) and to separate the capacitive MEMS sensor cells for capacitive MEMS sensor devices having a plurality of capacitive MEMS sensor cells.
  • the MEMS electrode 120b e.g. a Si plate
  • the capacitive MEMS sensor cells in adjacent capacitive MEMS sensor elements can be separated by the etching of the membrane layer 120 by a distance of 30 ⁇ to 70 ⁇ (typically at least 5 ⁇ ), for example, to ensure robust wafer bonding with good vacuum integrity.
  • the etch of the membrane layer 120 generally stops on the thick dielectric layer 106 as shown and also etches through the membrane layer 120 to form the MEMS electrode 120b (e.g. a Si plate) releasing the MEMS electrode 120b which functions as an oscillator.
  • a Bosch etch can be used with a short cycle to minimize side wall scalloping. The etch should not generally be reentrant. All of the metal layer 251 will be covered with resist during this operation.
  • the resist pattern 257 is then stripped.
  • a final alloy at 400 °C in N 2 +H 2 for 30 minutes can then be performed.
  • FIG. 2F illustrates the device after the mask level "cavity" (Mask #6) including resist pattern (cavity mask) 258 which can be applied to a third capping substrate (e.g., wafer) 140, and used to vacuum cap each capacitive MEMS sensing cell.
  • This masking level will define a unique cavity for each capacitive MEMS sensing cell for each MEMS device/die on the MEMS wafer.
  • the inner cavities 144 in the third capping substrate (e.g., wafer) 140 can be 20 ⁇ deep etched cavities in one particular embodiment to form a cavity wafer 280 having inner cavity 144 and outer protruding portions 146.
  • a Bosch etch can be used for the cavity etch.
  • the resist pattern 258 can be wet stripped to ensure a clean surface for wafer bonding.
  • FIG. 2G illustrates the device after vacuum bonding the MEMS wafer stack (first substrate 101 with membrane layer 120 thereon including fixed electrode 120a and MEMS electrode 120b, with TSVs 111, 112) to the cavity wafer 280, followed by exposing the tips 111a and 112a of TSVs 111, 112, respectively.
  • the resulting MEMS sensor cell(s) 100a after vacuum bonding the resulting MEMS sensor cell(s) 100a have their own vacuum sealed cavity including an upper vacuum cavity provided by inner cavity 144 and MEMS cavity 114.
  • a 4 hour 400 °C anneal can be used for the vacuum bonding.
  • Backgrinding can be used to thin the first substrate 101 from the bottom side 103 to almost reach the embedded TSVs.
  • the backgrind can remove all backside films and about
  • Etching the first substrate (e.g., Si) 101 to expose the tips 111a and 112a of the TSVs 111, 112 can leave about ⁇ of the first substrate 101 (e.g., Si).
  • the dielectric liner 131 (e.g., a silicon oxide liner) and the barrier metal layer (if present) are then etched from the tips 111a and 112a of the TSVs 111 and 112.
  • a plasma etch of the dielectric liner 131 and barrier metal will leave dielectric (e.g., oxide) spacers on the sidewalls of the TSVs 111 and 112.
  • the resulting bonded wafer having vacuum bonded cavity wafer 280 (e.g., third capping substrate (e.g., wafer) 140 725 ⁇ thick with inner cavitiesl44) on the MEMS wafer stack can then be diced which directly provides packaged capacitive MEMS sensor devices/die.
  • Optional back side metal can be a process step which enables contacts to the bottom side 103 of the first substrate 101 to utilize the first substrate 101 as an electrode for embodiments having a third electrode, such as 3-D sensing applications as described above.
  • the backside metal flow can replace the dielectric liner 131 and barrier metal etch processes.
  • backside metal layer 259 is deposited, such as lOOOA Ti + 2800A Ni + 1500A Ag in one particular embodiment.
  • the bottom side 103 of the first substrate 101 should be clean before deposition.
  • a pre-sputter etch of about 300 A can be used for cleaning the surface.
  • a mask level "TSVEXP" (Mask #7) can be used protect the backside metal layer 259 while it is stripped from the tips 111a and 112a of the TSVs 111 and 112.
  • the backside metal flow can begin after the TSV tip exposure process step.
  • the backside metal layer 259 e.g., TiNiAg
  • the dielectric liner 131 and the barrier metal layer are then etched from the tips of the TSVs 111 and 112.
  • a plasma etch of the dielectric liner 131 and barrier metal will leave dielectric (e.g., oxide) spacers on the sidewalls of the TSVs 111 and 112.
  • the resist is then stripped.
  • FIG. 2H illustrates the device after optional backside metal 259 pattern formation.
  • the resulting bonded wafer having a vacuum bonded cavity wafer 280 (e.g., 725 ⁇ thick third capping substrate (e.g., wafer) 140 with inner cavities 144) on the MEMS wafer stack having a backside metal layer 259 can then be diced which directly provides packaged capacitive MEMS sensor devices/die.
  • a vacuum bonded cavity wafer 280 e.g., 725 ⁇ thick third capping substrate (e.g., wafer) 140 with inner cavities 144
  • FIG. 3 shows an example capacitive MEMS sensor device (die) 300 including a plurality of capacitive MEMS sensor elements 301-306, with each capacitive MEMS sensor element including four of the capacitive MEMS sensor cells shown in FIGS. 1A and IB shown as lOOa-lOOd coupled together, according to an example embodiment.
  • the capacitive MEMS sensor device 300 is shown having six capacitive MEMS sensor elements each having four capacitive MEMS sensor cells lOOa-lOOd, disclosed capacitive MEMS sensor devices can have any number of capacitive MEMS sensor elements, and each capacitive MEMS sensor element can have any number of capacitive MEMS sensor cells.
  • the top electrodes can be separate for the capacitive MEMS sensor elements 301-306 allowing separate addressing of the respective elements using a single TSV for each element.
  • the respective capacitive MEMS sensor elements can be driven/sensed differentially to improve common mode signals or mitigate manufacturing asymmetries.
  • a dc bias voltage is applied to one or more MEMS electrodes 120b through TSV 111.
  • the TSV 112 can be referenced to ground.
  • the mechanical movement of the MEMS electrode 120b is detected capacitively between TSV 111 and TSV 112.
  • Standard biasing circuits can be used to DC couple the bias voltage between the fixed electrode 120a and MEMS electrode 120b and AC couple the sensing or driving signal thereto. If the first substrate 101 is not part of the signal path for capacitive detection, there is generally no need for a low resistivity (e.g., 0.01 ⁇ -cm) first substrate 101.
  • the protruding TSV tips for the TSVs are optional.
  • Substantially flush TSV tips can be used with a redirect layer (RDL) for connecting the TSVs to pads lateral to the TSVs.
  • RDL redirect layer
  • the RDL layer can be formed on a dielectric film on the bottom side 103 of the first substrate 101 (e.g., a silicon wafer). If the TSV tips are flush with surface of the bottom side 103 (e.g., Si), they would be slightly recessed after a thin oxide (e.g., ⁇ 5,000A thick) was deposited and patterned to re-expose the TSVs.
  • Disclosed embodiments provide several significant advantages. By including
  • the MEMS electrode 120b for resonating is formed from a single crystal material (e.g., single crystal silicon). Disclosed embodiments enable small die and packages along with reduced cost since the capacitive MEMS sensor device/die provides its own package.
  • SOI defined structures such as the membrane layer 120 for forming the MEMS electrodes 120b generally have good thickness uniformity for device matching for sensor array arrangements, and provide very good matching for resonators, filters, etc.
  • Disclosed fusion bonding provides a superior hermetic seal and better vacuum as compared to the seal obtained from conventional release layers.
  • the third capping substrate being generally a thick wafer (e.g., a thick Si wafer) will provide good mechanical isolation.
  • Disclosed embodiments can be used to form semiconductor die that may integrated into a variety of assembly flows to form a variety of different devices and related products.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Acoustics & Sound (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Signal Processing (AREA)
  • Pressure Sensors (AREA)
  • Micromachines (AREA)
  • Measuring Fluid Pressure (AREA)

Abstract

A packaged capacitive MEMS sensor device 100 includes at least one capacitive MEMS sensor element with at least one capacitive MEMS sensor cell 100a including a first substrate 101 having a thick 106 and a thin 107 dielectric region. A second substrate with a membrane layer 120 is bonded to the thick dielectric region and over the thin dielectric region to provide a MEMS cavity 114. The membrane layer provides a fixed electrode 120a and a released MEMS electrode 120b over the MEMS cavity. A first through-substrate via (TSV) 111 extends through a top side of the MEMS electrode and a second TSV 112 through a top side of the fixedelectrode. A metal cap 132 is on top of the first TSV and second TSV. A third substrate 140 including an inner cavity 144 and outer protruding portions 146 framing the inner cavity is bonded to the thick dielectric regions. The third substrate together with the first substrate seals the MEMS electrode.

Description

CAPACITIVE MEMS SENSOR DEVICES
[0001] Disclosed embodiments relate to capacitive microelectromechanical system
(MEMS) sensor devices and methods for their manufacture.
BACKGROUND
[0002] Known MEMS processes have challenges with producing cost effective small size packaged MEMS sensor devices, as well as defining a robust integration scheme incorporating conventional release layers. In addition, after conventional release the MEMS sensor cell(s) in the MEMS sensor device needs to be vacuum sealed at a low pressure to provide desired high-Q sensor performance which provides further challenges.
SUMMARY
[0003] Disclosed embodiments describe solutions to the problems with conventional capacitive microelectromechanical system (MEMS) sensor devices resulting from the use of release layers to seal the MEMS cavity of the sensor cell(s) by adding through-substrate vias (TSVs) (e.g., through-silicon vias in the case of silicon substrates). The TSVs provide access to the fixed electrode and released MEMS electrode both from the bottom side of the MEMS sensor device. The MEMS cavity of each sensing cell is sealed, such as by vacuum diffusion bonding, to another substrate (e.g., wafer) referred to as a third "capping" substrate over the fully released MEMS electrode(s) of the capacitive MEMS sensor device, which eliminates the need for a conventional passivation layer. At the end of the process the completed capacitive MEMS sensor device will itself provide a package.
BRIEF DESCRIPTION OF THE DRAWINGS
[0004] FIG. 1 A is a top view depiction an example capacitive MEMS device shown as a capacitive MEMS element with a single capacitive MEMs sensor cell, with the third capping substrate removed to show underlying features according to an example embodiment, along with a cut line for the cross sectional depictions described below. [0005] FIG. IB is a cross sectional depiction of an example capacitive MEMS device/element/cell shown in FIG. 1 A along the cut line A-A' shown.
[0006] FIG. 1C is a top view depiction of an example capacitive MEMS device shown as a capacitive MEMS element with a tuning fork resonator cell with the third capping substrate removed to show underlying features, according to an example embodiment.
[0007] FIG. ID is a top view depiction of an example capacitive MEMS device shown as a two cell capacitive MEMS element with a tuning fork resonator cell with the third capping substrate removed to show underlying features, according to an example embodiment.
[0008] FIGS. 2A-2H are cross-sectional diagrams showing processing progression for an example method of forming a capacitive MEMS sensor device, according to an example embodiment.
[0010] FIG. 3 is a top view depiction an example capacitive MEMS device including a plurality of capacitive MEMS elements, each capacitive MEMS element including a plurality of the MEMS cell shown in FIGS. 1A and IB coupled together within each element, according to an example embodiment.
DETAILED DESCRIPTION OF EXAMPLE EMBODIMENTS
[0011] The capacitive MEMS sensor unit entity is a capacitive MEMS sensor cell.
Multiple capacitive MEMS sensor cells can be connected in parallel, such as on the die using an electrically common MEMS electrode 120b (typically by a membrane layer 120 with metal on top) to form a capacitive MEMS sensor element. A capacitive MEMS sensor element can have any number (> 1) of capacitive MEMS sensor cells. Typically, the more capacitive MEMS sensor cells in an element the greater the output that element can generate responsive to a given stimulus. A capacitive MEMS sensor array (device/die) can have any number of capacitive MEMS sensor cell elements. One of the electrodes (e.g., top) of the respective CMUT elements can be electrically isolated from the other electrodes (e.g., top) of the other CMUT elements to allow each CMUT element to be connected independently to allow individual addressability. For example, as described herein, the electrically common MEMS electrodes 120b for each capacitive MEMS sensor element can be addressed by a single TSV.
[0012] FIG. 1A illustrates an example packaged capacitive MEMS sensor device (die)
100 shown as a capacitive MEMS element with a single capacitive MEMS sensor cell 100a, shown as a disk resonator, according to an example embodiment. The third capping substrate described below is depicted removed or transparent to show underlying features. A cut line A- A' is shown which is used for some cross sectional depictions described below, including the cross sectional depictions shown in FIG. IB. Although circular feature geometries are shown (a disk) for the capacitive MEMS sensor cell 100a in FIGS. 1A and IB, other feature geometries may be used such as rectangular.
[0013] The capacitive MEMS sensor device 100/cell 100a includes a first substrate 101 having a top side 102 including a patterned dielectric layer thereon, including as shown in FIG. IB thick dielectric regions 106 and thin dielectric regions 107 which form the bottom surface of the MEMS cavity 114. Capacitive MEMS sensor cell 100a includes a plurality TSVs including at least a first TSV 111 and a second TSV 112, which as shown in FIG. IB are exposed on a bottom side 103 of the first substrate 101 and extend a full thickness of the first substrate 101. First TSV 111 and a second TSV 112 are shown including protruding TSV tips 111a and 112a, respectively. The TSVs 111 and 112 include a TSV dielectric liner 131.
[0014] Capacitive MEMS sensor cell 100a includes a second substrate providing a membrane layer 120 which is on the thick dielectric regions 106 and over the thin dielectric regions 107. The membrane layer as used herein refers to a semiconductor layer (e.g., silicon) that is defined to form the fixed electrode 120a and MEMS electrode 120b for the capacitive MEMS sensor cell 100a, and can optionally also provide interconnect lines (typically having a metal layer thereon) between the MEMS electrodes 120b of respective MEMS sensor cells within capacitive MEMS sensor elements of capacitive MEMS sensor devices having a plurality of MEMS sensor elements. The membrane layer 120 need not include any integrated circuit elements (e.g., transistors).
[0015] The membrane layer 120 includes a fixed portion providing a fixed electrode
120a, and includes enclosing through-holes 123 which release the membrane layer 120 over the MEMS cavity 114 to provide a movable MEMS electrode 120b which functions as a resonating element for the capacitive MEMS sensor cell 100a. The plurality of TSVs also extend a full thickness of the membrane layer 120 including the first TSV 111 which extends through a top side of the MEMS electrode 120b and the second TSV 112 which extends through a top of the fixed electrode 120a. A patterned metal layer 251 including a metal cap 132 is on a top of the first TSV 111 and another metal cap 133 is over the second TSV 112. [0016] As shown in FIG. IB, packaged capacitive MEMS sensor device 100/capacitive
MEMS sensor cell 100a includes a third capping substrate 140 which functions as a cap to seal the MEMS electrode 120b, having a bottom side 142 including a recessed inner cavity 144 and outer protruding portions 146 which frame the inner cavity 144. The third capping substrate 140 is bonded with its bottom side 142 down with the protruding portions 146 bonded (e.g., diffusion vacuum bonded) to the thick dielectric region 106 as shown. The final cavity for the capacitive MEMS sensor cell 100a is thus defined by the third capping substrate 140 and the first substrate 101 (e.g., by 2 wafers during fabrication). The third capping substrate 140 together with the first substrate 101 can vacuum seal the MEMS electrode 120b so that third capping substrate 140 completes the packaged capacitive MEMS sensor device 100.
[0017] FIG. 1C illustrates an example packaged capacitive MEMS sensor device 180 shown as a capacitive MEMS element with a tuning fork resonator capacitive sensor cell 180a with the third capping substrate 140 again removed to show underlying features, according to an example embodiment. The packaged capacitive MEMS sensor device 180/tuning fork resonator capacitive sensing cell 170a includes a MEMS cavity 172 and three (3) electrodes shown as electrode 1 (170a), electrode 2 (170b), 170a and 170b being multi-finger electrodes, and electrodes 3 (for first substrate 101), where the MEMS cavity 172 is a lateral cavity located between the outer edges of electrode 1 (170a) and electrode 2 (170b), while electrode 3 is provided by the first substrate 101. Analogous to packaged capacitive MEMS device/cell 100 shown in FIGS. 1A and IB, TSV 176 and TSV 177 extend through a top side of their respective electrodes, electrode 1 (170a) and electrode 2 (170b), respectively.
[0018] A third electrode (electrode 3) is not always needed for disclosed packaged capacitive MEMS sensor devices. If the capacitive MEMS device is a 2D motion sensor only, the connections provided by two TSV is sufficient for sensor operation. A low resistivity first substrate 101 can be used as a third electrode for devices such as the packaged capacitive MEMS sensor device 180/tuning fork resonator capacitive sensor cell 180a to enable 3D motion detection. For the capacitive MEMS device 100 shown in FIG. 1A and FIG. IB, a third electrode allows rocking motion or vertical deflection of the MEMS electrode 120b (e.g., a Si disk) to be detected as a change in capacitance between the MEMS electrode 120b and the low resistivity first substrate 101. One can also detect a capacitance change between the first substrate 101 and the underside of electrode 1 (170a), and electrode 2 (170b). An up or down motion would cause the fingers of electrode 1 (170a) and electrode 2 (170b) to flex in a vertical direction.
[0019] FIG. ID illustrates an example capacitive MEMS device 190 shown as a two cell capacitive MEMS element (comprising cells 190a and 190b) having a tuning fork resonator cell configuration with the third capping substrate 140 removed to show underlying features, according to an example embodiment. The tuning fork resonator capacitive sensing cells 190a and 190b each include their own MEMS cavity 172a and 172b. Capacitive MEMS device 190 has three (3) electrodes shown as electrode 1 (195a), electrode 2 (195b), 195a and 195b being multi-finger electrodes, with optional electrode 3 (from first substrate 101). Electrodes 195a and 195b comprise the membrane layer 120 which may have an optional metal thereon (for low resistance) which couple together the electrodes 195a and 195b for cells 190a and 190b. Analogous to packaged capacitive MEMS device/cell 100 shown in FIGS. 1A-B and MEMS device/cell 180 shown in FIG. 1C, TSV 176 and TSV 177 extend through a top side of their respective electrodes, electrode 1 (195 a) and electrode 2 (195b), respectively. Third capping substrate 140 if shown would have its outer protruding portions 146 aligned with the perimeter of thick dielectric region 106 (perimeter of capacitive MEMS device 190), with a recessed inner cavity within.
[0020] FIGS. 2A-2H illustrate steps in processing for an example method of forming capacitive MEMS devices during different stages of fabrication, according to an example embodiment. Although the capacitive MEMS device is described being formed as a capacitive MEMS element with a single capacitive MEMS sensor cell, disclosed capacitive MEMS devices may be formed having a plurality of interconnected capacitive MEMS elements each having a plurality of capacitive MEMS cells (see FIG. 3 discussion below).
[0021] FIGS. 2A-2H illustrate formation of a packaged MEMS sensor device having a capacitive MEMS element with a single capacitive MEMS cell 100a. Other techniques for forming disclosed capacitive MEMS devices can be used, including forming capacitive MEMS sensor devices including a plurality of disclosed capacitive MEMS sensor elements each having a plurality of capacitive MEMS sensor cells. Several sensor elements in parallel can be used to achieve a given frequency over a given area. One can connect the sensor elements in parallel to reduce the impedance (for driving) or connect them in series to increase the impedance (for sensing). One can drive/sense sensor elements differentially to improve common mode signals or mitigate manufacturing asymmetries.
[0022] Thick dielectric regions 106, such as comprising a silicon oxide layer, is provided on a top surface 102 of a first substrate 101. First substrate 101 can generally comprise any substrate material, including silicon-based substrates, or other substrates. As disclosed above, for 3D motion detection MEMS sensor embodiments, the first substrate 101 can be a low resistivity bulk silicon substrate (e.g., resistivity < 0.1 Ω-cm such as about Ο.ΟΙΩ-cm), which as described above enables the first substrate 101 to be used as practical (low series resistance) third electrode for the MEMS sensor cell(s).
[0023] In one particular embodiment, to form thick dielectric regions 106 a thick silicon oxide layer is grown to a thickness of 4.5 μιη to 5.5 μιη using a high pressure oxidation (HiPOx) process. The use of HiPOx facilitates the rapid growth of thick thermal oxide layers and provides excellent thickness control of typically less than 1%. One particular example set of HiPOx process conditions are temperature of 1000 °C at 25 atmospheres pressure in steam for 9.5 hours on virgin first substrates 101 (e.g., silicon wafers), and the alignment marks for photolithography are etched later in the process. However, as an alternative, thick dielectric regions 106 may also comprise conventional thermally grown silicon oxide, or a deposited dielectric layer, including silicon oxide or other dielectric material.
[0024] The substrate vendor's laser scribe generally present can be used to ensure a minimum of surface contamination or roughness. Masking and etching of front side alignment marks can follow. Resist strip and a pre-clean process can help ensure a smooth surface for the thick dielectric regions 106 used later in the process for facilitating subsequent wafer bonding of the third capping substrate thereto.
[0025] A first masking level "CELLETCH" uses thick photoresist in order to support the subsequent etch through the thick dielectric regions 106 (e.g., thick silicon oxide layer) to initially begin to define at least one etched capacitive MEMS sensor cell for each device/die. A plasma etch which is non-polymerizing can be used for etching a first portion of the thick dielectric region 106, such as to etch about 4.65 μιη of silicon oxide when thick dielectric regions 106 comprise silicon oxide and have a thickness of about 5 μιη to 5.3 μιη. A sidewall slope of -80° is generally desirable and can be achieved from the natural resist erosion. The remaining portion of the thick dielectric region 106 (e.g., 0.5 μιη silicon oxide) after plasma etch can be removed by wet etch that provides etch selectivity relative to the substrate material (e.g., Si) to avoid damaging the top surface 102 of the first substrate 101.
[0026] About 50% of the top surface 102 of the first substrate 101 (e.g., a wafer) will generally be open (exposed) during the etch of the thick dielectric region. The resist is then stripped (e.g., a wet strip process). Following an appropriate pre-oxidation clean, in an oxidation step a thin (e.g., 0.3μιη) sensor cell oxide and post oxide can be grown. For effective subsequent bonding of the third capping substrate 140 described below, the post oxide surface roughness should generally be less than about 3 A.
[0027] FIG. 2A illustrates the device after bonding (e.g., vacuum fusion bonding) of a second substrate shown as a SOI wafer 115 having a handle (wafer) 116, a buried dielectric layer (generally referred to in the art as a "buried oxide layer" or "(BOX) layer") 117 and membrane layer 120 (e.g., generally referred in the art as an "active layer") to the thick dielectric region 106 of the first substrate 101. In one particular embodiment the handle comprise silicon and is 500 μιη to 750 μιη thick, the membrane layer 120 is 15 μιη ±0.5 μιη (e.g., about 14 μιη) thick, and the buried dielectric layer 117 is Ι .Ομιη to 2.0 μιη thick. The membrane layer 120 is bonded to the thick dielectric regions 106 of the first substrate 101.
[0028] The handle 116 represents any suitable semiconductor wafer formed from any suitable material(s), such as undoped or lightly-doped (n or p-doped) silicon. The buried dielectric layer 117 represents any suitable layer(s) of electrically insulative material(s), such as a silicon oxide layer. The membrane layer 120 represents any suitable layer(s) of substrate material(s), for example, moderately-doped silicon, such as having a resistivity of about 5 to 10 Ω-cm. For interconnection purposes between cells or elements, the membrane layer 120 can include a metal layer thereon which renders the pathway provided a low resistivity pathway.
[0029] For embodiments where the capacitive MEMS sensor device includes a plurality of MEMS sensor die, the membrane layer 120 can be heavily doped and/or include a metal layer thereon to permit low resistance connections between sensor cells in multi-cell capacitive MEMS elements to be made by connection provided at least in part by the membrane layer 120 (see FIG. ID described above). For example, membrane layer 120 can have a resistivity of < 0.1 Ω-cm, such as about Ο.ΟΙΩ-cm. In other embodiments, the membrane layer 120 can have a resistivity of up to about 100 Ω-cm. Proper known bonding procedures including cleans and plasma pre- treatments can be used. [0030] The bonding can comprise vacuum fusion wafer bonding. For vacuum fusion wafer bonding, as is commonly known in the art, attributes which ensure good wafer bonding include the bonding surfaces being smooth with a surface roughness typically less than 3A. Grown thermal oxide and silicon substrates generally satisfy this requirement. Prior to bonding the surfaces can be treated with an RCA clean (SC-1, where SC stands for Standard Clean, with a 1 : 1 :5 solution of NH4OH (ammonium hydroxide) + H202 (hydrogen peroxide) + H20 (water) at 75 or 80 °C typically for 10 minutes. The second RCA clean step is a short immersion in a 1 :50 solution of HF + H20 at 25 °C, in order to remove the thin oxide layer and some fraction of ionic contaminants. The third and last step RCA clean (called SC-2) is performed with a 1 : 1 :6 solution of HC1 + H202 + H20 at 75 or 80 °C. This treatment effectively removes the remaining traces of metallic (ionic) contaminants. A N2 plasma activation and a DI water rinse can follow. The vacuum bonding is typically performed at a pressure less than 8 x 105 mbar. As a final step the bonded surfaces are annealed in N2 for several hours, such a 4 hour 1050 °C N2 anneal.
[0031] The handle 116 is then removed after bonding, such as by backgrinding the handle 116 to about a 150 μιη post-backgrind target, performing a second 4 hour 1050 °C anneal after backgrinding prior to a wet etch of the handle remaining after backgrind, and then wet etching the remaining handle. The handle remaining after backgrind when the handle 116 comprises silicon can be etched in a wet silicon etch, such as using a hydroxide (e.g., KOH or TMAH), stopping on the buried dielectric layer 117. Mask and etching plates from membrane layer 120 can be used to form at least one MEMS electrode 120b over the alignment marks to reopen the alignment marks and enable proper alignment for subsequent process steps. Since the membrane layer 120 is generally a relatively thick layer for etching (e.g., about 14 μιη thick), a Bosch etch can compensate for resist erosion during the etch of the membrane layer 120. As known in the art, the Bosch process, also known as pulsed or time-multiplexed etching, alternates repeatedly between two modes/phases to achieve nearly vertical etched structures.
[0032] However, in the fabrication method, the SOI wafer 115 can be replaced by a alternative second substrate such as a standard bulk silicon substrate to reduce cost, where the standard substrate material can be bonded to the thick dielectric region 106 on the first substrate 101. In this alternate embodiment, after bonding, the second substrate material can be thinned by backgrind and polishing to the desired target membrane thickness, such as 14 μιη ± 5 μιη thick. [0033] FIG. 2B illustrates the device after the mask level "TSVHOLE"(Mask #2) and formation of a TSV holes to form embedded vias 219 beginning from the top side of the membrane layer 120. Embedded vias 219 in one particular embodiment can be 30 μιη in diameter and 150 μιη deep. The resist 217 should be thick enough to support etching through the stack (e.g., Ι . ΐμιη buried dielectric layer 117 plus 14μιη membrane layer 120 plus 5.15μιη thick dielectric layer 106 plus 130μιη thick first (e.g., silicon) substrate 101, to blind etch the embedded vias referred 219 which can also be referred to as to as TSV holes. Separate etch tools may be used for the oxide and Bosch silicon etches.
[0034] The resist 217 is then stripped and a TSV dielectric liner 131 (e.g. a dielectric oxide 0.5μιη thick) is deposited or is thermally grown within the embedded vias219. A diffusion barrier metal layer can be formed and added onto on the TSV dielectric liner 131 to frame the TSVs and protect against escape of the subsequently deposited TSV filler material (167, see FIG. 2C) into the semiconductor (e.g., silicon) in the case of highly mobile metal TSV filler materials, such as copper. For example, in one particular embodiment, following formation of the dielectric liner 131, a 0.0875μιη Ta/TaN diffusion barrier metal layer then a 1.5μιη Cu seed layer 233 (see FIG. 2C) is deposited for copper filled TSV embodiments. The seed layer 233 can, for example, represent a copper and titanium seed layer.
[0035] A Mask "CUMOLD" (Mask #3) can exclude copper (or other metal) plating over the MEMS sensor cell(s) of each MEMS sensor device. Negative resist can be used to ensure the TSVs are resist- free after masking. The mask can be helpful since the MEMS electrode 120b (e.g. a Si plate) may be deflected by several microns and the subsequent copper chemical mechanical polishing (CMP) step in the case of copper filled TSVs may not fully remove the copper over the MEMs sensor cells.
[0036] FIG. 2C illustrates the device after electroplating a metal such as copper (e.g.,
~15μιη thick) to provide a TSV filler material 167 onto a seed layer 233 (a Cu seed layer for Cu) to fill the embedded vias/ TSV holes 219 lined with dielectric liner 131 and a diffusion barrier metal layer and resist strip to form TSVs 112 and 111 having TSV filler material 167 therein. Although the dielectric liner 131 is shown along the full length of the TSVs 111 and 112 including on the thick dielectric region 106, in the case of a thermally formed dielectric liner 131 (e.g., silicon oxide), as opposed to a deposited dielectric liner 131, the dielectric liner 131 will not grow on the thick dielectric region 106 and thus not be on the thick dielectric region 106. The diffusion barrier metal layer (e.g., for copper TSV filler material 167) is not shown for simplicity. A TSV Cu CMP process can be used to remove the copper (or other TSV filler material) "nail heads" over the TSVs 112, 111 and lateral to the nail heads. A TSV filler material anneal can follow.
[0037] A second TSV copper CMP can be used to remove all the remaining copper and diffusion barrier metal layer (e.g., Ta/TaN). A wet strip may be used to clear residue over the sensor cell. The dielectric liner 131 along with the buried dielectric layer 117 stopping on the MEMS electrode 120b (e.g. a Si plate) are then removed.
[0038] FIG. 2D illustrates the device after depositing metal layer 251, such as 0.5μιη
AlCu. This metal layer 251 will provide the MEMS electrode (e.g., Si plate) 120b metallization thereon and connect to the TSV structures. A mask level "ALTOP" (Mask #4) having resist 256 shown in FIG. 2D is used to define the metal layer 25 lover the capacitive MEMS sensing cells. The ALTOP critical dimensions (CDs) can be ^m/side smaller than the final MEMS electrode 120b (e.g. a Si plate) dimension. Patterning the metal layer 251 before patterning the membrane layer 120 to form the MEMS electrode 120b (e.g. a Si plate) helps avoid both the metal layer 251 and resist step coverage issues. A wet etch can be used to etch the metal layer 251 from the field area. The resist 256 is then stripped.
[0039] FIG. 2E illustrates the device after a mask level "PLATESI" (Mask #5) uses a resist pattern 257 to completely encapsulate the patterned metal layer 251. The plate mask can be about Ιμιη/side larger than the metal layer 251 (ALTOP) mask. The depiction in FIG. 2E is after etching the membrane layer 120 to form the MEMS electrode 120b (e.g. a Si plate) and to separate the capacitive MEMS sensor cells for capacitive MEMS sensor devices having a plurality of capacitive MEMS sensor cells. Regarding separation, assuming the size of a capacitive MEMS sensor cell is defined as the dimension of the inner cavity 144 of the third (capping) substrate 140, the capacitive MEMS sensor cells in adjacent capacitive MEMS sensor elements can be separated by the etching of the membrane layer 120 by a distance of 30 μιη to 70 μιη (typically at least 5 μιη), for example, to ensure robust wafer bonding with good vacuum integrity. The etch of the membrane layer 120 generally stops on the thick dielectric layer 106 as shown and also etches through the membrane layer 120 to form the MEMS electrode 120b (e.g. a Si plate) releasing the MEMS electrode 120b which functions as an oscillator. A Bosch etch can be used with a short cycle to minimize side wall scalloping. The etch should not generally be reentrant. All of the metal layer 251 will be covered with resist during this operation.
[0040] The resist pattern 257 is then stripped. A final alloy at 400 °C in N2+H2 for 30 minutes can then be performed.
[0041] FIG. 2F illustrates the device after the mask level "cavity" (Mask #6) including resist pattern (cavity mask) 258 which can be applied to a third capping substrate (e.g., wafer) 140, and used to vacuum cap each capacitive MEMS sensing cell. This masking level will define a unique cavity for each capacitive MEMS sensing cell for each MEMS device/die on the MEMS wafer. The inner cavities 144 in the third capping substrate (e.g., wafer) 140 can be 20 μιη deep etched cavities in one particular embodiment to form a cavity wafer 280 having inner cavity 144 and outer protruding portions 146. A Bosch etch can be used for the cavity etch. After the cavity etch the resist pattern 258 can be wet stripped to ensure a clean surface for wafer bonding.
[0042] FIG. 2G illustrates the device after vacuum bonding the MEMS wafer stack (first substrate 101 with membrane layer 120 thereon including fixed electrode 120a and MEMS electrode 120b, with TSVs 111, 112) to the cavity wafer 280, followed by exposing the tips 111a and 112a of TSVs 111, 112, respectively. In the case of vacuum bonding, after vacuum bonding the resulting MEMS sensor cell(s) 100a have their own vacuum sealed cavity including an upper vacuum cavity provided by inner cavity 144 and MEMS cavity 114. A 4 hour 400 °C anneal can be used for the vacuum bonding. Backgrinding can be used to thin the first substrate 101 from the bottom side 103 to almost reach the embedded TSVs.
[0043] In one embodiment, the backgrind can remove all backside films and about
550μιη of the first substrate 101 leaving about 175μιη. Etching the first substrate (e.g., Si) 101 to expose the tips 111a and 112a of the TSVs 111, 112 can leave about ΙΟΟμιη of the first substrate 101 (e.g., Si). One can utilize a XeF plasma etch or wet Si etch. The dielectric liner 131 (e.g., a silicon oxide liner) and the barrier metal layer (if present) are then etched from the tips 111a and 112a of the TSVs 111 and 112. A plasma etch of the dielectric liner 131 and barrier metal will leave dielectric (e.g., oxide) spacers on the sidewalls of the TSVs 111 and 112.
[0044] The resulting bonded wafer having vacuum bonded cavity wafer 280 (e.g., third capping substrate (e.g., wafer) 140 725μιη thick with inner cavitiesl44) on the MEMS wafer stack can then be diced which directly provides packaged capacitive MEMS sensor devices/die. Optional back side metal can be a process step which enables contacts to the bottom side 103 of the first substrate 101 to utilize the first substrate 101 as an electrode for embodiments having a third electrode, such as 3-D sensing applications as described above. The backside metal flow can replace the dielectric liner 131 and barrier metal etch processes.
[0045] For backside metal processing, backside metal layer 259 is deposited, such as lOOOA Ti + 2800A Ni + 1500A Ag in one particular embodiment. The bottom side 103 of the first substrate 101 should be clean before deposition. A pre-sputter etch of about 300 A can be used for cleaning the surface. A mask level "TSVEXP" (Mask #7) can be used protect the backside metal layer 259 while it is stripped from the tips 111a and 112a of the TSVs 111 and 112.
[0046] The backside metal flow can begin after the TSV tip exposure process step. The backside metal layer 259 (e.g., TiNiAg) is etched from the tips of the TSVs 111 and 112. The dielectric liner 131 and the barrier metal layer (for copper filled TSVs) are then etched from the tips of the TSVs 111 and 112. A plasma etch of the dielectric liner 131 and barrier metal will leave dielectric (e.g., oxide) spacers on the sidewalls of the TSVs 111 and 112. The resist is then stripped.
[0047] FIG. 2H illustrates the device after optional backside metal 259 pattern formation.
The resulting bonded wafer having a vacuum bonded cavity wafer 280 (e.g., 725μιη thick third capping substrate (e.g., wafer) 140 with inner cavities 144) on the MEMS wafer stack having a backside metal layer 259 can then be diced which directly provides packaged capacitive MEMS sensor devices/die.
[0048] FIG. 3 shows an example capacitive MEMS sensor device (die) 300 including a plurality of capacitive MEMS sensor elements 301-306, with each capacitive MEMS sensor element including four of the capacitive MEMS sensor cells shown in FIGS. 1A and IB shown as lOOa-lOOd coupled together, according to an example embodiment. Although the capacitive MEMS sensor device 300 is shown having six capacitive MEMS sensor elements each having four capacitive MEMS sensor cells lOOa-lOOd, disclosed capacitive MEMS sensor devices can have any number of capacitive MEMS sensor elements, and each capacitive MEMS sensor element can have any number of capacitive MEMS sensor cells. As described above, the top electrodes can be separate for the capacitive MEMS sensor elements 301-306 allowing separate addressing of the respective elements using a single TSV for each element. The respective capacitive MEMS sensor elements can be driven/sensed differentially to improve common mode signals or mitigate manufacturing asymmetries.
[0049] In a typical sensing operation, a dc bias voltage is applied to one or more MEMS electrodes 120b through TSV 111. The TSV 112 can be referenced to ground. The mechanical movement of the MEMS electrode 120b is detected capacitively between TSV 111 and TSV 112. Standard biasing circuits can be used to DC couple the bias voltage between the fixed electrode 120a and MEMS electrode 120b and AC couple the sensing or driving signal thereto. If the first substrate 101 is not part of the signal path for capacitive detection, there is generally no need for a low resistivity (e.g., 0.01 Ω-cm) first substrate 101.
[0050] It is noted generally all thicknesses and dimensions can be adjusted to fit specific applications. There are also a variety of alternate embodiments. For example , the protruding TSV tips for the TSVs are optional. Substantially flush TSV tips can be used with a redirect layer (RDL) for connecting the TSVs to pads lateral to the TSVs. The RDL layer can be formed on a dielectric film on the bottom side 103 of the first substrate 101 (e.g., a silicon wafer). If the TSV tips are flush with surface of the bottom side 103 (e.g., Si), they would be slightly recessed after a thin oxide (e.g., ~5,000A thick) was deposited and patterned to re-expose the TSVs.
[0051] Disclosed embodiments provide several significant advantages. By including
TSVs and sealing the fully released MEMS structures with a bonded cap (e.g., vacuum fusion bonded cap), there is no need to use a sacrificial release layer or seal release holes with a plasma deposited capping layer. In addition, the MEMS electrode 120b for resonating is formed from a single crystal material (e.g., single crystal silicon). Disclosed embodiments enable small die and packages along with reduced cost since the capacitive MEMS sensor device/die provides its own package.
[0052] SOI defined structures, such as the membrane layer 120 for forming the MEMS electrodes 120b generally have good thickness uniformity for device matching for sensor array arrangements, and provide very good matching for resonators, filters, etc. Disclosed fusion bonding provides a superior hermetic seal and better vacuum as compared to the seal obtained from conventional release layers. The third capping substrate being generally a thick wafer (e.g., a thick Si wafer) will provide good mechanical isolation.
[0053] Disclosed embodiments can be used to form semiconductor die that may integrated into a variety of assembly flows to form a variety of different devices and related products. Those skilled in the art will appreciate that modifications may be made to the described embodiments, and also that many other embodiments are possible, within the scope of the claimed invention.

Claims

CLAIMS What is claimed is:
1. A packaged capacitive microelectromechanical system (MEMS) sensor device, comprising:
at least one capacitive MEMS sensor element with at least one capacitive MEMS sensor cell, said capacitive MEMS sensor cell including:
a first substrate having a top side including a patterned dielectric layer thereon including a thick dielectric region and thin dielectric region, and a plurality of through-substrate vias (TSVs) including at least a first TSV and a second TSV exposed on a bottom side of said first substrate that extend a full thickness of said first substrate;
a second substrate including a membrane layer bonded to said thick dielectric region and over said thin dielectric region to provide a MEMS cavity, said membrane layer including a fixed portion providing a fixed electrode and through-holes which release a first portion of said membrane layer over said MEMS cavity to provide a MEMS electrode, wherein said plurality of TSVs extend a full thickness of said second substrate including said first TSV through a top side of said MEMS electrode and said second TSV through a top side of said fixed electrode, and a patterned metal layer including a metal cap on a top of said first TSV and a metal cap on a top of said second TSV, and
a third substrate having a bottom side including an inner cavity and outer protruding portions framing said inner cavity, wherein said third substrate is bonded with said protruding portions diffusion bonded to said thick dielectric regions, and wherein said third substrate together with said first substrate vacuum seals said MEMS electrode.
2. The device of claim 1, wherein said MEMS electrode comprise single crystal silicon.
3. The device of claim 1, wherein said plurality of TSVs comprise a dielectric liner and copper TSV filler material, and wherein said plurality of TSVs include protruding TSV tips that protrude from said bottom side of said first substrate.
4. The device of claim 1, wherein said first substrate has a resistivity less than or equal to (<) Ο. ΙΩ-cm, further comprising a patterned metal layer on a bottom side of said first substrate, wherein said first substrate provides a third electrode for said capacitive MEMS sensor cell to enable 3 dimensional (3D) capacitive sensing for said capacitive MEMS sensor device.
5. The device of claim 1, wherein said capacitive MEMS sensor device includes a plurality of said capacitive MEMS sensor elements, wherein each of said plurality of capacitive MEMS sensor elements include a plurality of said capacitive MEMS sensor cells, and wherein said capacitive MEMS sensor elements are individually addressable by contacting a respective one of said first TSV.
6. The device of claim 5, wherein each of said plurality of capacitive MEMS sensor cells in each of said plurality of capacitive MEMS sensor elements are connected in parallel by said membrane layer which couples together said MEMS electrodes.
7. The device of claim 1, a top side of said third substrate provides a top for said packaged capacitive MEMS sensor device.
8. A method of forming a capacitive microelectromechanical system (MEMS) sensor device including at least one capacitive MEMS sensor element with at least one capacitive MEMS sensor cell, comprising:
forming a patterned dielectric layer including a thick dielectric region and a thin dielectric region on a top side of a first substrate;
bonding a second substrate to said thick dielectric region to provide at least one sealed microelectromechanical system (MEMS) cavity;
thinning said second substrate to reduce a thickness of said second substrate to provide a membrane layer;
etching vias through said membrane layer and said thick dielectric region extending into said first substrate to form embedded vias;
forming a dielectric liner which lines said embedded vias within said first substrate; filling said embedded vias with electrically conductive TSV filler material to form a plurality of through-substrate vias (TSVs), said plurality of TSVs extending to at least a top of said membrane layer;
forming a patterned metal cap layer including metal caps on a top of said plurality of
TSVs;
etching trenches through regions of said membrane layer for releasing a first portion of said membrane layer over said MEMS cavity to provide a MEMS electrode and to define a fixed electrode;
bonding a third substrate including an inner cavity and outer protruding portions framing said inner cavity, wherein said protruding portions bond to said thick dielectric region and together with said first substrate vacuum seals said MEMS electrode, and
exposing said plurality of TSVs on a bottom side of said first substrate.
9. The method of claim 8, wherein said bonding said second substrate comprises bonding said membrane layer of a semiconductor on insulator (SOI) substrate having a handle opposite said membrane layer and a buried dielectric layer in between said handle and said membrane layer; and said thinning said second substrate comprises removing said handle, further comprising removing said buried dielectric layer said filling said embedded vias.
10. The method of claim 8, wherein said bonding said second substrate and said bonding said third substrate both comprise vacuum fusion bonding.
11. The method of claim 8, wherein said forming a patterned dielectric layer comprises a high pressure oxidation (HiPOx) growth process.
12. The method of claim 8, wherein said third substrate comprises a silicon wafer.
13. The method of claim 8, wherein said TSV filler material comprises copper, further comprising forming protruding TSV tips for said plurality of TSVs that protrude from said bottom side of said first substrate.
14. The method of claim 8, wherein said first substrate has a resistivity less than or equal to (<) Ο. ΙΩ-cm, further comprising a patterned metal layer on a bottom side of said first substrate, wherein said first substrate provides a third electrode for said capacitive MEMS sensor cell to enable 3 dimensional (3D) capacitive sensing for said capacitive MEMS sensor device.
15. The method of claim 8, wherein said capacitive MEMS sensor device includes a plurality of said capacitive MEMS sensor elements, wherein each of said plurality of capacitive MEMS sensor elements include a plurality of said capacitive MEMS sensor cells, and wherein said capacitive MEMS sensor elements are individually addressable by contacting one of said plurality of TSVs.
16. The method of claim 15, wherein each of said plurality of capacitive MEMS sensor cells in each of said plurality of capacitive MEMS sensor elements are connected in parallel by said membrane layer which couples together said MEMS electrodes.
17. A method of forming a capacitive microelectromechanical system (MEMS) sensor device including at least one capacitive MEMS element with at least one capacitive MEMS sensor, comprising:
forming a patterned dielectric layer including a thick dielectric region and a thin dielectric region on a top side of a first substrate;
bonding a membrane layer of a semiconductor on insulator (SOI) substrate having a handle opposite said membrane layer and a buried dielectric layer in between said handle and said membrane layer to said thick dielectric region to provide said MEMS cavity;
removing said handle of said SOI substrate;
etching vias through said membrane layer and said thick dielectric region extending into said first substrate to form embedded vias;
forming a dielectric liner which lines said embedded vias within said substrate;
filling said embedded vias with electrically conductive TSV filler material to form a plurality of through-substrate vias (TSVs), said plurality of TSVs extending to at least a top of said membrane layer; forming a patterned metal cap layer including metal caps on a top of said plurality of
TSVs,
etching trenches through regions of said membrane layer for releasing a first portion of said membrane layer over said MEMS cavity to provide a MEMS electrode and to define a fixed electrode;
bonding a third substrate including an inner cavity and outer protruding portions framing said inner cavity, wherein said protruding portions bond to said thick dielectric region and together with said first substrate vacuum seals said MEMS electrode, and
exposing said plurality of TSVs on a bottom side of said first substrate.
18. The method of claim 17, wherein said bonding said membrane layer and said bonding said third substrate both comprise vacuum fusion bonding.
PCT/US2014/018985 2013-02-27 2014-02-27 Capacitive mems sensor devices WO2014134291A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP14756695.4A EP2989433A4 (en) 2013-02-27 2014-02-27 Capacitive mems sensor devices
CN201480010876.2A CN105026905B (en) 2013-02-27 2014-02-27 Capacitive MEMS sensor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/779,160 US9470710B2 (en) 2013-02-27 2013-02-27 Capacitive MEMS sensor devices
US13/779,160 2013-02-27

Publications (1)

Publication Number Publication Date
WO2014134291A1 true WO2014134291A1 (en) 2014-09-04

Family

ID=51387511

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2014/018985 WO2014134291A1 (en) 2013-02-27 2014-02-27 Capacitive mems sensor devices

Country Status (4)

Country Link
US (2) US9470710B2 (en)
EP (1) EP2989433A4 (en)
CN (1) CN105026905B (en)
WO (1) WO2014134291A1 (en)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9351081B2 (en) * 2013-02-27 2016-05-24 Texas Instruments Incorporated Capacitive micromachined ultrasonic transducer (CMUT) with through-substrate via (TSV) substrate plug
CN103346099B (en) * 2013-06-17 2016-08-24 华进半导体封装先导技术研发中心有限公司 The thinning On-Line Control Method of TSV wafer based on infrared technique and system
FR3015688B1 (en) * 2013-12-20 2016-01-08 Commissariat Energie Atomique MULTI-SENSORY SENSOR
EP3252808A4 (en) * 2015-01-23 2018-10-03 Mitsubishi Electric Corporation Ceramic substrate, bonded body, module, and ceramic substrate manufacturing method
JP2016170089A (en) * 2015-03-13 2016-09-23 株式会社東芝 Mems device and mems system
US10317252B2 (en) * 2015-04-20 2019-06-11 Infineon Technologies Ag System and method for a capacitive sensor
US9969614B2 (en) * 2015-05-29 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS packages and methods of manufacture thereof
US9778129B2 (en) * 2015-08-03 2017-10-03 DunAn Sensing, LLC Universal hermetically sealed button pressure sensor
US9987661B2 (en) 2015-12-02 2018-06-05 Butterfly Network, Inc. Biasing of capacitive micromachined ultrasonic transducers (CMUTs) and related apparatus and methods
CN107764459B (en) * 2016-08-17 2020-04-21 苏州明皜传感科技有限公司 Pressure sensor and method for manufacturing the same
US11097942B2 (en) * 2016-10-26 2021-08-24 Analog Devices, Inc. Through silicon via (TSV) formation in integrated circuits
US11496820B2 (en) * 2016-12-29 2022-11-08 Gmems Tech Shenzhen Limited MEMS device with quadrilateral trench and insert
US10199333B2 (en) * 2017-07-05 2019-02-05 Omnivision Technologies, Inc. Delamination-resistant semiconductor device and associated method
US10444102B2 (en) * 2017-09-07 2019-10-15 Texas Instruments Incorporated Pressure measurement based on electromagnetic signal output of a cavity
KR102450580B1 (en) 2017-12-22 2022-10-07 삼성전자주식회사 Semiconductor Device having a Structure for Insulating Layer under Metal Line
US10998279B2 (en) 2018-08-27 2021-05-04 Infineon Technologies Ag On-chip integrated cavity resonator
CN110300361A (en) * 2019-06-20 2019-10-01 钰太芯微电子科技(上海)有限公司 A kind of MEMS microphone with Wireless charging coil
US20220337211A1 (en) * 2021-04-16 2022-10-20 Resonant Inc. Transversely-excited film bulk acoustic resonator fabrication using wafer-to-wafer bonding
EP4316224A1 (en) * 2021-09-17 2024-02-07 IQM Finland Oy Chip fabrication method and product
WO2024011328A1 (en) * 2022-07-13 2024-01-18 The University Of British Columbia High speed manufacture of micro-electrical mechanical systems arrays

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2398195C1 (en) * 2009-08-26 2010-08-27 Евгений Михайлович Белозубов Method of making nano- and micro-electromechanical pressure sensor system and pressure sensor based on said system
RU2408857C1 (en) * 2009-11-16 2011-01-10 Государственное образовательное учреждение высшего профессионального образования "Пензенский государственный университет" (ПГУ) Pressure sensor based on nano- and micro-electromechanical system with frequency-domain output signal
RU2463570C1 (en) * 2011-05-17 2012-10-10 Открытое акционерное общество "Научно-исследовательский институт физических измерений" Tensoresistive pressure sensor with thin-film nano- and micro-electromechanical system

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4889832A (en) 1987-12-23 1989-12-26 Texas Instruments Incorporated Method of fabricating an integrated circuit with metal interconnecting layers above and below active circuitry
US6312581B1 (en) * 1999-11-30 2001-11-06 Agere Systems Optoelectronics Guardian Corp. Process for fabricating an optical device
US6384353B1 (en) * 2000-02-01 2002-05-07 Motorola, Inc. Micro-electromechanical system device
KR100370398B1 (en) * 2000-06-22 2003-01-30 삼성전자 주식회사 Method for surface mountable chip scale packaging of electronic and MEMS devices
US6737740B2 (en) 2001-02-08 2004-05-18 Micron Technology, Inc. High performance silicon contact for flip chip
US7098117B2 (en) * 2002-10-18 2006-08-29 The Regents Of The University Of Michigan Method of fabricating a package with substantially vertical feedthroughs for micromachined or MEMS devices
US6929974B2 (en) * 2002-10-18 2005-08-16 Motorola, Inc. Feedthrough design and method for a hermetically sealed microdevice
TWI221343B (en) * 2003-10-21 2004-09-21 Advanced Semiconductor Eng Wafer structure for preventing contamination of bond pads during SMT process and process for the same
US7576426B2 (en) * 2005-04-01 2009-08-18 Skyworks Solutions, Inc. Wafer level package including a device wafer integrated with a passive component
TW200644165A (en) * 2005-05-04 2006-12-16 Icemos Technology Corp Silicon wafer having through-wafer vias
US8105941B2 (en) * 2005-05-18 2012-01-31 Kolo Technologies, Inc. Through-wafer interconnection
US8247945B2 (en) * 2005-05-18 2012-08-21 Kolo Technologies, Inc. Micro-electro-mechanical transducers
US8007167B2 (en) 2005-09-30 2011-08-30 Silicon Laboratories Inc. Integrated electronic sensor
US7393758B2 (en) * 2005-11-03 2008-07-01 Maxim Integrated Products, Inc. Wafer level packaging process
DE102005058977A1 (en) 2005-12-09 2007-06-14 Atmel Germany Gmbh Transponder device with mega-pads
US7615834B2 (en) 2006-02-28 2009-11-10 The Board Of Trustees Of The Leland Stanford Junior University Capacitive micromachined ultrasonic transducer(CMUT) with varying thickness membrane
US7881565B2 (en) 2006-05-04 2011-02-01 The Board Of Trustees Of The Leland Stanford Junior University Device and method using asymmetric optical resonances
US8049326B2 (en) * 2007-06-07 2011-11-01 The Regents Of The University Of Michigan Environment-resistant module, micropackage and methods of manufacturing same
US7843022B2 (en) * 2007-10-18 2010-11-30 The Board Of Trustees Of The Leland Stanford Junior University High-temperature electrostatic transducers and fabrication method
US7799678B2 (en) 2008-01-30 2010-09-21 Freescale Semiconductor, Inc. Method for forming a through silicon via layout
US9132693B2 (en) 2008-09-16 2015-09-15 Koninklijke Philps N.V. Capacitive micromachine ultrasound transducer
FR2939003B1 (en) 2008-11-21 2011-02-25 Commissariat Energie Atomique CMUT CELL FORMED OF A MEMBRANE OF NANO-TUBES OR NANO-THREADS OR NANO-BEAMS AND ULTRA HIGH-FREQUENCY ACOUSTIC IMAGING DEVICE COMPRISING A PLURALITY OF SUCH CELLS
US20100206737A1 (en) * 2009-02-17 2010-08-19 Preisser Robert F Process for electrodeposition of copper chip to chip, chip to wafer and wafer to wafer interconnects in through-silicon vias (tsv)
US7943514B2 (en) * 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8345508B2 (en) 2009-09-20 2013-01-01 General Electric Company Large area modular sensor array assembly and method for making the same
US8563345B2 (en) * 2009-10-02 2013-10-22 National Semiconductor Corporated Integration of structurally-stable isolated capacitive micromachined ultrasonic transducer (CMUT) array cells and array elements
US8324006B1 (en) * 2009-10-28 2012-12-04 National Semiconductor Corporation Method of forming a capacitive micromachined ultrasonic transducer (CMUT)
US8143704B2 (en) 2009-10-02 2012-03-27 Texas Instruments Incorporated Electronic assemblies including mechanically secured protruding bonding conductor joints
DE102010045649A1 (en) * 2010-09-17 2012-03-22 Texas Instruments Deutschland Gmbh Electronic device and method for directly mounting passive components
CN102509844B (en) * 2011-09-22 2015-02-11 中国科学院上海微系统与信息技术研究所 Micro-electromechanical disc resonator and manufacturing method thereof
US9520811B2 (en) * 2013-02-27 2016-12-13 Texas Instruments Incorporated Capacitive micromachined ultrasonic transducer (CMUT) device with through-substrate via (TSV)
US9351081B2 (en) * 2013-02-27 2016-05-24 Texas Instruments Incorporated Capacitive micromachined ultrasonic transducer (CMUT) with through-substrate via (TSV) substrate plug
US8957504B2 (en) 2013-03-15 2015-02-17 IP Enval Consultant Inc. Integrated structure with a silicon-through via

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2398195C1 (en) * 2009-08-26 2010-08-27 Евгений Михайлович Белозубов Method of making nano- and micro-electromechanical pressure sensor system and pressure sensor based on said system
RU2408857C1 (en) * 2009-11-16 2011-01-10 Государственное образовательное учреждение высшего профессионального образования "Пензенский государственный университет" (ПГУ) Pressure sensor based on nano- and micro-electromechanical system with frequency-domain output signal
RU2463570C1 (en) * 2011-05-17 2012-10-10 Открытое акционерное общество "Научно-исследовательский институт физических измерений" Tensoresistive pressure sensor with thin-film nano- and micro-electromechanical system

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP2989433A4 *

Also Published As

Publication number Publication date
EP2989433A4 (en) 2016-12-07
EP2989433A1 (en) 2016-03-02
US20140239979A1 (en) 2014-08-28
CN105026905B (en) 2019-02-15
US10107830B2 (en) 2018-10-23
CN105026905A (en) 2015-11-04
US20160363609A1 (en) 2016-12-15
US9470710B2 (en) 2016-10-18

Similar Documents

Publication Publication Date Title
US10107830B2 (en) Method of forming capacitive MEMS sensor devices
US9937528B2 (en) Capacitive micromachined ultrasonic transducer (CMUT) forming
US10335827B2 (en) Ultrasonic transducer device with through-substrate via
US10967400B2 (en) Microfabricated ultrasonic transducer having individual cells with electrically isolated electrode sections
TWI533438B (en) Semiconductor apparatus, semiconductor structure, and method of forming semiconductor structure
US8563345B2 (en) Integration of structurally-stable isolated capacitive micromachined ultrasonic transducer (CMUT) array cells and array elements
CN102398888B (en) Wafer level packaging
US9371223B2 (en) MEMS device and fabrication method
US8324006B1 (en) Method of forming a capacitive micromachined ultrasonic transducer (CMUT)
US9254997B2 (en) CMOS-MEMS integrated flow for making a pressure sensitive transducer

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201480010876.2

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14756695

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

REEP Request for entry into the european phase

Ref document number: 2014756695

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2014756695

Country of ref document: EP