WO2014008161A1 - Radical oxidation process for fabricating a nonvolatile charge trap memory device - Google Patents

Radical oxidation process for fabricating a nonvolatile charge trap memory device Download PDF

Info

Publication number
WO2014008161A1
WO2014008161A1 PCT/US2013/048876 US2013048876W WO2014008161A1 WO 2014008161 A1 WO2014008161 A1 WO 2014008161A1 US 2013048876 W US2013048876 W US 2013048876W WO 2014008161 A1 WO2014008161 A1 WO 2014008161A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
charge
substrate
dielectric layer
oxidation process
Prior art date
Application number
PCT/US2013/048876
Other languages
French (fr)
Inventor
Krishnaswamy Ramkumar
Sagy Levy
Jeong Soo Byun
Original Assignee
Cypress Semiconductor Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/539,458 external-priority patent/US8940645B2/en
Application filed by Cypress Semiconductor Corporation filed Critical Cypress Semiconductor Corporation
Priority to KR1020147035340A priority Critical patent/KR102018278B1/en
Priority to KR1020197025446A priority patent/KR102146640B1/en
Priority to CN201380031969.9A priority patent/CN104781916A/en
Publication of WO2014008161A1 publication Critical patent/WO2014008161A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/122Single quantum well structures
    • H01L29/125Quantum wire structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane

Definitions

  • Embodiments of the present invention are in the field of Semiconductor
  • Non-volatile semiconductor memories typically use stacked floating gate type field-effect-transistors. In such transistors, electrons are injected into a floating gate of a memory cell to be programmed by biasing a control gate and grounding a body region of a substrate on which the memory cell is formed.
  • An oxide-nitride-oxide (ONO) stack is used as either a charge storing layer, as in a semiconductor-oxide-nitride -oxide- semiconductor (SONOS) transistor, or as an isolation layer between the floating gate and control gate, as in a split gate flash transistor.
  • Figure 1 illustrates a cross-sectional view of a conventional nonvolatile charge trap memory device.
  • semiconductor device 100 includes a SONOS gate stack 104 including a conventional ONO portion 106 formed over a silicon substrate 102.
  • Semiconductor device 100 further includes source and drain regions 110 on either side of SONOS gate stack 104 to define a channel region 112.
  • SONOS gate stack 104 includes a poly-silicon gate layer 108 formed above and in contact with ONO portion 106.
  • Polysilicon gate layer 108 is electrically isolated from silicon substrate 102 by ONO portion 106.
  • ONO portion 106 typically includes a tunnel oxide layer 1 06 A, a nitride or oxynitride charge-trapping layer 106B, and a top oxide layer 106C overlying nitride or oxynitride layer 106B.
  • One problem with conventional SONOS transistors is the poor data retention in the nitride or oxy-nitride layer 106B that limits semiconductor device 100 lifetime and its use in several applications due to leakage current through the layer.
  • Figure 1 illustrates a cross-sectional view of a conventional nonvolatile charge trap memory device.
  • Figure 2 illustrates a cross-sectional view of an oxidation chamber of a batch-processing tool, in accordance with an embodiment of the present invention.
  • Figure 3 depicts a Flowchart representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figure 4 A illustrates a cross-sectional view of a substrate having a charge trapping layer formed thereon, corresponding to operation 302 from the Flowchart of
  • Figure 4B illustrates a cross-sectional view of a substrate having a charge trapping layer with a blocking dielectric layer formed thereon, corresponding to operation
  • Figure 5 depicts a Flowchart representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figure 6A illustrates a cross-sectional view of a substrate, corresponding to operation 502 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention.
  • Figure 6B illustrates a cross-sectional view of a substrate having a first dielectric layer formed thereon, corresponding to operation 504 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention.
  • Figure 6C illustrates a cross-sectional view of a substrate having a charge trapping layer formed thereon, corresponding to operation 508 from the Flowchart of
  • Figure 6D illustrates a cross-sectional view of a substrate having a charge trapping layer with a blocking dielectric layer formed thereon, corresponding to operation
  • Figure 6E illustrates a cross-sectional view of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figure 7 A illustrates a cross-sectional view of a substrate including first and second exposed crystal planes, in accordance with an embodiment of the present invention.
  • Figure 7B illustrates a cross-sectional view of the substrate including first and second crystal planes and having a dielectric layer formed thereon, in accordance with an embodiment of the present invention.
  • Figure 8 illustrates an arrangement of process chambers in a cluster tool, in accordance with an embodiment of the present invention.
  • Figure 9 depicts a Flowchart representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figure 10A illustrates a cross-sectional view of a substrate, in accordance with an embodiment of the present invention.
  • Figure 10B illustrates a cross-sectional view of a substrate having a tunnel dielectric layer formed thereon, corresponding to operation 402 from the Flowchart of
  • Figure IOC illustrates a cross-sectional view of a substrate having a charge-trapping layer formed thereon, corresponding to operation 406 from the Flowchart of Figure 4, in accordance with an embodiment of the present invention.
  • Figure 10D illustrates a cross-sectional view of a substrate having a top dielectric layer formed thereon, corresponding to operation 408 from the Flowchart of
  • Figure 10E illustrates a cross-sectional view of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figure 11 depicts a Flowchart representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figure 12A illustrates a cross-sectional view of a substrate having a tunnel dielectric layer formed thereon, corresponding to operation 602 from the Flowchart of Figure 6, in accordance with an embodiment of the present invention.
  • Figure 12B illustrates a cross-sectional view of a substrate having an oxygen-rich silicon oxy-nitride portion of a charge-trapping layer formed thereon, corresponding to operation 606 from the Flowchart of Figure 6, in accordance with an embodiment of the present invention.
  • Figure 12C illustrates a cross-sectional view of a substrate having a silicon-rich silicon oxy-nitride portion of a charge-trapping layer formed thereon, corresponding to operation 610 from the Flowchart of Figure 6, in accordance with an embodiment of the present invention.
  • Figure 12D illustrates a cross-sectional view of a substrate having a top dielectric layer formed thereon, corresponding to operation 612 from the Flowchart of
  • Figure 12E illustrates a cross-sectional view of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figure 13A illustrates a cross-sectional view of a substrate including first and second exposed crystal planes, in accordance with an embodiment of the present invention.
  • Figure 13B illustrates a cross-sectional view of the substrate including first and second crystal planes and having a dielectric layer formed thereon, in accordance with an embodiment of the present invention.
  • Figure 14 illustrates a cross-sectional view of a nonvolatile charge trap memory device including an ONONO stack.
  • Figure 15 depicts a Flowchart representing a series of operations in a method for fabricating a nonvolatile charge trap memory device including an ONONO stack, in accordance with an embodiment of the present invention.
  • Figure 16A illustrates a non-planar multigate device including a split charge-trapping region.
  • Figure 16B illustrates a cross-sectional view of the non-planar multigate device of Figure. 16A.
  • Figures 17A and 17B illustrate a non-planar multigate device including a split charge-trapping region and a horizontal nanowire channel.
  • Figure 17C illustrates a cross-sectional view of a vertical string of non- planar multigate devices of Figure 17A.
  • Figures 18A and 18B illustrate a non-planar multigate device including a split charge-trapping region and a vertical nanowire channel.
  • Figure 19A through 19F illustrate a gate first scheme for fabricating the non-planar multigate device of Figure 18 A.
  • Figure 20A through 20F illustrate a gate last scheme for fabricating the non-planar multigate device of Figure 18 A.
  • a substrate may first be provided having a charge-trapping layer disposed thereon.
  • a portion of the charge-trapping layer is then oxidized to form a blocking dielectric layer above the charge-trapping layer by exposing the charge-trapping layer to a radical oxidation process.
  • Formation of a dielectric layer by a radical oxidation process may provide higher quality films than processes involving steam growth, i.e. wet growth processes. Furthermore, a radical oxidation process carried out in a batch-processing chamber may provide high quality films without impacting the throughput (wafers/Hr) requirements that a fabrication facility may require.
  • temperatures compatible with such a chamber such as temperatures approximately in the range of 600 - 900 degrees Celsius, the thermal budget tolerated by the substrate and any other features on the substrate may not be impacted to the extent typical of processes over 1000 degrees Celsius.
  • a radical oxidation process involving flowing hydrogen (H2) and oxygen (02) gas into a batch-processing chamber is carried out to effect growth of a dielectric layer by oxidation consumption of an exposed substrate or film.
  • multiple radical oxidation processes are carried out to provide a tunnel dielectric layer and a blocking dielectric layer for a non-volatile charge trap memory device. These dielectric layers may be of very high quality, even at a reduced thickness.
  • the tunnel dielectric layer and the blocking dielectric layer are both denser and are composed of substantially fewer hydrogen atoms/cm3 than a tunnel dielectric layer or a blocking dielectric layer formed by wet oxidation techniques.
  • a dielectric layer formed by carrying out a radical oxidation process is less susceptible to crystal plane orientation differences in the substrate from which it is grown.
  • the cornering effect caused by differential crystal plane oxidation rates is significantly reduced by forming a dielectric layer via a radical oxidation process.
  • a portion of a nonvolatile charge trap memory device may be fabricated by carrying out a radical oxidation process in a process chamber.
  • the process chamber is a batch-processing chamber.
  • Figure 2 illustrates a cross-sectional view of an oxidation chamber of a batch-processing tool, in accordance with that embodiment.
  • a batch-processing chamber 200 includes a carrier apparatus 204 to hold a plurality of semiconductor wafers 202.
  • the batch-processing chamber is an oxidation chamber.
  • the process chamber is a low-pressure chemical vapor deposition chamber.
  • the plurality of semiconductor wafers 202 may be arranged in such a way as to maximize exposure of each wafer to a radical oxidation process, while enabling the inclusion of a reasonable number of wafers (e.g. 25 wafers), to be processed in a single pass. It should be understood, however, that the present invention is not limited to a batch-processing chamber.
  • a portion of a nonvolatile charge trap memory device is fabricated by a radical oxidation process.
  • Figure 3 depicts a Flowchart representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figures 4A- 4B illustrate cross-sectional views representing operations in the fabrication of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figure 4 A illustrates a cross-sectional view of a substrate having a charge trapping layer formed thereon, corresponding to operation 302 from the Flowchart of Figure 3, in accordance with an embodiment of the present invention.
  • a substrate 400 is provided having a charge-trapping layer disposed thereon.
  • the charge-trapping layer has a first region 404 A and a second region 404B disposed above substrate 400.
  • a dielectric layer 402 is disposed between substrate 400 and the charge trapping layer, as depicted in Figure 4A.
  • the charge-trapping layer may be composed of a material and have a thickness suitable to store charge and, hence, change the threshold voltage of a subsequently formed gate stack.
  • region 404A of the charge-trapping layer will remain as an intact charge-trapping layer following subsequent process operations. However, in that embodiment, region 404B of the as-formed charge trapping layer will be consumed to form a second dielectric layer, above region 404A.
  • Figure 4B illustrates a cross-sectional view of a substrate having a charge trapping layer with a blocking dielectric layer formed thereon, corresponding to operation 304 from the Flowchart of Figure 3, in accordance with an embodiment of the present invention.
  • a blocking dielectric layer 406 is formed on charge-trapping layer 404.
  • blocking dielectric layer 406 is formed by oxidizing region 404B of the charge-trapping layer by exposing the charge -trapping layer to a radical oxidation process.
  • region 404 A of the original charge trapping layer is now labeled as charge-trapping layer 404.
  • Blocking dielectric layer 406 may be composed of a material and have a thickness suitable to maintain a barrier to charge leakage without significantly decreasing the capacitance of a subsequently formed gate stack in a nonvolatile charge trap memory device.
  • region 404B is a silicon-rich silicon oxy-nitride region having a thickness approximately in the range of 2 - 3 nanometers and is oxidized to form blocking dielectric layer 406 having a thickness approximately in the range of 3.5 - 4.5 nanometers.
  • blocking dielectric layer 406 is composed of silicon dioxide.
  • Blocking dielectric layer 406 may be formed by a radical oxidation process.
  • the radical oxidation process involves flowing hydrogen (Hz) and oxygen (Oz) gas into a furnace, such as the batch processing chamber 200 described in association with Figure 2.
  • the partial pressures of Hz and Oz have a ratio to one another of approximately 1 : 1.
  • an ignition event is not carried out which would otherwise typically be used to pyrolyze the H 2 and 0 2 to form steam. Instead, H 2 and 0 2 are permitted to react to form radicals at the surface of region 404B.
  • the radicals are used to consume region 404B to provide blocking dielectric layer 406.
  • the radical oxidation process includes oxidizing with a radical such as, but not limited to, an OH radical, an H0 2 radical or an O diradical at a temperature approximately in the range of 600 - 900 degrees Celsius.
  • a radical such as, but not limited to, an OH radical, an H0 2 radical or an O diradical
  • the radical oxidation process is carried out at a temperature approximately in the range of 700 - 800 degrees Celsius at a pressure approximately in the range of 0.5 - 5 Torr.
  • the second radical oxidation process is carried out for a duration approximately in the range of 100- 150 minutes.
  • blocking dielectric layer 406 may be further subjected to a nitridation process in the first process chamber.
  • the nitridation process includes annealing blocking dielectric layer 406 in an atmosphere including nitrogen at a temperature approximately in the range of 700 - 800 degrees Celsius for a duration approximately in the range of 5 minutes - 60 minutes.
  • the atmosphere including nitrogen is composed of a gas such as, but not limited to, nitrogen (N2), nitrous oxide (N20), nitrogen dioxide (N02), nitric oxide (NO) or ammonia (NH3).
  • this nitridation step i.e. operation 306 from Flowchart 300, may be skipped.
  • both a tunnel dielectric layer and a blocking dielectric layer may be formed by radical oxidation processes.
  • Figure 5 depicts a Flowchart 500 representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figures 6A-6E illustrates cross-sectional views representing operations in the fabrication of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figure 6A illustrates a cross-sectional view of a substrate, corresponding to operation 502 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention.
  • a substrate 600 is provided in a process chamber.
  • Substrate 600 may be composed of a material suitable for semiconductor device fabrication.
  • substrate 600 is a bulk substrate composed of a single crystal of a material which may include, but is not limited to, silicon, germanium, silicon-germanium or a III-V compound semiconductor material.
  • substrate 600 includes a bulk layer with a top epitaxial layer.
  • the bulk layer is composed of a single crystal of a material which may include, but is not limited to, silicon, germanium, silicon-germanium, a III-V compound semiconductor material or quartz, while the top epitaxial layer is composed of a single crystal layer which may include, but is not limited to, silicon, germanium, silicon-germanium or a III- V compound semiconductor material.
  • substrate 600 includes a top epitaxial layer on a middle insulator layer which is above a lower bulk layer.
  • the top epitaxial layer is composed of a single crystal layer which may include, but is not limited to, silicon (i.e. to form a silicon-on-insulator (SOI) semiconductor substrate), germanium, silicon-germanium or a III-V compound semiconductor material.
  • the insulator layer is composed of a material which may include, but is not limited to, silicon dioxide, silicon nitride or silicon oxy-nitride.
  • the lower bulk layer is composed of a single crystal which may include, but is not limited to, silicon, germanium, silicon-germanium, a III-V compound semiconductor material or quartz.
  • Substrate 600 may further include dopant impurity atoms.
  • Figure 6B illustrates a cross-sectional view of a substrate having a dielectric layer formed thereon, corresponding to operation 504 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention.
  • substrate 600 is subjected to a first radical oxidation process to form a first dielectric layer 602.
  • First dielectric layer 602 may be composed of a material and have a thickness suitable to allow charge carriers to tunnel into a subsequently formed charge trapping layer under an applied gate bias, while maintaining a suitable barrier to leakage when a subsequently formed nonvolatile charge trap memory device is unbiased.
  • First dielectric layer 602 may be referred to in the art as a tunnel dielectric layer.
  • first dielectric layer 602 is formed by an oxidation process where the top surface of substrate 600 is consumed.
  • first dielectric layer 602 is composed of an oxide of the material of substrate 600.
  • substrate 600 is composed of silicon and first dielectric layer 602 is composed of silicon dioxide.
  • first dielectric layer 602 is formed to a thickness approximately in the range of 1 - 10 nanometers.
  • first dielectric layer 602 is formed to a thickness approximately in the range of 1.5 - 2.5 nanometers.
  • First dielectric layer 602 may be formed by a radical oxidation process.
  • the radical oxidation process involves flowing hydrogen (H2) and oxygen (02) gas into a furnace, such as the batch processing chamber 200 described in association with Figure 2.
  • the partial pressures of Hz and Oz have a ratio to one another of approximately 1 : 1.
  • an ignition event is not carried out which would otherwise typically be used to pyrolyze the Hz and Oz to form steam. Instead, Hz and Oz are permitted to react to form radicals at the surface of substrate 600.
  • the radicals are used to consume the top portion of substrate 600 to provide first dielectric layer 602.
  • the radical oxidation process includes oxidizing with a radical such as, but not limited to, an OH radical, an H0 2 radical or an 0 diradical at a temperature approximately in the range of 600 - 900 degrees Celsius.
  • a radical such as, but not limited to, an OH radical, an H0 2 radical or an 0 diradical at a temperature approximately in the range of 600 - 900 degrees Celsius.
  • the radical oxidation process is carried out at a temperature approximately in the range of700- 800 degrees Celsius at a pressure approximately in the range of0.5 - 5 Torr.
  • the radical oxidation process is carried out for a duration approximately in the range of 100- 150 minutes.
  • first dielectric layer 602 is formed as a high-density, low-hydrogen- content film.
  • first dielectric layer 602 may be subjected to a nitridation process.
  • the nitridation process is carried out in the same process chamber used to form first dielectric layer 502, without removing substrate 600 from the process chamber between process steps.
  • the annealing includes heating substrate 600 in an atmosphere including nitrogen at a temperature approximately in the range of 700 - 800 degrees Celsius for a duration approximately in the range of 5 minutes - 60 minutes.
  • the atmosphere including nitrogen is composed of a gas such as, but not limited to, nitrogen (N 2 ), nitrous oxide (N 2 0), nitrogen dioxide (N0 2 ), nitric oxide (NO) or ammonia (NH 3 ).
  • the nitridation occurs following a nitrogen or argon purge of the process chamber following the first radical oxidation process. Alternatively, the above nitridation step may be skipped.
  • Figure 6C illustrates a cross-sectional view of a substrate having a charge trapping layer formed thereon, corresponding to operation 508 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention.
  • a charge-trapping layer having a first region 604A and a second region 604B is formed on first dielectric layer 602.
  • the formation of the charge-trapping layer is carried out in the same process chamber used to form first dielectric layer 602, without removing substrate 600 from the process chamber between process steps.
  • the charge-trapping layer may be composed of a material and have a thickness suitable to store charge and, hence, change the threshold voltage of a subsequently formed gate stack.
  • the charge-trapping layer is composed of two regions 604A and 604B, as depicted in Figure 6C.
  • region 604 A of the charge-trapping layer will remain as an intact charge-trapping layer following subsequent process operations.
  • region 604B of the as-formed charge-trapping layer will be consumed to form a second dielectric layer, above region 604A.
  • the charge-trapping layer having regions 604A and 604B may be formed by a chemical vapor deposition process.
  • the charge-trapping layer is composed of a material such as, but not limited to, silicon nitride, silicon oxy-nitride, oxygen-rich silicon oxy-nitride or silicon-rich silicon oxy-nitride.
  • regions 604 A and 604B of the charge- trapping layer are formed at a temperature approximately in the range of 600 - 900 degrees Celsius.
  • the charge-trapping layer is formed by using gases such as, but not limited to, dichlorosilane (H 2 SiCl 2 ), bis-(tert-butylamino)silane (BTBAS), ammonia (NH3) or nitrous oxide (N 2 0).
  • gases such as, but not limited to, dichlorosilane (H 2 SiCl 2 ), bis-(tert-butylamino)silane (BTBAS), ammonia (NH3) or nitrous oxide (N 2 0).
  • the charge trapping layer is formed to a total thickness approximately in the range of 5 - 15 nanometers and region 604B accounts for a thickness approximately in the range of 2- 3 nanometers of the total thickness of the charge-trapping layer.
  • region 604A accounts for the remaining total thickness of the charge-trapping layer, i.e. region 604A accounts for the portion of the charge-trapping layer that is not subsequently consumed to form a top or blocking dielectric layer.
  • the charge-trapping layer may include multiple composition regions.
  • the charge-trapping layer includes an oxygen-rich portion and a silicon-rich portion and is formed by depositing an oxygen-rich oxy-nitride film by a first composition of gases and, subsequently, depositing a silicon-rich oxy-nitride film by a second composition of gases.
  • the charge-trapping layer is formed by modifying the flow rate of ammonia (NH3) gas, and introducing nitrous oxide (N20) and dichlorosilane (SiH2Cb) to provide the desired gas ratios to yield first an oxygen-rich oxy-nitride film and then a silicon-rich oxy-nitride film.
  • the oxygen-rich oxy-nitride film is formed by introducing a process gas mixture including N20, NH3 and SiH2Cb, while maintaining the process chamber at a pressure approximately in the range of 5- 500 mTorr, and maintaining substrate 600 at a temperature approximately in the range of 700 - 850 degrees Celsius, for a period approximately in the range of 2.5 - 20 minutes.
  • the process gas mixture includes N 2 0 and NH 3 having a ratio of from about 8: 1 to about 1 :8 and SiH 2 Cl 2 and NH 3 having a ratio of from about 1 :7 to about 7: 1, and can be introduced at a flow rate approximately in the range of 5 - 200 standard cubic centimeters per minute (seem).
  • the silicon-rich oxy-nitride film is formed by introducing a process gas mixture including N20, NH3 and SiH2Cb, while maintaining the chamber at a pressure approximately in the range of 5 - 500 mTorr, and maintaining substrate 600 at a temperature approximately in the range of 700 - 850 degrees Celsius, for a period approximately in the range of2.5- 20 minutes.
  • the process gas mixture includes N 20 and NH3 having a ratio of from about 8: 1 to about 1 :8 and SiH2Cb and NH3 mixed in a ratio of from about 1 :7 to about 7: 1, introduced at a flow rate of from about 5 to about 20 seem.
  • the charge-trapping layer comprises a bottom oxygen-rich silicon oxy-nitride portion having a thickness approximately in the range of2.5- 3.5 nanometers and a top silicon-rich silicon oxy-nitride portion having a thickness approximately in the range of 9 - 10 nanometers.
  • a region 504B of charge-trapping layer accounts for a thickness approximately in the range of 2 - 3 nanometers of the total thickness of the top silicon-rich silicon oxy-nitride portion of the charge-trapping layer.
  • region 604B which is targeted for subsequent consumption to form a second dielectric layer, may be composed entirely of silicon-rich silicon oxy-nitride.
  • Figure 6D illustrates a cross-sectional view of a substrate having a second dielectric layer formed thereon, corresponding to operation 510 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention.
  • a second dielectric layer 606 is formed on charge-trapping layer 604.
  • the formation of second dielectric layer 606 is carried out in the same process chamber used to form first dielectric layer 602 and the charge-trapping layer, without removing substrate 600 from the process chamber between process steps.
  • the second radical oxidation process is carried out following a nitrogen or argon purge of the process chamber following the deposition of the charge-trapping layer.
  • Second dielectric layer 606 may be composed of a material and have a thickness suitable to maintain a barrier to charge leakage without significantly decreasing the capacitance of a subsequently formed gate stack in a nonvolatile charge trap memory device. Second dielectric layer 606 may be referred to in the art as a blocking dielectric layer or a top dielectric layer. In accordance with an embodiment of the present invention, second dielectric layer 606 is formed by consuming region 604B of the charge- trapping layer formed in operation 508, described in association with Figure 6C. Thus, in one embodiment, region 604B is consumed to provide second dielectric layer 606, while region 604 A remains a charge-trapping layer 604.
  • region 604B is a silicon-rich silicon oxy-nitride region having a thickness approximately in the range of 2 - 3 nanometers and is oxidized to form second dielectric layer 606 having a thickness approximately in the range of 3.5- 4.5 nanometers.
  • second dielectric layer 606 is composed of silicon dioxide.
  • second dielectric layer 606 is formed by a second radical oxidation process, similar to the radical oxidation process carried out to form blocking dielectric layer 406, described in association with Figure 4B.
  • second dielectric layer 606 is further subjected to a nitridation process similar to the nitridation process described in association with operation 506 from Flowchart 500.
  • the nitridation occurs following a nitrogen or argon purge of the process chamber following the second radical oxidation process. Alternatively, this nitridation step may be skipped.
  • no additional deposition processes are used in the formation of second dielectric layer 606.
  • ONO stack including first dielectric layer 602, charge-trapping layer 604 and second dielectric layer 606 is formed in a single pass in a process chamber. By fabricating these layers in a single pass of multiple wafers in the process chamber, high throughput requirements may be met while still ensuring the formation of very high quality films.
  • a nonvolatile charge trap memory device may be fabricated to include a patterned portion of the ONO stack.
  • Figure 6E illustrates a cross-sectional view of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • a nonvolatile charge trap memory device includes a patterned portion of the ONO stack formed over substrate 600.
  • the ONO stack includes first dielectric layer 602, charge-trapping layer 604 and second dielectric layer 606.
  • a gate layer 608 is disposed on second dielectric layer 606.
  • the nonvolatile charge trap memory device further includes source and drain regions 612 in substrate 600 on either side of the ONO stack, defining a channel region 614 in substrate 600 underneath the ONO stack.
  • a pair of dielectric spacers 610 isolates the sidewalls of first dielectric layer 602, charge-trapping layer 604, second dielectric layer 606 and gate layer 608.
  • channel region 614 is doped P-type and, in an alternative embodiment, channel region 614 is doped N-type.
  • the nonvolatile charge trap memory device described in association with Figure 6E is a SONOS-type device.
  • SONOS stands for “Semiconductor-Oxide-Nitride- Oxide- Semiconductor," where the first “Semiconductor” refers to the channel region material, the first “Oxide” refers to the tunnel dielectric layer, “Nitride” refers to the charge-trapping dielectric layer, the second “Oxide” refers to the top dielectric layer (also known as a blocking dielectric layer) and the second “Semiconductor” refers to the gate layer.
  • first dielectric layer 602 is a tunnel dielectric layer and second dielectric layer 606 is a blocking dielectric layer.
  • Gate layer 608 may be composed of any conductor or semiconductor material suitable for accommodating a bias during operation of a SON OS-type transistor.
  • gate layer 608 is formed by a chemical vapor deposition process and is composed of doped poly-crystalline silicon.
  • gate layer 608 is formed by physical vapor deposition and is composed of a metal-containing material which may include, but is not limited to, metal nitrides, metal carbides, metal silicides, hafnium, zirconium, titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt or nickel.
  • Source and drain regions 612 in substrate 600 may be any regions having opposite conductivity to channel region 614.
  • source and drain regions 612 are N-type doped regions while channel region 614 is a P-type doped region.
  • substrate 600 and, hence, channel region 614 is composed of boron-doped single-crystal silicon having a boron concentration in the range of 1 x 10 15 - 1 x 10 19 atoms/cm 3 .
  • source and drain regions 612 are composed of phosphorous- or arsenic doped regions having a concentration of N-type dopants in the range of 5 x 10 16 - 5 x 10 19 atoms/cm 3 .
  • source and drain regions 612 have a depth in substrate 600 in the range of 80 - 200 nanometers.
  • source and drain regions 612 are P-type doped regions while channel region 614 is an N-type doped region.
  • a dielectric layer formed by radical oxidation of the top surface of a substrate in an oxidation chamber may be less susceptible to crystal plane orientation differences in the substrate upon which it is grown.
  • Figure 7 A illustrates a cross-sectional view of a substrate including first and second exposed crystal planes, in accordance with an embodiment of the present invention.
  • a substrate 700 has isolation regions 702 formed thereon.
  • Substrate 700 may be composed of a material described in association with substrate 600 from Figure 6A.
  • Isolation regions 702 may be composed of an insulating material suitable for adhesion to substrate 700.
  • An exposed portion of substrate 700 extends above the top surface of isolation regions 702.
  • the exposed portion of substrate 700 has a first exposed crystal plane 704 and a second exposed crystal plane 706.
  • the crystal orientation of first exposed crystal plane 704 is different from the crystal orientation of second exposed crystal plane 706.
  • substrate 700 is composed of silicon, first exposed crystal plane 704 has ⁇ 1 00> orientation, and second exposed crystal plane 706 has ⁇ 110> orientation.
  • Substrate 700 may be subjected to a radical oxidation process to form a dielectric layer by consuming (oxidizing) the top surface of substrate 700.
  • the oxidizing of substrate 700 by a radical oxidation process includes oxidizing with a radical selected from the group consisting of an OH radical, an H02 radical or an 0 diradical.
  • Figure 7B illustrates a cross-sectional view of substrate 700 including first and second crystal planes 704 and 706, respectively, and having a dielectric layer 708 formed thereon, in accordance with an embodiment of the present invention.
  • first portion 708A of dielectric layer 708 is formed on first exposed crystal plane 704 and a second portion 708B of dielectric layer 708 is formed on second exposed crystal plane 706, as depicted in Figure 7B.
  • the thickness TlOf first portion 708 A of dielectric layer 708 is approximately equal to the thickness T2 of second portion 708B of dielectric layer 708, even though the crystal plane orientation of first exposed crystal plane 704 and second exposed crystal plane 706 differ.
  • the radical oxidation of substrate 700 is carried out at a temperature approximately in the range of 600 - 900 degrees Celsius.
  • the radical oxidation of substrate 700 is carried out at a temperature approximately in the range of 700 - 800 degrees Celsius at a pressure approximately in the range of0.5- 5 Torr.
  • a method for fabricating a nonvolatile charge trap memory device has been disclosed.
  • a substrate is provided having a charge-trapping layer disposed thereon.
  • a portion of the charge-trapping layer is then oxidized to form a blocking dielectric layer above the charge-trapping layer by exposing the charge-trapping layer to a radical oxidation process.
  • a cluster tool to carry out a radical oxidation process.
  • a substrate may first be subjected to a first radical oxidation process to form a first dielectric layer in a first process chamber of a cluster tool.
  • a charge-trapping layer is then deposited above the first dielectric layer in a second process chamber of the cluster tool.
  • the charge-trapping layer may then be subjected to a second radical oxidation process to form a second dielectric layer above the charge-trapping layer.
  • the second dielectric layer is formed by oxidizing a portion of the charge-trapping layer in the first process chamber of the cluster tool.
  • the cluster tool is a single-wafer cluster tool.
  • Formation of a dielectric layer in a chamber of a cluster tool may permit the growth of the dielectric layer at temperatures higher than normally achievable in batch processing chambers.
  • a radical oxidation process may be carried out in the chamber of the cluster tool as the primary pathway for growing the dielectric layer.
  • a radical oxidation process involving flowing hydrogen (H2) and oxygen (02) gas into an oxidation chamber of a cluster tool is carried out to effect growth of a dielectric layer by oxidation consumption of an exposed substrate or film.
  • multiple radical oxidation processes are carried out in an oxidation chamber of a cluster tool to provide a tunnel dielectric layer and a blocking dielectric layer for a non-volatile charge trap memory device.
  • the tunnel dielectric layer and the blocking dielectric layer are both denser and are composed of substantially fewer hydrogen atoms/cm3 than a tunnel dielectric layer or a blocking dielectric layer formed in a batch process chamber.
  • the substrate upon which a tunnel dielectric layer and a blocking dielectric layer are formed may be exposed to a shorter temperature ramp rate and stabilization time in an oxidation chamber of a cluster tool as compared with a batch process chamber.
  • the impact on the thermal budget of the substrate is reduced by employing a radical oxidation process in an oxidation chamber of a cluster tool.
  • a dielectric layer formed by carrying out a radical oxidation process in an oxidation chamber of a cluster tool is less susceptible to crystal plane orientation differences in the substrate from which it is grown.
  • the cornering effect caused by differential crystal plane oxidation rates is significantly reduced by forming a dielectric layer via a radical oxidation process carried out in an oxidation chamber of a cluster tool.
  • FIG. 8 illustrates an arrangement of process chambers in a cluster tool, in accordance with an embodiment of the present invention.
  • an arrangement of process chambers in a cluster tool 800 includes a transfer chamber 802, a first process chamber 804, a second process chamber 806 and a third process chamber 808.
  • transfer chamber 802 is for receiving a wafer from an external environment for introduction into cluster tool 800.
  • each of the process chambers 802, 804 and 806 are arranged in a way such that a wafer may be passed back-and forth between these chambers and transfer chamber 802, as depicted by the double-headed arrows in Figure 8.
  • cluster tool 800 may be configured such that a wafer can be transferred directly between any pairing of process chambers 802, 804 or 806.
  • Cluster tool 800 may be any cluster tool for which an outside environment is excluded in and between process chambers 804, 806 and 808 and transfer chamber 802.
  • An example of such a cluster tool is the Centura ® platform commercially available from Applied Materials, Inc., located in Santa Clara, CA.
  • a vacuum of less than approximately 100 mTorr is maintained in cluster tool 800.
  • cluster tool 800 incorporates a chuck (or multiple chucks, e.g., one chuck for each chamber) upon which the flat surface, as opposed to the edge surface, of a wafer rests on the chuck for processing and transfer events.
  • a chuck or multiple chucks, e.g., one chuck for each chamber
  • cluster tool 800 is a single-wafer cluster tool.
  • Process chambers 802, 804 and 806 may include, but are not limited to, oxidation chambers, low-pressure chemical vapor deposition chambers, or a combination thereof.
  • first process chamber 804 is a first oxidation chamber
  • second process chamber 806 is a low- pressure chemical vapor deposition chamber
  • third process chamber 808 is a second oxidation chamber.
  • An example of an oxidation chamber is the In-Situ Steam Generation (ISSG) chamber from Applied Materials, Inc.
  • Examples of low-pressure chemical vapor deposition chambers include a SiNgenTM chamber and an OXYgenTM chamber from Applied Materials, Inc.
  • a chuck used for carrying a single wafer may be heated to heat the wafer.
  • a chuck is used to heat a wafer to the desired process temperature.
  • FIG. 9 depicts a Flowchart 900 representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figures 10A-10E illustrates cross-sectional views representing operations in the fabrication of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • a substrate 1000 is provided in a cluster tool.
  • substrate 1000 is provided in a transfer chamber, such as transfer chamber 802 described in association with Figure 8.
  • Substrate 1000 may be composed of any material suitable for semiconductor device fabrication.
  • substrate 1000 is a bulk substrate composed of a single crystal of a material which may include, but is not limited to, silicon, germanium, silicon-germanium or a III-V compound semiconductor material.
  • substrate 1000 includes a bulk layer with a top epitaxial layer.
  • the bulk layer is composed of a single crystal of a material which may include, but is not limited to, silicon, germanium, silicon-germanium, a III-V compound semiconductor material or quartz, while the top epitaxial layer is composed of a single crystal layer which may include, but is not limited to, silicon, germanium, silicon-germanium or a III-V compound semiconductor material.
  • substrate 1000 includes a top epitaxial layer on a middle insulator layer which is above a lower bulk layer.
  • the top epitaxial layer is composed of a single crystal layer which may include, but is not limited to, silicon (i.e. to form a silicon-on-insulator (SOI) semiconductor substrate), germanium, silicon-germanium or a III-V compound semiconductor material.
  • the insulator layer is composed of a material which may include, but is not limited to, silicon dioxide, silicon nitride or silicon oxy-nitride.
  • the lower bulk layer is composed of a single crystal which may include, but is not limited to, silicon, germanium, silicon-germanium, a III-V compound semiconductor material or quartz.
  • Substrate 1000 may further include dopant impurity atoms.
  • Figure 10B illustrates a cross-sectional view of a substrate having a tunnel dielectric layer formed thereon, corresponding to operation 902 from the Flowchart of Figure 9, in accordance with an embodiment of the present invention.
  • substrate 1000 is subjected to a first radical oxidation process in a first process chamber of the cluster tool to form a first dielectric layer 1002.
  • First dielectric layer 1002 may be composed of a material and have a thickness suitable to allow charge carriers to tunnel into a subsequently formed charge trapping layer under an applied gate bias, while maintaining a suitable barrier to leakage when a subsequently formed nonvolatile charge trap memory device is unbiased.
  • first dielectric layer 1002 is formed by an oxidation process where the top surface of substrate 1000 is consumed.
  • first dielectric layer 1002 is composed of an oxide of the material of substrate 1000.
  • substrate 1000 is composed of silicon and first dielectric layer 1002 is composed of silicon dioxide.
  • first dielectric layer 1002 is formed to a thickness approximately in the range of 1 - 10 nanometers. In a particular embodiment, first dielectric layer 1002 is formed to a thickness approximately in the range of 1.5 - 2.5 nanometers.
  • First dielectric layer 1002 may be formed by a radical oxidation process.
  • the radical oxidation process involves flowing hydrogen (Hz) and oxygen (Oz) gas into an oxidation chamber, such as the oxidation chambers 804 or 808 described in association with Figure 8.
  • the partial pressures of Hz and Oz have a ratio to one another approximately in the range of 1 :50- 1 :5.
  • an ignition event is not carried out which would otherwise typically be used to pyrolyze the Hz and Oz to form steam.
  • Hz and Oz are permitted to react to form radicals at the surface of substrate 1000.
  • the radicals are used to consume the top portion of substrate 1000 to provide first dielectric layer 1002.
  • the radical oxidation process includes oxidizing with a radical such as, but not limited to, an OH radical, an H0 2 radical or an O diradical.
  • a radical such as, but not limited to, an OH radical, an H0 2 radical or an O diradical.
  • the radical oxidation process is carried out at a temperature approximately in the range of 950 - 1100 degrees Celsius at a pressure approximately in the range of 5 - 15 Torr.
  • the radical oxidation process is carried out for a duration of approximately in the range of 1 - 3 minutes.
  • first dielectric layer 1002 is formed as a high-density, low-hydrogen-content film.
  • first dielectric layer 1002 may be subjected to a nitridation process.
  • the nitridation process is carried out in the same process chamber used to form first dielectric layer 1002.
  • first dielectric layer 1002 is annealed in the first process chamber, wherein the annealing includes heating substrate 1000 in an atmosphere including nitrogen at a temperature approximately in the range of 900 - 1100 degrees Celsius for a duration approximately in the range of 30 seconds - 60 seconds.
  • the atmosphere including nitrogen is composed of a gas such as, but not limited to, nitrogen (N2), nitrous oxide (N20), nitrogen dioxide (N02), nitric oxide (NO) or ammonia (NH3).
  • N2 nitrogen
  • N20 nitrous oxide
  • N02 nitrogen dioxide
  • NO nitric oxide
  • NH3 ammonia
  • the nitridation occurs in a separate process chamber. Alternatively, this nitridation step may be skipped.
  • Figure IOC illustrates a cross-sectional view of a substrate having a charge-trapping layer formed thereon, corresponding to operation 906 from the Flowchart of Figure 9, in accordance with an embodiment of the present invention.
  • a charge-trapping layer having a first region 1004A and a second region 1004B is formed on first dielectric layer 1002 in the second process chamber of a cluster tool.
  • the charge-trapping layer may be composed of a material and have a thickness suitable to store charge and, hence, change the threshold voltage of a subsequently formed gate stack.
  • the charge-trapping layer is composed of two regions 1004A and 1004B, as depicted in Figure IOC.
  • region 1 004 A of the charge-trapping layer will remain as an intact charge-trapping layer following subsequent process operations.
  • region 1004 B of the as-formed charge-trapping layer will be consumed to form a second dielectric layer, above region 1004A.
  • regions 1004A and 1004B of the charge-trapping layer are formed in the same process step and are composed of the same material.
  • the charge-trapping layer having regions 1004A and 1004B may be formed by a chemical vapor deposition process.
  • the charge-trapping layer is composed of a material such as, but not limited to, silicon nitride, silicon oxy-nitride, oxygen-rich silicon oxy-nitride or silicon- rich silicon oxynitride.
  • the charge-trapping layer is formed on first dielectric layer 1002 in a low-pressure chemical vapor deposition chamber, such as the SiNgen TM low-pressure chemical vapor deposition chamber described in association with process chamber 806 from Figure 8.
  • the second process chamber is a low-pressure chemical vapor deposition chamber and regions 1004A and 1004B of the charge-trapping layer are formed at a temperature less than the temperature used to form first dielectric layer 1002. In a specific embodiment, regions 1004A and 1004B of the charge-trapping layer are formed at a temperature approximately in the range of 700 - 850 degrees Celsius.
  • the second process chamber is a low-pressure chemical vapor deposition chamber and the charge-trapping layer is formed by using gases such as, but not limited to, dichlorosilane (H 2 SiCl 2 ), bis-(tert-butylamino) silane (BTBAS), ammonia (NH 3 ) or nitrous oxide (N 2 0).
  • the charge-trapping layer is formed to a total thickness approximately in the range of 5 - 15 nanometers and region 1004 B accounts for a thickness approximately in the range of 2 - 3 nanometers of the total thickness of the charge-trapping layer.
  • region 1 004A accounts for the remaining total thickness of the charge-trapping layer, i.e. the portion of the charge-trapping layer that is not subsequently consumed to form a top or blocking dielectric layer.
  • the charge-trapping layer may include multiple composition regions.
  • the charge-trapping layer includes an oxygen-rich portion and a silicon-rich portion and is formed by depositing an oxygen-rich oxy-nitride film by a first composition of gases in the second process chamber and, subsequently, depositing a silicon-rich oxy-nitride film by a second composition of gases in the second process chamber.
  • the charge-trapping layer is formed by modifying the flow rate of ammonia (NH3) gas, and introducing nitrous oxide (N20) and dichlorosilane (SiH2Cb) to provide the desired gas ratios to yield first an oxygen-rich oxy-nitride film and then a silicon-rich oxy-nitride film.
  • the oxygen-rich oxynitride film is formed by introducing a process gas mixture including N20, NH3 and SiH2Cb, while maintaining the chamber at a pressure approximately in the range of0.5- 500 Torr, and maintaining substrate 1000 at a temperature approximately in the range of 700 - 850 degrees Celsius, for a period approximately in the range of 2.5 - 20 minutes.
  • the process gas mixture includes N20 and NH3 having a ratio of from about 8: 1 to about 1 :8 and SiH2Cb and NH3 having a ratio of from about 1 :7 to about 7: 1, and can be introduced at a flow rate approximately in the range of 5 - 200 standard cubic centimeters per minute (seem).
  • the silicon-rich oxy-nitride film is formed by introducing a process gas mixture including N20, NH3 and SiH2Cb, while maintaining the chamber at a pressure approximately in the range of0.5- 500 Torr, and maintaining substrate 1000 at a temperature approximately in the range of 700 - 850 degrees Celsius, for a period approximately in the range of 2.5 - 20 minutes.
  • the process gas mixture includes N20 and NH3 having a ratio of from about 8: 1 to about 1 :8 and SiH2Cb and NH3 mixed in a ratio of from about 1 :7 to about 7: 1, introduced at a flow rate of from about 5 to about 20 seem.
  • the charge-trapping layer comprises a bottom oxygen-rich silicon oxy-nitride portion having a thickness approximately in the range of2.5- 3.5 nanometers and a top silicon-rich silicon oxynitride portion having a thickness approximately in the range of 9 - 10 nanometers.
  • a region 1 004B of charge-trapping layer accounts for a thickness approximately in the range of 2-3 nanometers of the total thickness of the top silicon-rich silicon oxy-nitride portion of the charge-trapping layer.
  • region 1004B which is targeted for subsequent consumption to form a second dielectric layer, may be composed entirely of silicon-rich silicon oxy-nitride.
  • Figure 10D illustrates a cross-sectional view of a substrate having a top dielectric layer formed thereon, corresponding to operation 908 from the Flowchart of Figure 9, in accordance with an embodiment of the present invention.
  • a second dielectric layer 1006 is formed on charge-trapping layer 1004 in the first process chamber of the cluster tool.
  • Second dielectric layer 1006 may be composed of a material and have a thickness suitable to maintain a barrier to charge leakage without significantly decreasing the capacitance of a subsequently formed gate stack in a nonvolatile charge trap memory device.
  • second dielectric layer 1006 is formed by consuming region 1004B of the charge trapping layer formed in operation 906, described in association with Figure IOC.
  • region 1004B is consumed to provide second dielectric layer 1006, while region 1004A remains a charge-trapping layer 1004.
  • region 1004B is a silicon-rich silicon oxy-nitride region having a thickness approximately in the range of 2 - 3 nanometers and is oxidized to form second dielectric layer 1006 having a thickness approximately in the range of3.5- 4.5 nanometers.
  • second dielectric layer 1006 is composed of silicon dioxide.
  • Second dielectric layer 1006 may be formed by a second radical oxidation process.
  • the second radical oxidation process involves flowing hydrogen (Hz) and oxygen (Oz) gas into an oxidation chamber, such as the oxidation chambers 804 or 808 described in association with Figure 8.
  • the partial pressures of Hz and Oz have a ratio to one another approximately in the range of 1 :50- 1 :5.
  • an ignition event is not carried out which would otherwise typically be used to pyrolyze the Hz and Oz to form steam. Instead, Hz and Oz are permitted to react to form radicals at the surface of region 1 004 B.
  • the radicals are used to consume region 1 004 B to provide second dielectric layer 1006.
  • the second radical oxidation process includes oxidizing with a radical such as, but not limited to, an OH radical, an H0 2 radical or an O diradical.
  • the second radical oxidation process is carried out at a temperature approximately in the range of 950 - 1100 degrees Celsius at a pressure approximately in the range of 5 - 15 Torr.
  • the second radical oxidation process is carried out for a duration approximately in the range of 1 - 3 minutes.
  • first dielectric layer 1002 is formed as a high-density, low-hydrogen content film.
  • no additional deposition step is required to form a complete second dielectric layer 1006, as depicted in Figure 10D and shown in Flowchart 900.
  • the second radical oxidation process may be carried out in the same, i.e. first, chamber as the first radical oxidation process used to form first dielectric layer 1002 or in a different, e.g. third, process chamber of the cluster tool.
  • reference to a first process chamber can be used to mean reintroduction into the first process chamber or to mean introduction into a process chamber different from the first process chamber.
  • second dielectric layer 1006 may be further subjected to a nitridation process in the first process chamber.
  • the nitridation process includes annealing second dielectric layer 1006 in an atmosphere including nitrogen at a temperature approximately in the range of 900 - 1100 degrees Celsius for a duration approximately in the range of 30 seconds - 60 seconds.
  • the atmosphere including nitrogen is composed of a gas such as, but not limited to, nitrogen (N2), nitrous oxide (N20), nitrogen dioxide (N02), nitric oxide (NO) or ammonia (NH3).
  • this nitridation step i.e. operation 910 from Flowchart 900, may be skipped and the wafer unloaded from the cluster tool.
  • ONO stack including first dielectric layer 1002, charge-trapping layer 1004 and second dielectric layer 1006 is formed in a single pass in a cluster tool.
  • first dielectric layer 1002, charge-trapping layer 1004 and second dielectric layer 1006 are formed without breaking vacuum in the cluster tool.
  • each layer is formed at a different temperature to tailor film properties without incurring significant ramp time penalties.
  • the variability in thickness of the stack of layers 1002, 1004 and 1006 across a single wafer may be reduced by as much as approximately 30%.
  • lcr is approximately in the range of 1 - 2% of the thickness of first dielectric layer 1002.
  • the cluster tool is a single-wafer cluster tool.
  • a nonvolatile charge trap memory device may be fabricated to include a patterned portion of the ONO stack.
  • Figure 10E illustrates a cross-sectional view of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • a nonvolatile charge trap memory device includes a patterned portion of the ONO stack formed over substrate 1000.
  • the ONO stack includes first dielectric layer 1002, charge-trapping layer 1004 and second dielectric layer 1006.
  • a gate layer 1008 is disposed on second dielectric layer 1006.
  • the nonvolatile charge trap memory device further includes source and drain regions 1012 in substrate 1000 on either side of the ONO stack, defining a channel region 1014 in substrate 1000 underneath the ONO stack.
  • a pair of dielectric spacers 1010 isolates the sidewalls of first dielectric layer 1002, charge-trapping layer 1004, second dielectric layer 1006 and gate layer 1008.
  • channel region 1014 is doped P-type and, in an alternative embodiment, channel region 1014 is doped N-type.
  • the nonvolatile charge trap memory device described in association with Figure 10E is a SONOS-type device.
  • SONOS stands for “Semiconductor-Oxide-Nitride- Oxide- Semiconductor," where the first “Semiconductor” refers to the channel region material, the first “Oxide” refers to the tunnel dielectric layer, “Nitride” refers to the charge-trapping dielectric layer, the second “Oxide” refers to the top dielectric layer (also known as a blocking dielectric layer) and the second “Semiconductor” refers to the gate layer.
  • first dielectric layer 1002 is a tunnel dielectric layer and second dielectric layer 1006 is a blocking dielectric layer.
  • Gate layer 1008 may be composed of any conductor or semiconductor material suitable for accommodating a bias during operation of a SON OS-type transistor. In accordance with an embodiment of the present invention, gate layer 1008 is formed by a chemical vapor deposition process and is composed of doped poly-crystalline silicon.
  • gate layer 1008 is formed by physical vapor deposition and is composed of a metal-containing material which may include, but is not limited to, metal nitrides, metal carbides, metal silicides, hafnium, zirconium, titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt or nickel.
  • a metal-containing material which may include, but is not limited to, metal nitrides, metal carbides, metal silicides, hafnium, zirconium, titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt or nickel.
  • Source and drain regions 1012 in substrate 1000 may be any regions having opposite conductivity to channel region 1014.
  • source and drain regions 1012 are N-type doped regions while channel region 1014 is a P-type doped region.
  • substrate 1000 and, hence, channel region 1014 is composed of boron-doped single crystal silicon having a boron concentration in the range of 1 x 10 15 - 1 x 10 19 atoms/cm 3 .
  • source and drain regions 1012 are composed of phosphorous- or arsenic-doped regions having a concentration of N -type dopants in the range of 5 x 10 16 - 5 x 10 19 atoms/cm 3 .
  • source and drain regions 1012 have a depth in substrate 1000 in the range of 80 - 200 nanometers.
  • source and drain regions 1012 are P- type doped regions while channel region 1014 is an-N-type doped region.
  • a charge-trapping layer may include multiple composition regions, where the composition region closest to a tunnel dielectric layer is subjected to a radical oxidation process.
  • Figure 11 depicts a Flowchart 1100 representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figures 12A -12E illustrate cross-sectional views representing operations in the fabrication of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • Figure 12A illustrates a cross-sectional view of a substrate having a first dielectric layer formed thereon, corresponding to operation 1102 from the Flowchart of Figure 11, in accordance with an embodiment of the present invention.
  • substrate 1200 is subjected to a first radical oxidation process in a first process chamber of a cluster tool to form a first dielectric layer 1202.
  • Substrate 1200 and first dielectric layer 1202 may be composed of materials described in association with substrate 1 000 and first dielectric layer 1002 from Figures 10A and 10B, respectively.
  • the radical oxidation process used to form first dielectric layer 1202 may be similar to the radical oxidation process used to form first dielectric layer 1002, described in association with Figure 10B.
  • first dielectric layer 1202 may be subjected to a nitridation process.
  • the nitridation process may be similar to the nitridation process described in association with operation 904 of Flowchart 900.
  • the nitridation process is carried out in the same process chamber used to form first dielectric layer 1202.
  • the nitridation occurs in a separate process chamber. Alternatively, this nitridation step may be skipped.
  • Figure 12B illustrates a cross-sectional view of a substrate having an oxygen-rich silicon oxy-nitride portion of a charge-trapping layer formed thereon, corresponding to operation 1106 from the Flowchart of Figure 11, in accordance with an embodiment of the present invention.
  • an oxygen-rich silicon oxy-nitride portion 1204A is formed on first dielectric layer 1202 in a second process chamber of the cluster tool.
  • Oxygen-rich silicon oxy-nitride portion 1204 A may be composed of an oxygen-rich silicon oxynitride material and formed by a technique described in association with first region 1 004A from Figure IOC.
  • oxygen-rich silicon oxy-nitride portion 1204 A is subjected to a second radical oxidation process in the first process chamber of the cluster tool.
  • the second radical oxidation process may be similar to one of the radical oxidation processes used to form first dielectric layer 1002 or second dielectric layer 1006, described in association with Figures 10B and 10D, respectively.
  • carrying out the second radical oxidation process is made possible because oxygen-rich silicon oxy-nitride portion 1204 A is maintained in the environment within the tool and thus retains a pristine surface.
  • the second radical oxidation process densities oxygen-rich silicon oxy-nitride portion 1204 A.
  • the second radical oxidation process may be carried out in the same, i.e. first, chamber as the radical oxidation process used to form first dielectric layer 1202 or in a different, e.g. third, process chamber.
  • reference to a first process chamber can be used to mean reintroduction into the first process chamber or to mean introduction into a process chamber different from the first process chamber.
  • Figure 12C illustrates a cross-sectional view of a substrate having a silicon-rich silicon oxy-nitride portion of a charge-trapping layer formed thereon, corresponding to operation 1110 from the Flowchart of Figure 11, in accordance with an embodiment of the present invention.
  • a silicon-rich silicon oxy-nitride portion having a first region 1204B and a second region 1204C is formed on oxygen-rich silicon oxy-nitride portion 1204A in the second process chamber of the cluster tool.
  • the silicon-rich silicon oxynitride portion may be composed of a silicon-rich silicon oxy-nitride material and formed by a technique described in association with second region 1004B from Figure IOC.
  • the deposition of silicon-rich silicon oxy-nitride portion of the charge-trapping layer may be carried out in the same, i.e. second, chamber as the deposition of oxygen-rich silicon oxy-nitride portion 1204 A of the charge-trapping layer or in a different process chamber.
  • reference to a second process chamber can be used to mean reintroduction into the second process chamber or to mean introduction into a process chamber different from the second process chamber.
  • Figure 12D illustrates a cross-sectional view of a substrate having a top dielectric layer formed thereon, corresponding to operation 1112 from the Flowchart of Figure 11, in accordance with an embodiment of the present invention.
  • a second dielectric layer 1206 is formed on charge-trapping layer 1204 in the first process chamber of the cluster tool.
  • second dielectric layer 1206 is formed by consuming second region 1204C of the silicon-rich silicon oxy- nitride portion by a third radical oxidation process.
  • the remaining charge-trapping layer 1204 between first dielectric layer 1202 and second dielectric layer 1204 is composed of oxygen-rich silicon oxy-nitride portion 1204 A and first region 1204B of the silicon-rich silicon oxy-nitride portion 1204, as depicted in Figure 12D.
  • the third radical oxidation process used to consume second region 1204C of the silicon-rich silicon oxy-nitride portion to provide second dielectric layer 1206 may be similar to the radical oxidation process used to form second dielectric layer 1006, described in association with Figure 10D.
  • the third radical oxidation process may be carried out in the same, i.e.
  • first, chamber as the radical oxidation process used to form first dielectric layer 1202 or in a different, e.g. third, process chamber.
  • reference to a first process chamber can be used to mean reintroduction into the first process chamber or to mean introduction into a process chamber different from the first process chamber.
  • second dielectric layer 1206 may be further subjected to a nitridation process in the first process chamber.
  • the nitridation process may be similar to the nitridation process described in association with operation 910 from Flowchart 900.
  • the nitridation process is carried out in the same process chamber used to form second dielectric layer 1206.
  • the nitridation occurs in a separate process chamber. Alternatively, this nitridation step may be skipped.
  • a nonvolatile charge trap memory device may be fabricated to include a patterned portion of the ONO stack.
  • Figure 12E illustrates a cross-sectional view of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
  • a nonvolatile charge trap memory device includes a patterned portion of the ONO stack formed over substrate 1200.
  • the ONO stack includes first dielectric layer 1202, charge-trapping layer 1204 and second dielectric layer 1206.
  • a gate layer 1208 is disposed on second dielectric layer 1206.
  • the nonvolatile charge trap memory device further includes source and drain regions 1212 in substrate 1200 on either side of the ONO stack, defining a channel region 1214 in substrate 1200 underneath the ONO stack.
  • a pair of dielectric spacers 1210 isolates the sidewalls of first dielectric layer 1202, charge-trapping layer 1204, second dielectric layer 1206 and gate layer 1208.
  • charge-trapping layer 1204 is composed of an oxygen-rich silicon oxy-nitride portion 1204A and a silicon-rich silicon oxy-nitride portion 1204B, as depicted in Figure 12E.
  • the nonvolatile charge trap memory device is a SONOS-type device.
  • Gate layer 1208, source and drain regions 1212 and channel region 1214 may be composed of materials described in association with gate layer 1008, source and drain regions 1012 and channel region 1014 from Figure 10E.
  • a dielectric layer formed by radical oxidation of the top surface of a substrate in an oxidation chamber may be less susceptible to crystal plane orientation differences in the substrate upon which it is grown.
  • Figure 13A illustrates a cross-sectional view of a substrate including first and second exposed crystal planes, in accordance with an embodiment of the present invention.
  • a substrate 1300 has isolation regions 1302 formed thereon.
  • Substrate 1300 may be composed of a material described in association with substrate 1000 from Figure 10A.
  • Isolation regions 1302 may be composed of an insulating material suitable for adhesion to substrate 1300.
  • An exposed portion of substrate 1300 extends above the top surface of isolation regions 1302.
  • the exposed portion of substrate 1300 has a first exposed crystal plane 1304 and a second exposed crystal plane 1306.
  • the crystal orientation of first exposed crystal plane 1304 is different from the crystal orientation of second exposed crystal plane 1306.
  • substrate 1300 is composed of silicon, first exposed crystal plane 1304 has ⁇ 1 00> orientation, and second exposed crystal plane 1306 has ⁇ 110> orientation.
  • Substrate 1300 may be subjected to a radical oxidation process in a cluster tool to form a dielectric layer by consuming (oxidizing) the top surface of substrate 1300.
  • the oxidizing of substrate 1300 by a radical oxidation process includes oxidizing with a radical selected from the group consisting of an OH radical, an H02 radical or an 0 diradical.
  • Figure 13B illustrates a cross-sectional view of substrate 1300 including first and second crystal planes 1304 and 1306, respectively, and having a dielectric layer 1308 formed thereon, in accordance with an embodiment of the present invention.
  • first portion 1308A of dielectric layer 1308 is formed on first exposed crystal plane 1304 and a second portion 1308B of dielectric layer 1308 is formed on second exposed crystal plane 1306, as depicted in Figure 13B.
  • the thickness TlOf first portion 1308A of dielectric layer 1308 is approximately equal to the thickness T2 of second portion 1308B of dielectric layer 1308, even though the crystal plane orientation of first exposed crystal plane 1304 and second exposed crystal plane 1306 differ.
  • the radical oxidation of substrate 1300 is carried out at a temperature approximately in the range of 950 - 1100 degrees Celsius at a pressure approximately in the range of 5- 15 Torr.
  • substrate 1300 is annealed in the oxidation chamber in an atmosphere including nitrogen at a temperature approximately in the range of 900 - 1100 degrees Celsius for a duration approximately in the range of 30 seconds- 60 seconds.
  • FIG. 14 is a block diagram illustrating a cross-sectional side view of an embodiment of one such semiconductor memory device 1400.
  • the memory device 1400 includes a SONONOS stack 1402 including an ONONO structure 1404 formed over a surface 1406 of a substrate 1408.
  • Substrate 1408 includes one or more diffusion regions 1410, such as source and drain regions, aligned to the gate stack 1402 and separated by a channel region 1412.
  • the SONONOS structure 1402 includes a polysilicon or metal gate layer 1414 formed upon and in contact with the ONONO structure 1404.
  • the gate 1414 is separated or electrically isolated from the substrate 1408 by the ONONO structure 1404.
  • the ONONO structure 1404 includes a thin, lower oxide layer or tunneling oxide layer 1416 that separates or electrically isolates the stack 1402 from the channel region 1412, a top or blocking oxide layer 1420, and a multi-layer charge storing layer 1404.
  • the multilayer charge storing layer generally includes at least two nitride layers having differing compositions of silicon, oxygen and nitrogen, including a silicon-rich, nitrogen-rich, and oxygen-lean top nitride layer 1418, a silicon-rich, oxygen-rich, the bottom nitride layer 1419, and an oxide, anti-tunneling layer 1421.
  • a silicon-rich, nitrogen- rich, and oxygen-lean top nitride layer 1418 improves the speed and increases of the initial difference between program and erase voltage without compromising a charge loss rate of memory devices made using an embodiment of the silicon-oxide-oxynitride- oxide-silicon structure, thereby extending the operating life of the device.
  • the anti-tunneling layer 1421 substantially reduces the probability of electron charge that accumulates at the boundaries of the upper nitride layer 1418 during programming from tunneling into the bottom nitride layer 1419, resulting in lower leakage current than for the structure illustrated in Figure. 1.
  • the multi-layer charge storing layer can have an overall thickness of from about 50 A to about 150 A, and in certain embodiments less than about 100 A, with the with the thickness of the anti-tunneling layer 1421 being from about 5 A to about 20 A, and the thicknesses of the nitride layers 1418, 1419, being substantially equal.
  • the method begins with forming a first oxide layer, such as a tunneling oxide layer, over a silicon containing layer on a surface of a substrate (1500).
  • the tunneling oxide layer can be formed or deposited by any suitable means, including a plasma oxidation process, In-Situ Steam Generation (ISSG) or a radical oxidation process.
  • the radical oxidation process involves flowing hydrogen (H 2 ) and oxygen (0 2 ) gas into a processing chamber or furnace to effect growth of a the tunneling oxide layer by oxidation consumption of a portion of the substrate.
  • the first or bottom nitride or nitride containing layer of the multilayer charge storing layer is formed on a surface of the tunneling oxide layer (1502).
  • the nitride layers are formed or deposited in a low pressure CVD process using a silicon source, such as silane (SiH 4 ), chlorosilane (SiH 3 Cl), dichlorosilane or DCS (SiH 2 Cl 2 ), tetrachlorosilane (SiCl 4 ) or Bis-TertiaryButylAmino Silane (BTBAS), a nitrogen source, such as nitrogen (N 2 ), ammonia (NH 3 ), nitrogen trioxide (N0 3 ) or nitrous oxide (N 2 0), and an oxygen-containing gas, such as oxygen (0 2 ) or N 2 0.
  • a silicon source such as silane (SiH 4 ), chlorosilane (SiH 3 Cl), dichlorosilane or DCS (SiH 2 Cl 2
  • gases in which hydrogen has been replaced by deuterium can be used, including, for example, the substitution of deuterated-ammonia (ND 3 ) for NH 3 .
  • ND 3 deuterated-ammonia
  • the substitution of deuterium for hydrogen advantageously passivates Si dangling bonds at the silicon-oxide interface, thereby increasing an NBTI (Negative Bias Temperature Instability) lifetime of the devices.
  • NBTI Negative Bias Temperature Instability
  • the lower or bottom nitride layer can be deposited over the tunneling oxide layer by placing the substrate in a deposition chamber and introducing a process gas including N 2 0, NH 3 and DCS, while maintaining the chamber at a pressure of from about 5 milliTorr (mT) to about 500 mT, and maintaining the substrate at a temperature of from about 700 degrees Celsius to about 850 degrees Celsius and in certain embodiments at least about 760 degrees Celsius, for a period of from about 2.5 minutes to about 20 minutes.
  • mT milliTorr
  • the process gas can include a first gas mixture of N 2 0 and NH 3 mixed in a ratio of from about 8: 1 to about 1 :8 and a second gas mixture of DCS and NH 3 mixed in a ratio of from about 1 :7 to about 7: 1, and can be introduced at a flow rate of from about 5 to about 200 standard cubic centimeters per minute (seem). It has been found that an oxynitride layer produced or deposited under these condition yields a silicon-rich, oxygen-rich, bottom nitride layer.
  • the anti-tunneling layer is formed or deposited on a surface of the bottom nitride layer (1504).
  • the anti-tunneling layer can be formed or deposited by any suitable means, including a plasma oxidation process, In-Situ Steam Generation (ISSG) or a radical oxidation process.
  • the radical oxidation process involves flowing hydrogen (H 2 ) and oxygen (0 2 ) gas into a batch-processing chamber or furnace to effect growth of the anti-tunneling layer by oxidation consumption of a portion of the bottom nitride layer.
  • the second or top nitride layer of the multi-layer charge storing layer is then formed on a surface of the anti-tunneling layer (1506).
  • the top nitride layer can be deposited over the anti-tunneling layer 1421 in a CVD process using a process gas including N 2 0, NH 3 and DCS, at a chamber pressure of from about 5 mT to about 500 mT, and at a substrate temperature of from about 700 degrees Celsius to about 850 degrees Celsius and in certain embodiments at least about 760 degrees Celsius, for a period of from about 2.5 minutes to about 20 minutes.
  • the process gas can include a first gas mixture of N 2 0 and NH 3 mixed in a ratio of from about 8: 1 to about 1 :8 and a second gas mixture of DCS and NH 3 mixed in a ratio of from about 1 :7 to about 7: 1, and can be introduced at a flow rate of from about 5 to about 20 seem.
  • an oxynitride layer produced or deposited under these condition yields a silicon-rich, nitrogen-rich, and oxygen-lean top nitride layer 1418, which improves the speed and increases of the initial difference between program and erase voltage without compromising a charge loss rate of memory devices made using an embodiment of the silicon-oxide-oxynitride-oxide-silicon structure, thereby extending the operating life of the device.
  • the silicon-rich, nitrogen-rich, and oxygen-lean top nitride layer can be deposited over the anti-tunneling layer in a CVD process using a process gas including BTBAS and ammonia (NH 3 ) mixed at a ratio of from about 7: 1 to about 1 :7 to further include a concentration of carbon selected to increase the number of traps therein.
  • the selected concentration of carbon in the second oxynitride layer can include a carbon concentration of from about 5% to about 15%.
  • a top, blocking oxide layer or HTO layer is formed on a surface of the second layer of the multi-layer charge storing layer (1508).
  • the HTO layer can be formed or deposited by any suitable means, including a plasma oxidation process, In-Situ Steam Generation (ISSG) or a radical oxidation process.
  • the HTO layer is formed using a plasma oxidation performed in a plasma process chamber.
  • Typical deposition conditions used for this process are - R.F power in the range 1500 W to 10000W, H2 and 02 with H2 volume percent between 0% and 90%, substrate temperature between 300 C to 400C, deposition time being 20 to 60 sec
  • the HTO layer is formed using an ISSG oxidation process.
  • the ISSG is performed in an RTP chamber, such as the ISSG chamber from Applied Materials described above, at pressures of from about 8 to 12 Torr and a temperature of about 1050 °C with an oxygen rich gas mixture hydrogen to which from about 0.5% to 33% hydrogen has been added.
  • the deposition time is in the range 20 to 60 sec.
  • the thickness of the top nitride layer may be adjusted or increased as some of the top nitride layer will be effectively consumed or oxidized during the process of forming the HTO layer.
  • the method may further include forming or depositing a metal or polysilicon containing layer on a surface of the HTO layer to form a gate layer of the transistor or device (1508).
  • the gate layer can be, for example, a polysilicon layer deposited by a CVD process to form a silicon-oxide-nitride-oxide-nitride-silicon (SONOS) structure.
  • SONOS silicon-oxide-nitride-oxide-nitride-oxide-silicon
  • the present disclosure is also directed to multigate or multigate-surface memory devices including charge-trapping regions overlying two or more sides of a channel formed on or above a surface of a substrate, and methods of fabricating the same. Multigate devices include both planar and non-planar devices.
  • a planar multigate device (not shown) generally includes a double-gate planar device in which a number of first layers are deposited to form a first gate below a subsequently formed channel, and a number of second layers are deposited thereover to form a second gate.
  • a non-planar multigate device generally includes a horizontal or vertical channel formed on or above a surface of a substrate and surrounded on three or more sides by a gate.
  • FIG. 16A illustrates one embodiment of a non-planar multigate memory device including a charge-trapping region.
  • the memory device 1600 commonly referred to as a finFET, includes a channel 1602 formed from a thin film or layer of semiconducting material overlying a surface 1604 on a substrate 1606 connecting a source 1608 and a drain 1610 of the memory device.
  • the channel 1602 is enclosed on three sides by a fin which forms a gate 1612 of the device.
  • the thickness of the gate 1612 determines the effective channel length of the device.
  • the non-planar multigate memory device 1600 of FIG. 16A can include a split charge-trapping region.
  • FIG. 16B is a cross-sectional view of a portion of the non-planar memory device of FIG. 16A including a portion of the substrate 1606, channel 1602 and the gate 1612 illustrating a multi-layer charge storing layer 1614.
  • the gate 1612 further includes a tunnel oxide layer 1616 overlying a raised channel 1602, a blocking dielectric 1618 and a metal gate layer 1620 overlying the blocking layer to form a control gate of the memory device 1600.
  • a doped polysilicon may be deposited instead of metal to provide a polysilicon gate layer.
  • the channel 1602 and gate 1612 can be formed directly on substrate 1606 or on an insulating or dielectric layer 1622, such as a buried oxide layer, formed on or over the substrate.
  • the multi-layer charge storing layer 1614 includes at least one lower or bottom charge-trapping layer 1624 including nitride closer to the tunnel oxide layer 1616, and an upper or top charge-trapping layer 1626 overlying the bottom charge-trapping layer.
  • the top charge-trapping layer 1626 includes a silicon-rich, oxygen-lean nitride layer and includes a majority of a charge traps distributed in multiple charge-trapping layers
  • the bottom charge-trapping layer 1624 includes an oxygen-rich nitride or silicon oxynitride, and is oxygen-rich relative to the top charge-trapping layer to reduce the number of charge traps therein.
  • oxygen- rich it is meant wherein a concentration of oxygen in the bottom charge-trapping layer 1624 is from about 15 to about 40%, whereas a concentration of oxygen in top charge- trapping layer 1626 is less than about 5%.
  • the blocking dielectric 1618 also includes an oxide, such as an HTO, to provide an ONNO structure.
  • the channel 1602 and the overlying ONNO structure can be formed directly on a silicon substrate 1606 and overlaid with a doped polysilicon gate layer 1620 to provide a SONNOS structure.
  • the multi-layer charge storing layer 1614 further includes at least one thin, intermediate or anti-tunneling layer 1628 including a dielectric, such as an oxide, separating the top charge -trapping layer 1626 from the bottom charge-trapping layer 1624.
  • the anti- tunneling layer 1628 substantially reduces the probability of electron charge that accumulates at the boundaries of the upper nitride layer 1626 during programming from tunneling into the bottom nitride layer 1624.
  • either or both of the bottom charge-trapping layer 1624 and the top charge-trapping layer 1626 can include silicon nitride or silicon oxynitride, and can be formed, for example, by a CVD process including N 2 O/NH 3 and DCS/NH 3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich and oxygen-rich oxynitride layer.
  • the second nitride layer of the multi-layer charge storing structure is then formed on the middle oxide layer.
  • the top charge-trapping layer 1626 has a stoichiometric composition of oxygen, nitrogen and/or silicon different from that of the bottom charge-trapping layer 1624, and may also be formed or deposited by a CVD process using a process gas including DCS/NH 3 and N 2 O/NH 3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich, oxygen-lean top nitride layer.
  • the anti-tunneling layer can be formed by oxidation of the bottom oxynitride layer, to a chosen depth using radical oxidation.
  • Radical oxidation may be performed, for example, at a temperature of 1000-1100 degrees Celsius using a single wafer tool, or 800-900 degrees Celsius using a batch reactor tool.
  • a mixture of H 2 and 0 2 gasses may be employed at a pressure of 300-500 Tor for a batch process, or 10-15 Tor using a single vapor tool, for a time of 1-2 minutes using a single wafer tool, or 30 min -1 hour using a batch process.
  • the oxide may be formed or deposited by any suitable means.
  • the oxide of the blocking dielectric 1618 is a high temperature oxide deposited in a HTO CVD process.
  • the blocking dielectric 1618 or blocking oxide layer may be thermally grown, however it will be appreciated that in this embodiment the top nitride thickness may be adjusted or increased as some of the top nitride will be effectively consumed or oxidized during the process of thermally growing the blocking oxide layer.
  • a third option is to oxidize the top nitride layer to a chosen depth using radical oxidation.
  • a suitable thickness for the bottom charge-trapping layer 1624 may be from about 3 ⁇ to about 160A (with some variance permitted, for example ⁇ 10 A), of which about 5 -20 A may be consumed by radical oxidation to form the anti-tunneling layer 1628.
  • a suitable thickness for the top charge-trapping layer 1626 may be at least 30A.
  • the top charge-trapping layer 1626 may be formed up to 130A thick, of which 30-70A may be consumed by radical oxidation to form the blocking dielectric 1618.
  • a ratio of thicknesses between the bottom charge-trapping layer 1624 and top charge-trapping layer 1626 is approximately 1 : 1 in some embodiments, although other ratios are also possible.
  • either or both of the top charge-trapping layer 1626 and the blocking dielectric 1618 may include a high K dielectric.
  • Suitable high K dielectrics include hafnium based materials such as HfSiON, HfSiO or HfO, Zirconium based material such as ZrSiON, ZrSiO or ZrO, and Yttrium based material such as Y 2 O 3 .
  • the memory device can include a nanowire channel formed from a thin film of semiconducting material overlying a surface on a substrate connecting a source and a drain of the memory device.
  • nanowire channel it is meant a conducting channel formed in a thin strip of crystalline silicon material, having a maximum cross-sectional dimension of about 10 nanometers (nm) or less, and more preferably less than about 6 nm.
  • the channel can be formed to have ⁇ 100> surface crystalline orientation relative to a long axis of the channel.
  • the memory device 1700 includes a horizontal nanowire channel 1702 formed from a thin film or layer of semiconducting material on or overlying a surface on a substrate 1706, and connecting a source 1708 and a drain 1710 of the memory device.
  • the device has a gate-all-around (GAA) structure in which the nanowire channel 1702 is enclosed on all sides by a gate 1712 of the device.
  • the thickness of the gate 1712 determines the effective channel length of the device.
  • the non-planar multigate memory device 1700 of Figure 17A can include a split charge-trapping region.
  • Figure 17B is a cross-sectional view of a portion of the non-planar memory device of Figure 17A including a portion of the substrate 1706, nanowire channel 1702 and the gate 1712 illustrating a split charge-trapping region.
  • the gate 1712 includes a tunnel oxide 1714 overlying the nanowire channel 1702, a split charge-trapping region, a blocking dielectric 1716 and a gate layer 1718 overlying the blocking layer to form a control gate of the memory device 1700.
  • the gate layer 1718 can comprise a metal or a doped polysilicon.
  • the split charge-trapping region includes at least one inner charge- trapping layer 1720 comprising nitride closer to the tunnel oxide 1714, and an outer charge-trapping layer 1722 overlying the inner charge-trapping layer.
  • the outer charge-trapping layer 1722 comprises a silicon-rich, oxygen- lean nitride layer and comprises a majority of a charge traps distributed in multiple charge-trapping layers
  • the inner charge-trapping layer 1720 comprises an oxygen-rich nitride or silicon oxynitride, and is oxygen-rich relative to the outer charge-trapping layer to reduce the number of charge traps therein.
  • the split charge-trapping region further includes at least one thin, intermediate or anti-tunneling layer 1724 comprising a dielectric, such as an oxide, separating outer charge-trapping layer 1722 from the inner charge-trapping layer 1720.
  • the anti-tunneling layer 1724 substantially reduces the probability of electron charge that accumulates at the boundaries of outer charge -trapping layer 1722 during programming from tunneling into the inner charge-trapping layer 1720, resulting in lower leakage current.
  • either or both of the inner charge-trapping layer 1720 and the outer charge-trapping layer 1722 can comprise silicon nitride or silicon oxynitride, and can be formed, for example, by a CVD process including N 2 O/NH 3 and DCS/NH 3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich and oxygen-rich oxynitride layer.
  • the second nitride layer of the multi-layer charge storing structure is then formed on the middle oxide layer.
  • the outer charge-trapping layer 1722 has a stoichiometric composition of oxygen, nitrogen and/or silicon different from that of the inner charge-trapping layer 1720, and may also be formed or deposited by a CVD process using a process gas including DCS/NH 3 and N 2 O/NH 3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich, oxygen-lean top nitride layer.
  • the anti-tunneling layer can be formed by oxidation of the inner charge-trapping layer 1720, to a chosen depth using radical oxidation.
  • Radical oxidation may be performed, for example, at a temperature of 1000-1100 degrees Celsius using a single wafer tool, or 800-900 degrees Celsius using a batch reactor tool.
  • a mixture of H 2 and 0 2 gasses may be employed at a pressure of 300-500 Tor for a batch process, or 10- 15 Tor using a single vapor tool, for a time of 1-2 minutes using a single wafer tool, or 30 min -1 hour using a batch process.
  • the oxide may be formed or deposited by any suitable means.
  • the oxide of blocking dielectric 1716 is a high temperature oxide deposited in a HTO CVD process.
  • the blocking dielectric 1716 or blocking oxide layer may be thermally grown, however it will be appreciated that in this embodiment the thickness of the outer charge-trapping layer 1722 may need to be adjusted or increased as some of the top nitride will be effectively consumed or oxidized during the process of thermally growing the blocking oxide layer.
  • a suitable thickness for the inner charge-trapping layer 1720 may be from about 30A to about 80A (with some variance permitted, for example ⁇ 10 A), of which about 5 -20 A may be consumed by radical oxidation to form the anti-tunneling layer 1724.
  • a suitable thickness for the outer charge-trapping layer 1722 may be at least 3 ⁇ .
  • the outer charge-trapping layer 1722 may be formed up to 17 ⁇ thick, of which 30-70 A may be consumed by radical oxidation to form the blocking dielectric 1716.
  • a ratio of thicknesses between the inner charge-trapping layer 1720 and the outer charge-trapping layer 1722 is approximately 1 : 1 in some embodiments, although other ratios are also possible.
  • Suitable high K dielectrics include hafnium based materials such as HfSiON, HfSiO or HfO, Zirconium based material such as ZrSiON, ZrSiO or ZrO, and Yttrium based material such as Y 2 O 3 .
  • FIG. 17C illustrates a cross-sectional view of a vertical string of non- planar multigate devices 1700 of Figure 17A arranged in a Bit-Cost Scalable or BiCS architecture 1726.
  • the architecture 1726 consists of a vertical string or stack of non- planar multigate devices 1700, where each device or cell includes a channel 1702 overlying the substrate 1706, and connecting a source and a drain (not shown in this figure) of the memory device, and having a gate-all-around (GAA) structure in which the nanowire channel 1702 is enclosed on all sides by a gate 1712.
  • GAA gate-all-around
  • the BiCS architecture reduces number of critical lithography steps compared to a simple stacking of layers, leading to a reduced cost per memory bit.
  • the memory device is or includes a non-planar device comprising a vertical nanowire channel formed in or from a semiconducting material projecting above or from a number of conducting, semiconducting layers on a substrate.
  • the memory device 1800 comprises a vertical nanowire channel 1802 formed in a cylinder of semiconducting material connecting a source 1804 and drain 1806 of the device.
  • the channel 1802 is surrounded by a tunnel oxide 1808, a charge-trapping region 1810, a blocking layer 1812 and a gate layer 1814 overlying the blocking layer to form a control gate of the memory device 1800.
  • the channel 1802 can include an annular region in an outer layer of a substantially solid cylinder of semiconducting material, or can include an annular layer formed over a cylinder of dielectric filler material.
  • the channel 1802 can comprise polysilicon or recrystallized polysilicon to form a monocrystalline channel.
  • the channel 1802 can be formed to have ⁇ 100> surface crystalline orientation relative to a long axis of the channel.
  • the charge- trapping region 1810 can be a split charge-trapping region including at least a first or inner charge trapping layer 1816 closest to the tunnel oxide 1808, and a second or outer charge trapping layer 1818.
  • the first and second charge trapping layers can be separated by an intermediate oxide or anti-tunneling layer 1820.
  • either or both of the first charge trapping layer 1816 and the second charge trapping layer 1818 can comprise silicon nitride or silicon oxynitride, and can be formed, for example, by a CVD process including N 2 O/NH 3 and DCS/NH 3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich and oxygen-rich oxynitride layer.
  • either or both of the second charge trapping layer 1818 and the blocking layer 1812 may comprise a high K dielectric, such as HfSiON, HfSiO, HfO, ZrSiON, ZrSiO, ZrO, or Y 2 0 3 .
  • a suitable thickness for the first charge trapping layer 1816 may be from about 30A to about 8 ⁇ (with some variance permitted, for example ⁇ 10 A), of which about 5 -20 A may be consumed by radical oxidation to form the anti-tunneling layer 1820.
  • a suitable thickness for the second charge trapping layer 1818 may be at least 30 A, and a suitable thickness for the blocking dielectric 1812 may be from about 30-70 A.
  • the memory device 1800 of Figure 18A can be made using either a gate first or a gate last scheme.
  • Figures 19A-F illustrate a gate first scheme for fabricating the non-planar multigate device of Figure 18 A.
  • Figures 20A-F illustrate a gate last scheme for fabricating the non-planar multigate device of Figure 18 A.
  • a first or lower dielectric layer 1902 such as a blocking oxide, is formed over a first, doped diffusion region 1904, such as a source or a drain, in a substrate 1906.
  • a gate layer 1908 is deposited over the first dielectric layer 1902 to form a control gate of the device, and a second or upper dielectric layer 1910 formed thereover.
  • the first and second dielectric layers 1902, 1910 can be deposited by CVD, radical oxidation or be formed by oxidation of a portion of the underlying layer or substrate.
  • the gate layer 1908 can comprise a metal deposited or a doped polysilicon deposited by CVD.
  • the thickness of the gate layer 1908 is from about 40- 5 ⁇ , and the first and second dielectric layers 1902, 1910, from about 20- 8 ⁇ .
  • a first opening 1912 is etched through the overlying gate layer 1908, and the first and second dielectric layers 1902, 1910, to the diffusion region 1904 in the substrate 1906.
  • layers of a tunneling oxide 1914, charge-trapping region 1916, and blocking dielectric 1918 are sequentially deposited in the opening and the surface of the upper dielectric layer 1910 planarize to yield the intermediate structure shown in Figure 19C.
  • the charge-trapping region 1916 can include a split charge-trapping region comprising at least one lower or bottom charge-trapping layer closer to the tunnel oxide 1914, and an upper or top charge-trapping layer overlying the bottom charge- trapping layer.
  • the top charge-trapping layer comprises a silicon-rich, oxygen- lean nitride layer and comprises a majority of a charge traps distributed in multiple charge-trapping layers
  • the bottom charge-trapping layer comprises an oxygen-rich nitride or silicon oxynitride, and is oxygen-rich relative to the top charge-trapping layer to reduce the number of charge traps therein.
  • the split charge- trapping region 1916 further includes at least one thin, intermediate or anti-tunneling layer comprising a dielectric, such as an oxide, separating the top charge-trapping layer from the bottom charge-trapping layer.
  • a second or channel opening 1920 is anisotropically etched through tunneling oxide 1914, charge-trapping region 1916, and blocking dielectric 1918, Figure 19D.
  • a semiconducting material 1922 is deposited in the channel opening to form a vertical channel 1924 therein.
  • the vertical channel 1924 can include an annular region in an outer layer of a substantially solid cylinder of semiconducting material, or, as shown in Figure 19E, can include a separate, layer semiconducting material 1922 surrounding a cylinder of dielectric filler material 1926.
  • the surface of the upper dielectric layer 1910 is planarized and a layer of semiconducting material 1928 including a second, doped diffusion region 1930, such as a source or a drain, formed therein deposited over the upper dielectric layer to form the device shown.
  • a dielectric layer 2002 such as an oxide, is formed over a sacrificial layer 2004 on a surface on a substrate 2006, an opening etched through the dielectric and sacrificial layers and a vertical channel 2008 formed therein.
  • the vertical channel 2008 can include an annular region in an outer layer of a substantially solid cylinder of semiconducting material 2010, such as polycrystalline or monocrystalline silicon, or can include a separate, layer semiconducting material surrounding a cylinder of dielectric filler material (not shown).
  • the dielectric layer 2002 can comprise any suitable dielectric material, such as a silicon oxide, capable of electrically isolating the subsequently formed gate layer of the memory device 1800 from an overlying electrically active layer or another memory device.
  • the sacrificial layer 2004 can comprise any suitable material that can be etched or removed with high selectivity relative to the material of the dielectric layer 2002, substrate 2006 and vertical channel 2008.
  • a second opening 2012 is etched through the etched through the dielectric and sacrificial layers 2002, 2004, to the substrate 1906, and the sacrificial layer 2004 etched or removed.
  • the sacrificial layer 2004 can comprise any suitable material that can be etched or removed with high selectivity relative to the material of the dielectric layer 2002, substrate 2006 and vertical channel 2008.
  • the sacrificial layer 2004 comprises that can be removed by Buffered Oxide Etch (BOE etch).
  • the charge-trapping region 2016 can be a split charge-trapping region including at least a first or inner charge trapping layer 2016a closest to the tunnel oxide 2014, and a second or outer charge trapping layer 2016b.
  • the first and second charge trapping layers can be separated by an intermediate oxide or anti-tunneling layer 2020.
  • a gate layer 2022 is deposited into the second opening 2012 and the surface of the upper dielectric layer 2002 planarized to yield the intermediate structure illustrated in Figure 20E.
  • the gate layer 2022 can comprise a metal deposited or a doped polysilicon.
  • an opening 2024 is etched through the gate layer 2022 to form control gate of separate memory devices 2026.
  • a substrate is subjected to a first radical oxidation process to form a first dielectric layer in a first process chamber of a cluster tool.
  • a charge-trapping layer may then be deposited above the first dielectric layer in a second process chamber of the cluster tool.
  • the charge-trapping layer is then subjected to a second radical oxidation process to form a second dielectric layer above the charge-trapping layer by oxidizing a portion of the charge-trapping layer in the first process chamber of the cluster tool.
  • an ONO stack is fabricated in a single pass in a cluster tool in order to preserve a pristine interface between the layers in the ONO stack.
  • the cluster tool is a single-wafer cluster tool.

Abstract

A method for fabricating a nonvolatile charge trap memory device is described. The method includes subjecting a substrate to a first oxidation process to form a tunnel oxide layer overlying a polysilicon channel, and forming over the tunnel oxide layer a multi-layer charge storing layer comprising an oxygen-rich, first layer comprising a nitride, and an oxygen-lean, second layer comprising a nitride on the first layer. The substrate is then subjected to a second oxidation process to consume a portion of the second layer and form a high-temperature-oxide (HTO) layer overlying the multi-layer charge storing layer. The stoichiometric composition of the first layer results in it being substantially trap free, and the stoichiometric composition of the second layer results in it being trap dense. The second oxidation process can comprise a plasma oxidation process or a radical oxidation process using In-Situ Steam Generation.

Description

RADICAL OXIDATION PROCESS FOR FABRIC AU G A NONVOLATILE CHARGE TRAP MEMORY DEVICE
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application is a continuation-in-part of co-pending U.S. application
Ser. No. 12/197,466, filed August 25, 2008, which is a continuation of U.S. Application Ser. No. 12/124,855, filed May 21, 2008, which claims the benefit of priority under 35 U.S.C. 119(e) to U.S. Provisional Patent Application Ser. No. 60/940,139, filed May 25, 2007, and U.S. Provisional Application No. 60/986,637, filed November 9, 2007, all of which are incorporated by reference herein.
TECHNICAL FIELD
[0002] Embodiments of the present invention are in the field of Semiconductor
Fabrication and, in particular, Semiconductor Device Fabrication.
BACKGROUND
[0003] For the past several decades, the scaling of features in integrated circuits has been a driving force behind an ever-growing semiconductor industry. Scaling to smaller and smaller features enables increased densities of functional units on the limited real estate of semiconductor chips. For example, shrinking transistor size allows for the incorporation of an increased number of memory devices on a chip, lending to the fabrication of products with increased capacity. The drive for ever-more capacity, however, is not without issue. The necessity to optimize the performance of each device becomes increasingly significant.
[0004] Non-volatile semiconductor memories typically use stacked floating gate type field-effect-transistors. In such transistors, electrons are injected into a floating gate of a memory cell to be programmed by biasing a control gate and grounding a body region of a substrate on which the memory cell is formed. An oxide-nitride-oxide (ONO) stack is used as either a charge storing layer, as in a semiconductor-oxide-nitride -oxide- semiconductor (SONOS) transistor, or as an isolation layer between the floating gate and control gate, as in a split gate flash transistor. Figure 1 illustrates a cross-sectional view of a conventional nonvolatile charge trap memory device.
[0005] Referring to Figure 1, semiconductor device 100 includes a SONOS gate stack 104 including a conventional ONO portion 106 formed over a silicon substrate 102. Semiconductor device 100 further includes source and drain regions 110 on either side of SONOS gate stack 104 to define a channel region 112. SONOS gate stack 104 includes a poly-silicon gate layer 108 formed above and in contact with ONO portion 106. Polysilicon gate layer 108 is electrically isolated from silicon substrate 102 by ONO portion 106. ONO portion 106 typically includes a tunnel oxide layer 1 06 A, a nitride or oxynitride charge-trapping layer 106B, and a top oxide layer 106C overlying nitride or oxynitride layer 106B.
[0006] One problem with conventional SONOS transistors is the poor data retention in the nitride or oxy-nitride layer 106B that limits semiconductor device 100 lifetime and its use in several applications due to leakage current through the layer.
BRIEF DESCRIPTION OF THE DRAWINGS
[0007] Embodiments of the present invention are illustrated by way of example, and not limitation, in the figures of the accompanying drawings in which: [0008] Figure 1 illustrates a cross-sectional view of a conventional nonvolatile charge trap memory device.
[0009] Figure 2 illustrates a cross-sectional view of an oxidation chamber of a batch-processing tool, in accordance with an embodiment of the present invention.
[0010] Figure 3 depicts a Flowchart representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[0011] Figure 4 A illustrates a cross-sectional view of a substrate having a charge trapping layer formed thereon, corresponding to operation 302 from the Flowchart of
Figure 3, in accordance with an embodiment of the present invention.
[0012] Figure 4B illustrates a cross-sectional view of a substrate having a charge trapping layer with a blocking dielectric layer formed thereon, corresponding to operation
304 from the Flowchart of Figure 3, in accordance with an embodiment of the present invention.
[0013] Figure 5 depicts a Flowchart representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[0014] Figure 6A illustrates a cross-sectional view of a substrate, corresponding to operation 502 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention.
[0015] Figure 6B illustrates a cross-sectional view of a substrate having a first dielectric layer formed thereon, corresponding to operation 504 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention. [0016] Figure 6C illustrates a cross-sectional view of a substrate having a charge trapping layer formed thereon, corresponding to operation 508 from the Flowchart of
Figure 5, in accordance with an embodiment of the present invention.
[0017] Figure 6D illustrates a cross-sectional view of a substrate having a charge trapping layer with a blocking dielectric layer formed thereon, corresponding to operation
510 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention.
[0018] Figure 6E illustrates a cross-sectional view of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[0019] Figure 7 A illustrates a cross-sectional view of a substrate including first and second exposed crystal planes, in accordance with an embodiment of the present invention.
[0020] Figure 7B illustrates a cross-sectional view of the substrate including first and second crystal planes and having a dielectric layer formed thereon, in accordance with an embodiment of the present invention.
[0021] Figure 8 illustrates an arrangement of process chambers in a cluster tool, in accordance with an embodiment of the present invention.
[0022] Figure 9 depicts a Flowchart representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[0023] Figure 10A illustrates a cross-sectional view of a substrate, in accordance with an embodiment of the present invention. [0024] Figure 10B illustrates a cross-sectional view of a substrate having a tunnel dielectric layer formed thereon, corresponding to operation 402 from the Flowchart of
Figure 4, in accordance with an embodiment of the present invention.
[0025] Figure IOC illustrates a cross-sectional view of a substrate having a charge-trapping layer formed thereon, corresponding to operation 406 from the Flowchart of Figure 4, in accordance with an embodiment of the present invention.
[0026] Figure 10D illustrates a cross-sectional view of a substrate having a top dielectric layer formed thereon, corresponding to operation 408 from the Flowchart of
Figure 4, in accordance with an embodiment of the present invention.
[0027] Figure 10E illustrates a cross-sectional view of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[0028] Figure 11 depicts a Flowchart representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[0029] Figure 12A illustrates a cross-sectional view of a substrate having a tunnel dielectric layer formed thereon, corresponding to operation 602 from the Flowchart of Figure 6, in accordance with an embodiment of the present invention.
[0030] Figure 12B illustrates a cross-sectional view of a substrate having an oxygen-rich silicon oxy-nitride portion of a charge-trapping layer formed thereon, corresponding to operation 606 from the Flowchart of Figure 6, in accordance with an embodiment of the present invention.
[0031] Figure 12C illustrates a cross-sectional view of a substrate having a silicon-rich silicon oxy-nitride portion of a charge-trapping layer formed thereon, corresponding to operation 610 from the Flowchart of Figure 6, in accordance with an embodiment of the present invention.
[0032] Figure 12D illustrates a cross-sectional view of a substrate having a top dielectric layer formed thereon, corresponding to operation 612 from the Flowchart of
Figure 6, in accordance with an embodiment of the present invention.
[0033] Figure 12E illustrates a cross-sectional view of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[0034] Figure 13A illustrates a cross-sectional view of a substrate including first and second exposed crystal planes, in accordance with an embodiment of the present invention.
[0035] Figure 13B illustrates a cross-sectional view of the substrate including first and second crystal planes and having a dielectric layer formed thereon, in accordance with an embodiment of the present invention.
[0036] Figure 14 illustrates a cross-sectional view of a nonvolatile charge trap memory device including an ONONO stack.
[0037] Figure 15 depicts a Flowchart representing a series of operations in a method for fabricating a nonvolatile charge trap memory device including an ONONO stack, in accordance with an embodiment of the present invention.
[0038] Figure 16A illustrates a non-planar multigate device including a split charge-trapping region.
[0039] Figure 16B illustrates a cross-sectional view of the non-planar multigate device of Figure. 16A. [0040] Figures 17A and 17B illustrate a non-planar multigate device including a split charge-trapping region and a horizontal nanowire channel.
[0041] Figure 17C illustrates a cross-sectional view of a vertical string of non- planar multigate devices of Figure 17A.
[0042] Figures 18A and 18B illustrate a non-planar multigate device including a split charge-trapping region and a vertical nanowire channel.
[0043] Figure 19A through 19F illustrate a gate first scheme for fabricating the non-planar multigate device of Figure 18 A.
[0044] Figure 20A through 20F illustrate a gate last scheme for fabricating the non-planar multigate device of Figure 18 A.
DETAILED DESCRIPTION
[0045] Embodiments of a non-volatile charge trap memory device integrated with logic devices are described herein with reference to figures. However, particular embodiments may be practiced without one or more of these specific details, or in combination with other known methods, materials, and apparatuses. In the following description, numerous specific details are set forth, such as specific materials, dimensions and processes parameters etc. to provide a thorough understanding of the present invention. In other instances, well-known semiconductor design and fabrication techniques have not been described in particular detail to avoid unnecessarily obscuring the present invention. Reference throughout this specification to "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. Thus, the appearances of the phrase "in an embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.
[0046] Methods to fabricate a nonvolatile charge trap memory device are described herein. In the following description, numerous specific details are set forth, such as specific dimensions, in order to provide a thorough understanding of the present invention. It will be apparent to one skilled in the art that the present invention may be practiced without these specific details. In other instances, well-known processing steps, such as patterning steps or wet chemical cleans, are not described in detail in order to not unnecessarily obscure the present invention. Furthermore, it is to be understood that the various embodiments shown in the Figures are illustrative representations and are not necessarily drawn to scale.
[0047] Disclosed herein is a method to fabricate a nonvolatile charge trap memory device. A substrate may first be provided having a charge-trapping layer disposed thereon. In one embodiment, a portion of the charge-trapping layer is then oxidized to form a blocking dielectric layer above the charge-trapping layer by exposing the charge-trapping layer to a radical oxidation process.
[0048] Formation of a dielectric layer by a radical oxidation process may provide higher quality films than processes involving steam growth, i.e. wet growth processes. Furthermore, a radical oxidation process carried out in a batch-processing chamber may provide high quality films without impacting the throughput (wafers/Hr) requirements that a fabrication facility may require. By carrying out the radical oxidation process at temperatures compatible with such a chamber, such as temperatures approximately in the range of 600 - 900 degrees Celsius, the thermal budget tolerated by the substrate and any other features on the substrate may not be impacted to the extent typical of processes over 1000 degrees Celsius. In accordance with an embodiment of the present invention, a radical oxidation process involving flowing hydrogen (H2) and oxygen (02) gas into a batch-processing chamber is carried out to effect growth of a dielectric layer by oxidation consumption of an exposed substrate or film. In one embodiment, multiple radical oxidation processes are carried out to provide a tunnel dielectric layer and a blocking dielectric layer for a non-volatile charge trap memory device. These dielectric layers may be of very high quality, even at a reduced thickness. In one embodiment, the tunnel dielectric layer and the blocking dielectric layer are both denser and are composed of substantially fewer hydrogen atoms/cm3 than a tunnel dielectric layer or a blocking dielectric layer formed by wet oxidation techniques. In accordance with another embodiment of the present invention, a dielectric layer formed by carrying out a radical oxidation process is less susceptible to crystal plane orientation differences in the substrate from which it is grown. In one embodiment, the cornering effect caused by differential crystal plane oxidation rates is significantly reduced by forming a dielectric layer via a radical oxidation process.
[0049] A portion of a nonvolatile charge trap memory device may be fabricated by carrying out a radical oxidation process in a process chamber. In accordance with an embodiment of the present invention, the process chamber is a batch-processing chamber. Figure 2 illustrates a cross-sectional view of an oxidation chamber of a batch-processing tool, in accordance with that embodiment. Referring to Figure 2, a batch-processing chamber 200 includes a carrier apparatus 204 to hold a plurality of semiconductor wafers 202. In one embodiment, the batch-processing chamber is an oxidation chamber. In a specific embodiment, the process chamber is a low-pressure chemical vapor deposition chamber. The plurality of semiconductor wafers 202 may be arranged in such a way as to maximize exposure of each wafer to a radical oxidation process, while enabling the inclusion of a reasonable number of wafers (e.g. 25 wafers), to be processed in a single pass. It should be understood, however, that the present invention is not limited to a batch-processing chamber.
[0050] In an aspect of the present invention, a portion of a nonvolatile charge trap memory device is fabricated by a radical oxidation process. Figure 3 depicts a Flowchart representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention. Figures 4A- 4B illustrate cross-sectional views representing operations in the fabrication of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[0051] Figure 4 A illustrates a cross-sectional view of a substrate having a charge trapping layer formed thereon, corresponding to operation 302 from the Flowchart of Figure 3, in accordance with an embodiment of the present invention. Referring to operation 302 of Flowchart 300 and corresponding Figure 4 A, a substrate 400 is provided having a charge-trapping layer disposed thereon. In an embodiment, the charge-trapping layer has a first region 404 A and a second region 404B disposed above substrate 400. In one embodiment, a dielectric layer 402 is disposed between substrate 400 and the charge trapping layer, as depicted in Figure 4A. The charge-trapping layer may be composed of a material and have a thickness suitable to store charge and, hence, change the threshold voltage of a subsequently formed gate stack. In an embodiment, region 404A of the charge-trapping layer will remain as an intact charge-trapping layer following subsequent process operations. However, in that embodiment, region 404B of the as-formed charge trapping layer will be consumed to form a second dielectric layer, above region 404A.
[0052] Figure 4B illustrates a cross-sectional view of a substrate having a charge trapping layer with a blocking dielectric layer formed thereon, corresponding to operation 304 from the Flowchart of Figure 3, in accordance with an embodiment of the present invention. Referring to operation 304 of Flowchart 300 and corresponding Figure 4B, a blocking dielectric layer 406 is formed on charge-trapping layer 404. In accordance with an embodiment of the present invention, blocking dielectric layer 406 is formed by oxidizing region 404B of the charge-trapping layer by exposing the charge -trapping layer to a radical oxidation process. In that embodiment, region 404 A of the original charge trapping layer is now labeled as charge-trapping layer 404.
[0053] Blocking dielectric layer 406 may be composed of a material and have a thickness suitable to maintain a barrier to charge leakage without significantly decreasing the capacitance of a subsequently formed gate stack in a nonvolatile charge trap memory device. In a specific embodiment, region 404B is a silicon-rich silicon oxy-nitride region having a thickness approximately in the range of 2 - 3 nanometers and is oxidized to form blocking dielectric layer 406 having a thickness approximately in the range of 3.5 - 4.5 nanometers. In that embodiment, blocking dielectric layer 406 is composed of silicon dioxide. [0054] Blocking dielectric layer 406 may be formed by a radical oxidation process. In accordance with an embodiment of the present invention, the radical oxidation process involves flowing hydrogen (Hz) and oxygen (Oz) gas into a furnace, such as the batch processing chamber 200 described in association with Figure 2. In one embodiment, the partial pressures of Hz and Oz have a ratio to one another of approximately 1 : 1. However, in an embodiment, an ignition event is not carried out which would otherwise typically be used to pyrolyze the H2 and 02 to form steam. Instead, H2 and 02 are permitted to react to form radicals at the surface of region 404B. In one embodiment, the radicals are used to consume region 404B to provide blocking dielectric layer 406. In a specific embodiment, the radical oxidation process includes oxidizing with a radical such as, but not limited to, an OH radical, an H02 radical or an O diradical at a temperature approximately in the range of 600 - 900 degrees Celsius. In a particular embodiment, the radical oxidation process is carried out at a temperature approximately in the range of 700 - 800 degrees Celsius at a pressure approximately in the range of 0.5 - 5 Torr. In one embodiment, the second radical oxidation process is carried out for a duration approximately in the range of 100- 150 minutes.
[0055] Referring to operation 306 of Flowchart 300, blocking dielectric layer 406 may be further subjected to a nitridation process in the first process chamber. In accordance with an embodiment of the present invention, the nitridation process includes annealing blocking dielectric layer 406 in an atmosphere including nitrogen at a temperature approximately in the range of 700 - 800 degrees Celsius for a duration approximately in the range of 5 minutes - 60 minutes. In one embodiment, the atmosphere including nitrogen is composed of a gas such as, but not limited to, nitrogen (N2), nitrous oxide (N20), nitrogen dioxide (N02), nitric oxide (NO) or ammonia (NH3). Alternatively, this nitridation step, i.e. operation 306 from Flowchart 300, may be skipped.
[0056] In an aspect of the present invention, both a tunnel dielectric layer and a blocking dielectric layer may be formed by radical oxidation processes. Figure 5 depicts a Flowchart 500 representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention. Figures 6A-6E illustrates cross-sectional views representing operations in the fabrication of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[0057] Figure 6A illustrates a cross-sectional view of a substrate, corresponding to operation 502 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention. Referring to operation 502 of Flowchart 500 and corresponding Figure 6A, a substrate 600 is provided in a process chamber.
[0058] Substrate 600 may be composed of a material suitable for semiconductor device fabrication. In one embodiment, substrate 600 is a bulk substrate composed of a single crystal of a material which may include, but is not limited to, silicon, germanium, silicon-germanium or a III-V compound semiconductor material. In another embodiment, substrate 600 includes a bulk layer with a top epitaxial layer. In a specific embodiment, the bulk layer is composed of a single crystal of a material which may include, but is not limited to, silicon, germanium, silicon-germanium, a III-V compound semiconductor material or quartz, while the top epitaxial layer is composed of a single crystal layer which may include, but is not limited to, silicon, germanium, silicon-germanium or a III- V compound semiconductor material. In another embodiment, substrate 600 includes a top epitaxial layer on a middle insulator layer which is above a lower bulk layer. The top epitaxial layer is composed of a single crystal layer which may include, but is not limited to, silicon (i.e. to form a silicon-on-insulator (SOI) semiconductor substrate), germanium, silicon-germanium or a III-V compound semiconductor material. The insulator layer is composed of a material which may include, but is not limited to, silicon dioxide, silicon nitride or silicon oxy-nitride. The lower bulk layer is composed of a single crystal which may include, but is not limited to, silicon, germanium, silicon-germanium, a III-V compound semiconductor material or quartz. Substrate 600 may further include dopant impurity atoms.
[0059] Figure 6B illustrates a cross-sectional view of a substrate having a dielectric layer formed thereon, corresponding to operation 504 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention. Referring to operation 504 of Flowchart 500 and corresponding Figure 6B, substrate 600 is subjected to a first radical oxidation process to form a first dielectric layer 602.
[0060] First dielectric layer 602 may be composed of a material and have a thickness suitable to allow charge carriers to tunnel into a subsequently formed charge trapping layer under an applied gate bias, while maintaining a suitable barrier to leakage when a subsequently formed nonvolatile charge trap memory device is unbiased. First dielectric layer 602 may be referred to in the art as a tunnel dielectric layer. In accordance with an embodiment of the present invention, first dielectric layer 602 is formed by an oxidation process where the top surface of substrate 600 is consumed. Thus, in an embodiment, first dielectric layer 602 is composed of an oxide of the material of substrate 600. For example, in one embodiment, substrate 600 is composed of silicon and first dielectric layer 602 is composed of silicon dioxide. In a specific embodiment, first dielectric layer 602 is formed to a thickness approximately in the range of 1 - 10 nanometers. In a particular embodiment, first dielectric layer 602 is formed to a thickness approximately in the range of 1.5 - 2.5 nanometers.
[0061] First dielectric layer 602 may be formed by a radical oxidation process. In accordance with an embodiment of the present invention, the radical oxidation process involves flowing hydrogen (H2) and oxygen (02) gas into a furnace, such as the batch processing chamber 200 described in association with Figure 2. In one embodiment, the partial pressures of Hz and Oz have a ratio to one another of approximately 1 : 1. However, in an embodiment, an ignition event is not carried out which would otherwise typically be used to pyrolyze the Hz and Oz to form steam. Instead, Hz and Oz are permitted to react to form radicals at the surface of substrate 600. In one embodiment, the radicals are used to consume the top portion of substrate 600 to provide first dielectric layer 602. In a specific embodiment, the radical oxidation process includes oxidizing with a radical such as, but not limited to, an OH radical, an H02 radical or an 0 diradical at a temperature approximately in the range of 600 - 900 degrees Celsius. In a particular embodiment, the radical oxidation process is carried out at a temperature approximately in the range of700- 800 degrees Celsius at a pressure approximately in the range of0.5 - 5 Torr. In one embodiment, the radical oxidation process is carried out for a duration approximately in the range of 100- 150 minutes. In accordance with an embodiment of the present invention, first dielectric layer 602 is formed as a high-density, low-hydrogen- content film. [0062] Referring to operation 506 of Flowchart 500, subsequent to forming first dielectric layer 602, but prior to any further processing, first dielectric layer 602 may be subjected to a nitridation process. In an embodiment, the nitridation process is carried out in the same process chamber used to form first dielectric layer 502, without removing substrate 600 from the process chamber between process steps. In one embodiment, the annealing includes heating substrate 600 in an atmosphere including nitrogen at a temperature approximately in the range of 700 - 800 degrees Celsius for a duration approximately in the range of 5 minutes - 60 minutes. In one embodiment, the atmosphere including nitrogen is composed of a gas such as, but not limited to, nitrogen (N2), nitrous oxide (N20), nitrogen dioxide (N02), nitric oxide (NO) or ammonia (NH3). In one embodiment, the nitridation occurs following a nitrogen or argon purge of the process chamber following the first radical oxidation process. Alternatively, the above nitridation step may be skipped.
[0063] Figure 6C illustrates a cross-sectional view of a substrate having a charge trapping layer formed thereon, corresponding to operation 508 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention. Referring to operation 508 of Flowchart 500 and corresponding Figure 6C, a charge-trapping layer having a first region 604A and a second region 604B is formed on first dielectric layer 602. In an embodiment, the formation of the charge-trapping layer is carried out in the same process chamber used to form first dielectric layer 602, without removing substrate 600 from the process chamber between process steps.
[0064] The charge-trapping layer may be composed of a material and have a thickness suitable to store charge and, hence, change the threshold voltage of a subsequently formed gate stack. In accordance with an embodiment of the present invention, the charge-trapping layer is composed of two regions 604A and 604B, as depicted in Figure 6C. In an embodiment, region 604 A of the charge-trapping layer will remain as an intact charge-trapping layer following subsequent process operations. However, in that embodiment, region 604B of the as-formed charge-trapping layer will be consumed to form a second dielectric layer, above region 604A.
[0065] The charge-trapping layer having regions 604A and 604B may be formed by a chemical vapor deposition process. In accordance with an embodiment of the present invention, the charge-trapping layer is composed of a material such as, but not limited to, silicon nitride, silicon oxy-nitride, oxygen-rich silicon oxy-nitride or silicon-rich silicon oxy-nitride. In one embodiment, regions 604 A and 604B of the charge- trapping layer are formed at a temperature approximately in the range of 600 - 900 degrees Celsius. In a specific embodiment, the charge-trapping layer is formed by using gases such as, but not limited to, dichlorosilane (H2SiCl2), bis-(tert-butylamino)silane (BTBAS), ammonia (NH3) or nitrous oxide (N20). In one embodiment, the charge trapping layer is formed to a total thickness approximately in the range of 5 - 15 nanometers and region 604B accounts for a thickness approximately in the range of 2- 3 nanometers of the total thickness of the charge-trapping layer. In that embodiment, region 604A accounts for the remaining total thickness of the charge-trapping layer, i.e. region 604A accounts for the portion of the charge-trapping layer that is not subsequently consumed to form a top or blocking dielectric layer.
[0066] In another aspect of the present invention, the charge-trapping layer may include multiple composition regions. For example, in accordance with an embodiment of the present invention, the charge-trapping layer includes an oxygen-rich portion and a silicon-rich portion and is formed by depositing an oxygen-rich oxy-nitride film by a first composition of gases and, subsequently, depositing a silicon-rich oxy-nitride film by a second composition of gases. In one embodiment, the charge-trapping layer is formed by modifying the flow rate of ammonia (NH3) gas, and introducing nitrous oxide (N20) and dichlorosilane (SiH2Cb) to provide the desired gas ratios to yield first an oxygen-rich oxy-nitride film and then a silicon-rich oxy-nitride film. In a specific embodiment, the oxygen-rich oxy-nitride film is formed by introducing a process gas mixture including N20, NH3 and SiH2Cb, while maintaining the process chamber at a pressure approximately in the range of 5- 500 mTorr, and maintaining substrate 600 at a temperature approximately in the range of 700 - 850 degrees Celsius, for a period approximately in the range of 2.5 - 20 minutes. In a further embodiment, the process gas mixture includes N20 and NH3 having a ratio of from about 8: 1 to about 1 :8 and SiH2Cl2 and NH3 having a ratio of from about 1 :7 to about 7: 1, and can be introduced at a flow rate approximately in the range of 5 - 200 standard cubic centimeters per minute (seem). In another specific embodiment, the silicon-rich oxy-nitride film is formed by introducing a process gas mixture including N20, NH3 and SiH2Cb, while maintaining the chamber at a pressure approximately in the range of 5 - 500 mTorr, and maintaining substrate 600 at a temperature approximately in the range of 700 - 850 degrees Celsius, for a period approximately in the range of2.5- 20 minutes. In a further embodiment, the process gas mixture includes N 20 and NH3 having a ratio of from about 8: 1 to about 1 :8 and SiH2Cb and NH3 mixed in a ratio of from about 1 :7 to about 7: 1, introduced at a flow rate of from about 5 to about 20 seem. In accordance with an embodiment of the present invention, the charge-trapping layer comprises a bottom oxygen-rich silicon oxy-nitride portion having a thickness approximately in the range of2.5- 3.5 nanometers and a top silicon-rich silicon oxy-nitride portion having a thickness approximately in the range of 9 - 10 nanometers. In one embodiment, a region 504B of charge-trapping layer accounts for a thickness approximately in the range of 2 - 3 nanometers of the total thickness of the top silicon-rich silicon oxy-nitride portion of the charge-trapping layer. Thus, region 604B, which is targeted for subsequent consumption to form a second dielectric layer, may be composed entirely of silicon-rich silicon oxy-nitride.
[0067] Figure 6D illustrates a cross-sectional view of a substrate having a second dielectric layer formed thereon, corresponding to operation 510 from the Flowchart of Figure 5, in accordance with an embodiment of the present invention. Referring to operation 510 of Flowchart 500 and corresponding Figure 6D, a second dielectric layer 606 is formed on charge-trapping layer 604. In an embodiment, the formation of second dielectric layer 606 is carried out in the same process chamber used to form first dielectric layer 602 and the charge-trapping layer, without removing substrate 600 from the process chamber between process steps. In one embodiment, the second radical oxidation process is carried out following a nitrogen or argon purge of the process chamber following the deposition of the charge-trapping layer.
[0068] Second dielectric layer 606 may be composed of a material and have a thickness suitable to maintain a barrier to charge leakage without significantly decreasing the capacitance of a subsequently formed gate stack in a nonvolatile charge trap memory device. Second dielectric layer 606 may be referred to in the art as a blocking dielectric layer or a top dielectric layer. In accordance with an embodiment of the present invention, second dielectric layer 606 is formed by consuming region 604B of the charge- trapping layer formed in operation 508, described in association with Figure 6C. Thus, in one embodiment, region 604B is consumed to provide second dielectric layer 606, while region 604 A remains a charge-trapping layer 604. In a specific embodiment, region 604B is a silicon-rich silicon oxy-nitride region having a thickness approximately in the range of 2 - 3 nanometers and is oxidized to form second dielectric layer 606 having a thickness approximately in the range of 3.5- 4.5 nanometers. In that embodiment, second dielectric layer 606 is composed of silicon dioxide. In accordance with an embodiment of the present invention, second dielectric layer 606 is formed by a second radical oxidation process, similar to the radical oxidation process carried out to form blocking dielectric layer 406, described in association with Figure 4B. In one embodiment, referring to operation 512 of Flowchart 500, subsequent to forming second dielectric layer 606, second dielectric layer 606 is further subjected to a nitridation process similar to the nitridation process described in association with operation 506 from Flowchart 500. In a specific embodiment, the nitridation occurs following a nitrogen or argon purge of the process chamber following the second radical oxidation process. Alternatively, this nitridation step may be skipped. In accordance with an embodiment of the present invention, no additional deposition processes are used in the formation of second dielectric layer 606.
[0069] Thus, in accordance with an embodiment of the present invention, an
ONO stack including first dielectric layer 602, charge-trapping layer 604 and second dielectric layer 606 is formed in a single pass in a process chamber. By fabricating these layers in a single pass of multiple wafers in the process chamber, high throughput requirements may be met while still ensuring the formation of very high quality films. Upon fabrication of an ONO stack including first dielectric layer 602, charge-trapping layer 604 and second dielectric layer 606, a nonvolatile charge trap memory device may be fabricated to include a patterned portion of the ONO stack. Figure 6E illustrates a cross-sectional view of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[0070] Referring to Figure 6E, a nonvolatile charge trap memory device includes a patterned portion of the ONO stack formed over substrate 600. The ONO stack includes first dielectric layer 602, charge-trapping layer 604 and second dielectric layer 606. A gate layer 608 is disposed on second dielectric layer 606. The nonvolatile charge trap memory device further includes source and drain regions 612 in substrate 600 on either side of the ONO stack, defining a channel region 614 in substrate 600 underneath the ONO stack. A pair of dielectric spacers 610 isolates the sidewalls of first dielectric layer 602, charge-trapping layer 604, second dielectric layer 606 and gate layer 608. In a specific embodiment, channel region 614 is doped P-type and, in an alternative embodiment, channel region 614 is doped N-type.
[0071] In accordance with an embodiment of the present invention, the nonvolatile charge trap memory device described in association with Figure 6E is a SONOS-type device. By convention, SONOS stands for "Semiconductor-Oxide-Nitride- Oxide- Semiconductor," where the first "Semiconductor" refers to the channel region material, the first "Oxide" refers to the tunnel dielectric layer, "Nitride" refers to the charge-trapping dielectric layer, the second "Oxide" refers to the top dielectric layer (also known as a blocking dielectric layer) and the second "Semiconductor" refers to the gate layer. Thus, in accordance with an embodiment of the present invention, first dielectric layer 602 is a tunnel dielectric layer and second dielectric layer 606 is a blocking dielectric layer.
[0072] Gate layer 608 may be composed of any conductor or semiconductor material suitable for accommodating a bias during operation of a SON OS-type transistor. In accordance with an embodiment of the present invention, gate layer 608 is formed by a chemical vapor deposition process and is composed of doped poly-crystalline silicon. In another embodiment, gate layer 608 is formed by physical vapor deposition and is composed of a metal-containing material which may include, but is not limited to, metal nitrides, metal carbides, metal silicides, hafnium, zirconium, titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt or nickel.
[0073] Source and drain regions 612 in substrate 600 may be any regions having opposite conductivity to channel region 614. For example, in accordance with an embodiment of the present invention, source and drain regions 612 are N-type doped regions while channel region 614 is a P-type doped region. In one embodiment, substrate 600 and, hence, channel region 614, is composed of boron-doped single-crystal silicon having a boron concentration in the range of 1 x 1015 - 1 x 1019 atoms/cm3. In that embodiment, source and drain regions 612 are composed of phosphorous- or arsenic doped regions having a concentration of N-type dopants in the range of 5 x 1016 - 5 x 1019 atoms/cm3. In a specific embodiment, source and drain regions 612 have a depth in substrate 600 in the range of 80 - 200 nanometers. In accordance with an alternative embodiment of the present invention, source and drain regions 612 are P-type doped regions while channel region 614 is an N-type doped region. [0074] In another aspect of the present invention, a dielectric layer formed by radical oxidation of the top surface of a substrate in an oxidation chamber may be less susceptible to crystal plane orientation differences in the substrate upon which it is grown. For example, in one embodiment, the cornering effect caused by differential crystal plane oxidation rates is significantly reduced by forming a dielectric layer by a radical oxidation process. Figure 7 A illustrates a cross-sectional view of a substrate including first and second exposed crystal planes, in accordance with an embodiment of the present invention.
[0075] Referring to Figure 7 A, a substrate 700 has isolation regions 702 formed thereon. Substrate 700 may be composed of a material described in association with substrate 600 from Figure 6A. Isolation regions 702 may be composed of an insulating material suitable for adhesion to substrate 700. An exposed portion of substrate 700 extends above the top surface of isolation regions 702. In accordance with an embodiment of the present invention, the exposed portion of substrate 700 has a first exposed crystal plane 704 and a second exposed crystal plane 706. In one embodiment, the crystal orientation of first exposed crystal plane 704 is different from the crystal orientation of second exposed crystal plane 706. In a specific embodiment, substrate 700 is composed of silicon, first exposed crystal plane 704 has < 1 00> orientation, and second exposed crystal plane 706 has <110> orientation.
[0076] Substrate 700 may be subjected to a radical oxidation process to form a dielectric layer by consuming (oxidizing) the top surface of substrate 700. In one embodiment, the oxidizing of substrate 700 by a radical oxidation process includes oxidizing with a radical selected from the group consisting of an OH radical, an H02 radical or an 0 diradical. Figure 7B illustrates a cross-sectional view of substrate 700 including first and second crystal planes 704 and 706, respectively, and having a dielectric layer 708 formed thereon, in accordance with an embodiment of the present invention. In an embodiment, first portion 708A of dielectric layer 708 is formed on first exposed crystal plane 704 and a second portion 708B of dielectric layer 708 is formed on second exposed crystal plane 706, as depicted in Figure 7B. In one embodiment, the thickness TlOf first portion 708 A of dielectric layer 708 is approximately equal to the thickness T2 of second portion 708B of dielectric layer 708, even though the crystal plane orientation of first exposed crystal plane 704 and second exposed crystal plane 706 differ. In a specific embodiment, the radical oxidation of substrate 700 is carried out at a temperature approximately in the range of 600 - 900 degrees Celsius. In a specific embodiment, the radical oxidation of substrate 700 is carried out at a temperature approximately in the range of 700 - 800 degrees Celsius at a pressure approximately in the range of0.5- 5 Torr.
[0077] Thus, a method for fabricating a nonvolatile charge trap memory device has been disclosed. In accordance with an embodiment of the present invention, a substrate is provided having a charge-trapping layer disposed thereon. A portion of the charge-trapping layer is then oxidized to form a blocking dielectric layer above the charge-trapping layer by exposing the charge-trapping layer to a radical oxidation process.
[0078] In another aspect of the present invention, it may be desirable to use a cluster tool to carry out a radical oxidation process. Accordingly, disclosed herein is a method to fabricate a nonvolatile charge trap memory device. A substrate may first be subjected to a first radical oxidation process to form a first dielectric layer in a first process chamber of a cluster tool. In one embodiment, a charge-trapping layer is then deposited above the first dielectric layer in a second process chamber of the cluster tool. The charge-trapping layer may then be subjected to a second radical oxidation process to form a second dielectric layer above the charge-trapping layer. In one embodiment, the second dielectric layer is formed by oxidizing a portion of the charge-trapping layer in the first process chamber of the cluster tool. In a specific embodiment, the cluster tool is a single-wafer cluster tool.
[0079] Formation of a dielectric layer in a chamber of a cluster tool may permit the growth of the dielectric layer at temperatures higher than normally achievable in batch processing chambers. Furthermore, a radical oxidation process may be carried out in the chamber of the cluster tool as the primary pathway for growing the dielectric layer. In accordance with an embodiment of the present invention, a radical oxidation process involving flowing hydrogen (H2) and oxygen (02) gas into an oxidation chamber of a cluster tool is carried out to effect growth of a dielectric layer by oxidation consumption of an exposed substrate or film. In one embodiment, multiple radical oxidation processes are carried out in an oxidation chamber of a cluster tool to provide a tunnel dielectric layer and a blocking dielectric layer for a non-volatile charge trap memory device. These dielectric layers may be of very high quality, even at a reduced thickness. In one embodiment, the tunnel dielectric layer and the blocking dielectric layer are both denser and are composed of substantially fewer hydrogen atoms/cm3 than a tunnel dielectric layer or a blocking dielectric layer formed in a batch process chamber. Furthermore, the substrate upon which a tunnel dielectric layer and a blocking dielectric layer are formed may be exposed to a shorter temperature ramp rate and stabilization time in an oxidation chamber of a cluster tool as compared with a batch process chamber. Thus, in accordance with an embodiment of the present invention embodiment, the impact on the thermal budget of the substrate is reduced by employing a radical oxidation process in an oxidation chamber of a cluster tool. In accordance with another embodiment of the present invention, a dielectric layer formed by carrying out a radical oxidation process in an oxidation chamber of a cluster tool is less susceptible to crystal plane orientation differences in the substrate from which it is grown. In one embodiment, the cornering effect caused by differential crystal plane oxidation rates is significantly reduced by forming a dielectric layer via a radical oxidation process carried out in an oxidation chamber of a cluster tool.
[0080] A portion of a nonvolatile charge trap memory device may be fabricated in a cluster tool. Figure 8 illustrates an arrangement of process chambers in a cluster tool, in accordance with an embodiment of the present invention. Referring to Figure 8, an arrangement of process chambers in a cluster tool 800 includes a transfer chamber 802, a first process chamber 804, a second process chamber 806 and a third process chamber 808. In an embodiment, transfer chamber 802 is for receiving a wafer from an external environment for introduction into cluster tool 800. In one embodiment, each of the process chambers 802, 804 and 806 are arranged in a way such that a wafer may be passed back-and forth between these chambers and transfer chamber 802, as depicted by the double-headed arrows in Figure 8. In accordance with an additional embodiment of the present invention, although not shown, cluster tool 800 may be configured such that a wafer can be transferred directly between any pairing of process chambers 802, 804 or 806.
[0081] Cluster tool 800 may be any cluster tool for which an outside environment is excluded in and between process chambers 804, 806 and 808 and transfer chamber 802. Thus, in accordance with an embodiment of the present invention, once a wafer has entered process chamber 802, it is protected from an external environment as it is moved into and between process chambers 804, 806 and 808 and transfer chamber 802. An example of such a cluster tool is the Centura® platform commercially available from Applied Materials, Inc., located in Santa Clara, CA. In one embodiment, once a wafer has been received by transfer chamber 802, a vacuum of less than approximately 100 mTorr is maintained in cluster tool 800. In accordance with an embodiment of the present invention, cluster tool 800 incorporates a chuck (or multiple chucks, e.g., one chuck for each chamber) upon which the flat surface, as opposed to the edge surface, of a wafer rests on the chuck for processing and transfer events. In one embodiment, by having the flat surface of a wafer rest on the chuck, more rapid ramp rates for heating the wafer are achievable by heating the wafer via the chuck. In a specific embodiment, cluster tool 800 is a single-wafer cluster tool.
[0082] Process chambers 802, 804 and 806 may include, but are not limited to, oxidation chambers, low-pressure chemical vapor deposition chambers, or a combination thereof. For example, in accordance with an embodiment of the present invention, first process chamber 804 is a first oxidation chamber, second process chamber 806 is a low- pressure chemical vapor deposition chamber, and third process chamber 808 is a second oxidation chamber. An example of an oxidation chamber is the In-Situ Steam Generation (ISSG) chamber from Applied Materials, Inc. Examples of low-pressure chemical vapor deposition chambers include a SiNgen™ chamber and an OXYgen™ chamber from Applied Materials, Inc. Instead of heating entire process chambers to heat a wafer, which is the case for typical batch process chambers, a chuck used for carrying a single wafer may be heated to heat the wafer. In accordance with an embodiment of the present invention, a chuck is used to heat a wafer to the desired process temperature. Thus, relatively short temperature ramp times and stabilization times may be achieved.
[0083] A portion of a nonvolatile charge trap memory device may be fabricated in a cluster tool. Figure 9 depicts a Flowchart 900 representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention. Figures 10A-10E illustrates cross-sectional views representing operations in the fabrication of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[0084] Referring to Figure 10A, a substrate 1000 is provided in a cluster tool. In one embodiment, substrate 1000 is provided in a transfer chamber, such as transfer chamber 802 described in association with Figure 8.
[0085] Substrate 1000 may be composed of any material suitable for semiconductor device fabrication. In one embodiment, substrate 1000 is a bulk substrate composed of a single crystal of a material which may include, but is not limited to, silicon, germanium, silicon-germanium or a III-V compound semiconductor material. In another embodiment, substrate 1000 includes a bulk layer with a top epitaxial layer. In a specific embodiment, the bulk layer is composed of a single crystal of a material which may include, but is not limited to, silicon, germanium, silicon-germanium, a III-V compound semiconductor material or quartz, while the top epitaxial layer is composed of a single crystal layer which may include, but is not limited to, silicon, germanium, silicon-germanium or a III-V compound semiconductor material. In another embodiment, substrate 1000 includes a top epitaxial layer on a middle insulator layer which is above a lower bulk layer. The top epitaxial layer is composed of a single crystal layer which may include, but is not limited to, silicon (i.e. to form a silicon-on-insulator (SOI) semiconductor substrate), germanium, silicon-germanium or a III-V compound semiconductor material. The insulator layer is composed of a material which may include, but is not limited to, silicon dioxide, silicon nitride or silicon oxy-nitride. The lower bulk layer is composed of a single crystal which may include, but is not limited to, silicon, germanium, silicon-germanium, a III-V compound semiconductor material or quartz. Substrate 1000 may further include dopant impurity atoms.
[0086] Figure 10B illustrates a cross-sectional view of a substrate having a tunnel dielectric layer formed thereon, corresponding to operation 902 from the Flowchart of Figure 9, in accordance with an embodiment of the present invention. Referring to operation 902 of Flowchart 900 and corresponding Figure 10B, substrate 1000 is subjected to a first radical oxidation process in a first process chamber of the cluster tool to form a first dielectric layer 1002.
[0087] First dielectric layer 1002 may be composed of a material and have a thickness suitable to allow charge carriers to tunnel into a subsequently formed charge trapping layer under an applied gate bias, while maintaining a suitable barrier to leakage when a subsequently formed nonvolatile charge trap memory device is unbiased. In accordance with an embodiment of the present invention, first dielectric layer 1002 is formed by an oxidation process where the top surface of substrate 1000 is consumed. Thus, in an embodiment, first dielectric layer 1002 is composed of an oxide of the material of substrate 1000. For example, in one embodiment, substrate 1000 is composed of silicon and first dielectric layer 1002 is composed of silicon dioxide. In a specific embodiment, first dielectric layer 1002 is formed to a thickness approximately in the range of 1 - 10 nanometers. In a particular embodiment, first dielectric layer 1002 is formed to a thickness approximately in the range of 1.5 - 2.5 nanometers.
[0088] First dielectric layer 1002 may be formed by a radical oxidation process.
In accordance with an embodiment of the present invention, the radical oxidation process involves flowing hydrogen (Hz) and oxygen (Oz) gas into an oxidation chamber, such as the oxidation chambers 804 or 808 described in association with Figure 8. In one embodiment, the partial pressures of Hz and Oz have a ratio to one another approximately in the range of 1 :50- 1 :5. However, in an embodiment, an ignition event is not carried out which would otherwise typically be used to pyrolyze the Hz and Oz to form steam. Instead, Hz and Oz are permitted to react to form radicals at the surface of substrate 1000. In one embodiment, the radicals are used to consume the top portion of substrate 1000 to provide first dielectric layer 1002. In a specific embodiment, the radical oxidation process includes oxidizing with a radical such as, but not limited to, an OH radical, an H02 radical or an O diradical. In a particular embodiment, the radical oxidation process is carried out at a temperature approximately in the range of 950 - 1100 degrees Celsius at a pressure approximately in the range of 5 - 15 Torr. In one embodiment, the radical oxidation process is carried out for a duration of approximately in the range of 1 - 3 minutes. In accordance with an embodiment of the present invention, first dielectric layer 1002 is formed as a high-density, low-hydrogen-content film.
[0089] Referring to operation 904 of Flowchart 900, subsequent to forming first dielectric layer 1002, but prior to any further processing, first dielectric layer 1002 may be subjected to a nitridation process. In an embodiment, the nitridation process is carried out in the same process chamber used to form first dielectric layer 1002. In one embodiment, first dielectric layer 1002 is annealed in the first process chamber, wherein the annealing includes heating substrate 1000 in an atmosphere including nitrogen at a temperature approximately in the range of 900 - 1100 degrees Celsius for a duration approximately in the range of 30 seconds - 60 seconds. In one embodiment, the atmosphere including nitrogen is composed of a gas such as, but not limited to, nitrogen (N2), nitrous oxide (N20), nitrogen dioxide (N02), nitric oxide (NO) or ammonia (NH3). In another embodiment, the nitridation occurs in a separate process chamber. Alternatively, this nitridation step may be skipped.
[0090] Figure IOC illustrates a cross-sectional view of a substrate having a charge-trapping layer formed thereon, corresponding to operation 906 from the Flowchart of Figure 9, in accordance with an embodiment of the present invention. Referring to operation 906 of Flowchart 900 and corresponding Figure IOC, a charge-trapping layer having a first region 1004A and a second region 1004B is formed on first dielectric layer 1002 in the second process chamber of a cluster tool.
[0091] The charge-trapping layer may be composed of a material and have a thickness suitable to store charge and, hence, change the threshold voltage of a subsequently formed gate stack. In accordance with an embodiment of the present invention, the charge-trapping layer is composed of two regions 1004A and 1004B, as depicted in Figure IOC. In an embodiment, region 1 004 A of the charge-trapping layer will remain as an intact charge-trapping layer following subsequent process operations. However, in that embodiment, region 1004 B of the as-formed charge-trapping layer will be consumed to form a second dielectric layer, above region 1004A. In one embodiment, regions 1004A and 1004B of the charge-trapping layer are formed in the same process step and are composed of the same material.
[0092] The charge-trapping layer having regions 1004A and 1004B may be formed by a chemical vapor deposition process. In accordance with an embodiment of the present invention, the charge-trapping layer is composed of a material such as, but not limited to, silicon nitride, silicon oxy-nitride, oxygen-rich silicon oxy-nitride or silicon- rich silicon oxynitride. In an embodiment, the charge-trapping layer is formed on first dielectric layer 1002 in a low-pressure chemical vapor deposition chamber, such as the SiNgen TM low-pressure chemical vapor deposition chamber described in association with process chamber 806 from Figure 8. In one embodiment, the second process chamber is a low-pressure chemical vapor deposition chamber and regions 1004A and 1004B of the charge-trapping layer are formed at a temperature less than the temperature used to form first dielectric layer 1002. In a specific embodiment, regions 1004A and 1004B of the charge-trapping layer are formed at a temperature approximately in the range of 700 - 850 degrees Celsius. In an embodiment, the second process chamber is a low-pressure chemical vapor deposition chamber and the charge-trapping layer is formed by using gases such as, but not limited to, dichlorosilane (H2SiCl2), bis-(tert-butylamino) silane (BTBAS), ammonia (NH3) or nitrous oxide (N20). In accordance with an embodiment of the present invention, the charge-trapping layer is formed to a total thickness approximately in the range of 5 - 15 nanometers and region 1004 B accounts for a thickness approximately in the range of 2 - 3 nanometers of the total thickness of the charge-trapping layer. In that embodiment, region 1 004A accounts for the remaining total thickness of the charge-trapping layer, i.e. the portion of the charge-trapping layer that is not subsequently consumed to form a top or blocking dielectric layer.
[0093] In another aspect of the present invention, the charge-trapping layer may include multiple composition regions. For example, in accordance with an embodiment of the present invention, the charge-trapping layer includes an oxygen-rich portion and a silicon-rich portion and is formed by depositing an oxygen-rich oxy-nitride film by a first composition of gases in the second process chamber and, subsequently, depositing a silicon-rich oxy-nitride film by a second composition of gases in the second process chamber. In one embodiment, the charge-trapping layer is formed by modifying the flow rate of ammonia (NH3) gas, and introducing nitrous oxide (N20) and dichlorosilane (SiH2Cb) to provide the desired gas ratios to yield first an oxygen-rich oxy-nitride film and then a silicon-rich oxy-nitride film. In a specific embodiment, the oxygen-rich oxynitride film is formed by introducing a process gas mixture including N20, NH3 and SiH2Cb, while maintaining the chamber at a pressure approximately in the range of0.5- 500 Torr, and maintaining substrate 1000 at a temperature approximately in the range of 700 - 850 degrees Celsius, for a period approximately in the range of 2.5 - 20 minutes. In a further embodiment, the process gas mixture includes N20 and NH3 having a ratio of from about 8: 1 to about 1 :8 and SiH2Cb and NH3 having a ratio of from about 1 :7 to about 7: 1, and can be introduced at a flow rate approximately in the range of 5 - 200 standard cubic centimeters per minute (seem). In another specific embodiment, the silicon-rich oxy-nitride film is formed by introducing a process gas mixture including N20, NH3 and SiH2Cb, while maintaining the chamber at a pressure approximately in the range of0.5- 500 Torr, and maintaining substrate 1000 at a temperature approximately in the range of 700 - 850 degrees Celsius, for a period approximately in the range of 2.5 - 20 minutes. In a further embodiment, the process gas mixture includes N20 and NH3 having a ratio of from about 8: 1 to about 1 :8 and SiH2Cb and NH3 mixed in a ratio of from about 1 :7 to about 7: 1, introduced at a flow rate of from about 5 to about 20 seem. In accordance with an embodiment of the present invention, the charge-trapping layer comprises a bottom oxygen-rich silicon oxy-nitride portion having a thickness approximately in the range of2.5- 3.5 nanometers and a top silicon-rich silicon oxynitride portion having a thickness approximately in the range of 9 - 10 nanometers. In one embodiment, a region 1 004B of charge-trapping layer accounts for a thickness approximately in the range of 2-3 nanometers of the total thickness of the top silicon-rich silicon oxy-nitride portion of the charge-trapping layer. Thus, region 1004B, which is targeted for subsequent consumption to form a second dielectric layer, may be composed entirely of silicon-rich silicon oxy-nitride.
[0094] Figure 10D illustrates a cross-sectional view of a substrate having a top dielectric layer formed thereon, corresponding to operation 908 from the Flowchart of Figure 9, in accordance with an embodiment of the present invention. Referring to operation 908 of Flowchart 900 and corresponding Figure 10D, a second dielectric layer 1006 is formed on charge-trapping layer 1004 in the first process chamber of the cluster tool. [0095] Second dielectric layer 1006 may be composed of a material and have a thickness suitable to maintain a barrier to charge leakage without significantly decreasing the capacitance of a subsequently formed gate stack in a nonvolatile charge trap memory device. In accordance with an embodiment of the present invention, second dielectric layer 1006 is formed by consuming region 1004B of the charge trapping layer formed in operation 906, described in association with Figure IOC. Thus, in one embodiment region 1004B is consumed to provide second dielectric layer 1006, while region 1004A remains a charge-trapping layer 1004. In a specific embodiment, region 1004B is a silicon-rich silicon oxy-nitride region having a thickness approximately in the range of 2 - 3 nanometers and is oxidized to form second dielectric layer 1006 having a thickness approximately in the range of3.5- 4.5 nanometers. In that embodiment, second dielectric layer 1006 is composed of silicon dioxide.
[0096] Second dielectric layer 1006 may be formed by a second radical oxidation process. In accordance with an embodiment of the present invention, the second radical oxidation process involves flowing hydrogen (Hz) and oxygen (Oz) gas into an oxidation chamber, such as the oxidation chambers 804 or 808 described in association with Figure 8. In one embodiment, the partial pressures of Hz and Oz have a ratio to one another approximately in the range of 1 :50- 1 :5. However, in an embodiment, an ignition event is not carried out which would otherwise typically be used to pyrolyze the Hz and Oz to form steam. Instead, Hz and Oz are permitted to react to form radicals at the surface of region 1 004 B. In one embodiment, the radicals are used to consume region 1 004 B to provide second dielectric layer 1006. In a specific embodiment, the second radical oxidation process includes oxidizing with a radical such as, but not limited to, an OH radical, an H02 radical or an O diradical. In a particular embodiment, the second radical oxidation process is carried out at a temperature approximately in the range of 950 - 1100 degrees Celsius at a pressure approximately in the range of 5 - 15 Torr. In one embodiment, the second radical oxidation process is carried out for a duration approximately in the range of 1 - 3 minutes. In accordance with an embodiment of the present invention, first dielectric layer 1002 is formed as a high-density, low-hydrogen content film. In one embodiment, no additional deposition step is required to form a complete second dielectric layer 1006, as depicted in Figure 10D and shown in Flowchart 900. Depending on wafer pass-through logistics in the cluster tool, the second radical oxidation process may be carried out in the same, i.e. first, chamber as the first radical oxidation process used to form first dielectric layer 1002 or in a different, e.g. third, process chamber of the cluster tool. Thus, in accordance with an embodiment of the present invention, reference to a first process chamber can be used to mean reintroduction into the first process chamber or to mean introduction into a process chamber different from the first process chamber.
[0097] Referring to operation 910 of Flowchart 900, subsequent to forming second dielectric layer 1006, but prior to removing substrate 1000 from the cluster tool, second dielectric layer 1006 may be further subjected to a nitridation process in the first process chamber. In accordance with an embodiment of the present invention, the nitridation process includes annealing second dielectric layer 1006 in an atmosphere including nitrogen at a temperature approximately in the range of 900 - 1100 degrees Celsius for a duration approximately in the range of 30 seconds - 60 seconds. In one embodiment, the atmosphere including nitrogen is composed of a gas such as, but not limited to, nitrogen (N2), nitrous oxide (N20), nitrogen dioxide (N02), nitric oxide (NO) or ammonia (NH3). Alternatively, this nitridation step, i.e. operation 910 from Flowchart 900, may be skipped and the wafer unloaded from the cluster tool.
[0098] Thus, in accordance with an embodiment of the present invention, an
ONO stack including first dielectric layer 1002, charge-trapping layer 1004 and second dielectric layer 1006 is formed in a single pass in a cluster tool. By fabricating these layers in a single pass in the cluster tool, pristine interfaces between first dielectric layer 1002 and charge-trapping layer 1004 and between charge-trapping layer 1004 and second dielectric layer 1006 may be preserved. In one embodiment, first dielectric layer 1002, charge-trapping layer 1004 and second dielectric layer 1006 are formed without breaking vacuum in the cluster tool. In one embodiment, each layer is formed at a different temperature to tailor film properties without incurring significant ramp time penalties. Furthermore, by fabricating these layers in a cluster tool, as opposed to fabricating in batch processing tools, the overall uniformity of the stack of layers may be optimized. For example, in accordance with an embodiment of the present invention, by fabricating layers 1002, 1004 and 1006 in a cluster tool, the variability in thickness of the stack of layers 1002, 1004 and 1006 across a single wafer may be reduced by as much as approximately 30%. In an exemplary embodiment, lcr is approximately in the range of 1 - 2% of the thickness of first dielectric layer 1002. In a specific embodiment, the cluster tool is a single-wafer cluster tool.
[0099] Upon fabrication of an ONO stack including first dielectric layer 1002, charge-trapping layer 1004 and second dielectric layer 1006, a nonvolatile charge trap memory device may be fabricated to include a patterned portion of the ONO stack. Figure 10E illustrates a cross-sectional view of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[00100] Referring to Figure 10E, a nonvolatile charge trap memory device includes a patterned portion of the ONO stack formed over substrate 1000. The ONO stack includes first dielectric layer 1002, charge-trapping layer 1004 and second dielectric layer 1006. A gate layer 1008 is disposed on second dielectric layer 1006. The nonvolatile charge trap memory device further includes source and drain regions 1012 in substrate 1000 on either side of the ONO stack, defining a channel region 1014 in substrate 1000 underneath the ONO stack. A pair of dielectric spacers 1010 isolates the sidewalls of first dielectric layer 1002, charge-trapping layer 1004, second dielectric layer 1006 and gate layer 1008. In a specific embodiment, channel region 1014 is doped P-type and, in an alternative embodiment, channel region 1014 is doped N-type.
[00101] In accordance with an embodiment of the present invention, the nonvolatile charge trap memory device described in association with Figure 10E is a SONOS-type device. By convention, SONOS stands for "Semiconductor-Oxide-Nitride- Oxide- Semiconductor," where the first "Semiconductor" refers to the channel region material, the first "Oxide" refers to the tunnel dielectric layer, "Nitride" refers to the charge-trapping dielectric layer, the second "Oxide" refers to the top dielectric layer (also known as a blocking dielectric layer) and the second "Semiconductor" refers to the gate layer. Thus, in accordance with an embodiment of the present invention, first dielectric layer 1002 is a tunnel dielectric layer and second dielectric layer 1006 is a blocking dielectric layer. [00102] Gate layer 1008 may be composed of any conductor or semiconductor material suitable for accommodating a bias during operation of a SON OS-type transistor. In accordance with an embodiment of the present invention, gate layer 1008 is formed by a chemical vapor deposition process and is composed of doped poly-crystalline silicon. In another embodiment, gate layer 1008 is formed by physical vapor deposition and is composed of a metal-containing material which may include, but is not limited to, metal nitrides, metal carbides, metal silicides, hafnium, zirconium, titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt or nickel.
[00103] Source and drain regions 1012 in substrate 1000 may be any regions having opposite conductivity to channel region 1014. For example, in accordance with an embodiment of the present invention, source and drain regions 1012 are N-type doped regions while channel region 1014 is a P-type doped region. In one embodiment, substrate 1000 and, hence, channel region 1014, is composed of boron-doped single crystal silicon having a boron concentration in the range of 1 x 1015 - 1 x 1019 atoms/cm3. In that embodiment, source and drain regions 1012 are composed of phosphorous- or arsenic-doped regions having a concentration of N -type dopants in the range of 5 x 1016 - 5 x 1019 atoms/cm3. In a specific embodiment, source and drain regions 1012 have a depth in substrate 1000 in the range of 80 - 200 nanometers. In accordance with an alternative embodiment of the present invention, source and drain regions 1012 are P- type doped regions while channel region 1014 is an-N-type doped region.
[00104] In another aspect of the present invention, a charge-trapping layer may include multiple composition regions, where the composition region closest to a tunnel dielectric layer is subjected to a radical oxidation process. Figure 11 depicts a Flowchart 1100 representing a series of operations in a method for fabricating a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention. Figures 12A -12E illustrate cross-sectional views representing operations in the fabrication of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[00105] Figure 12A illustrates a cross-sectional view of a substrate having a first dielectric layer formed thereon, corresponding to operation 1102 from the Flowchart of Figure 11, in accordance with an embodiment of the present invention. Referring to operation 1102 of Flowchart 1100 and corresponding Figure 12 A, substrate 1200 is subjected to a first radical oxidation process in a first process chamber of a cluster tool to form a first dielectric layer 1202. Substrate 1200 and first dielectric layer 1202 may be composed of materials described in association with substrate 1 000 and first dielectric layer 1002 from Figures 10A and 10B, respectively. The radical oxidation process used to form first dielectric layer 1202 may be similar to the radical oxidation process used to form first dielectric layer 1002, described in association with Figure 10B.
[00106] Referring to operation 1104 of Flowchart 1100, subsequent to forming first dielectric layer 1202, but prior to any further processing, first dielectric layer 1202 may be subjected to a nitridation process. The nitridation process may be similar to the nitridation process described in association with operation 904 of Flowchart 900. In one embodiment, the nitridation process is carried out in the same process chamber used to form first dielectric layer 1202. In another embodiment, the nitridation occurs in a separate process chamber. Alternatively, this nitridation step may be skipped. [00107] Figure 12B illustrates a cross-sectional view of a substrate having an oxygen-rich silicon oxy-nitride portion of a charge-trapping layer formed thereon, corresponding to operation 1106 from the Flowchart of Figure 11, in accordance with an embodiment of the present invention. Referring to operation 1106 of Flowchart 1100 and corresponding Figure 12B, an oxygen-rich silicon oxy-nitride portion 1204A is formed on first dielectric layer 1202 in a second process chamber of the cluster tool. Oxygen-rich silicon oxy-nitride portion 1204 A may be composed of an oxygen-rich silicon oxynitride material and formed by a technique described in association with first region 1 004A from Figure IOC.
[00108] Referring to operation 1108 from Flowchart 1100, in accordance with an embodiment of the present invention, oxygen-rich silicon oxy-nitride portion 1204 A is subjected to a second radical oxidation process in the first process chamber of the cluster tool. The second radical oxidation process may be similar to one of the radical oxidation processes used to form first dielectric layer 1002 or second dielectric layer 1006, described in association with Figures 10B and 10D, respectively. In an embodiment, carrying out the second radical oxidation process is made possible because oxygen-rich silicon oxy-nitride portion 1204 A is maintained in the environment within the tool and thus retains a pristine surface. In one embodiment, the second radical oxidation process densities oxygen-rich silicon oxy-nitride portion 1204 A. Depending on wafer pass- through logistics in the cluster tool, the second radical oxidation process may be carried out in the same, i.e. first, chamber as the radical oxidation process used to form first dielectric layer 1202 or in a different, e.g. third, process chamber. Thus, in accordance with an embodiment of the present invention, reference to a first process chamber can be used to mean reintroduction into the first process chamber or to mean introduction into a process chamber different from the first process chamber.
[00109] Figure 12C illustrates a cross-sectional view of a substrate having a silicon-rich silicon oxy-nitride portion of a charge-trapping layer formed thereon, corresponding to operation 1110 from the Flowchart of Figure 11, in accordance with an embodiment of the present invention. Referring to operation 111 0 of Flowchart 1100 and corresponding Figure 12C, a silicon-rich silicon oxy-nitride portion having a first region 1204B and a second region 1204C is formed on oxygen-rich silicon oxy-nitride portion 1204A in the second process chamber of the cluster tool. The silicon-rich silicon oxynitride portion may be composed of a silicon-rich silicon oxy-nitride material and formed by a technique described in association with second region 1004B from Figure IOC. Depending on wafer pass-through logistics in the cluster tool, the deposition of silicon-rich silicon oxy-nitride portion of the charge-trapping layer may be carried out in the same, i.e. second, chamber as the deposition of oxygen-rich silicon oxy-nitride portion 1204 A of the charge-trapping layer or in a different process chamber. Thus, in accordance with an embodiment of the present invention, reference to a second process chamber can be used to mean reintroduction into the second process chamber or to mean introduction into a process chamber different from the second process chamber.
[00110] Figure 12D illustrates a cross-sectional view of a substrate having a top dielectric layer formed thereon, corresponding to operation 1112 from the Flowchart of Figure 11, in accordance with an embodiment of the present invention. Referring to operation 1112 of Flowchart 1100 and corresponding Figure 12D, a second dielectric layer 1206 is formed on charge-trapping layer 1204 in the first process chamber of the cluster tool. In accordance with an embodiment of the present invention, second dielectric layer 1206 is formed by consuming second region 1204C of the silicon-rich silicon oxy- nitride portion by a third radical oxidation process. Thus, in one embodiment, the remaining charge-trapping layer 1204 between first dielectric layer 1202 and second dielectric layer 1204 is composed of oxygen-rich silicon oxy-nitride portion 1204 A and first region 1204B of the silicon-rich silicon oxy-nitride portion 1204, as depicted in Figure 12D. The third radical oxidation process used to consume second region 1204C of the silicon-rich silicon oxy-nitride portion to provide second dielectric layer 1206 may be similar to the radical oxidation process used to form second dielectric layer 1006, described in association with Figure 10D. Depending on wafer pass-through logistics in the cluster tool, the third radical oxidation process may be carried out in the same, i.e. first, chamber as the radical oxidation process used to form first dielectric layer 1202 or in a different, e.g. third, process chamber. Thus, in accordance with an embodiment of the present invention, reference to a first process chamber can be used to mean reintroduction into the first process chamber or to mean introduction into a process chamber different from the first process chamber.
[00111] Referring to operation 1114 of Flowchart 1100, subsequent to forming second dielectric layer 1206, but prior to removing substrate 1200 from the cluster tool, second dielectric layer 1206 may be further subjected to a nitridation process in the first process chamber. The nitridation process may be similar to the nitridation process described in association with operation 910 from Flowchart 900. In one embodiment, the nitridation process is carried out in the same process chamber used to form second dielectric layer 1206. In another embodiment, the nitridation occurs in a separate process chamber. Alternatively, this nitridation step may be skipped.
[00112] Upon fabrication of an ONO stack including first dielectric layer 1202, charge-trapping layer 1204 and second dielectric layer 1206, a nonvolatile charge trap memory device may be fabricated to include a patterned portion of the ONO stack. Figure 12E illustrates a cross-sectional view of a nonvolatile charge trap memory device, in accordance with an embodiment of the present invention.
[00113] Referring to Figure 12E, a nonvolatile charge trap memory device includes a patterned portion of the ONO stack formed over substrate 1200. The ONO stack includes first dielectric layer 1202, charge-trapping layer 1204 and second dielectric layer 1206. A gate layer 1208 is disposed on second dielectric layer 1206. The nonvolatile charge trap memory device further includes source and drain regions 1212 in substrate 1200 on either side of the ONO stack, defining a channel region 1214 in substrate 1200 underneath the ONO stack. A pair of dielectric spacers 1210 isolates the sidewalls of first dielectric layer 1202, charge-trapping layer 1204, second dielectric layer 1206 and gate layer 1208. In accordance with an embodiment of the present invention, charge-trapping layer 1204 is composed of an oxygen-rich silicon oxy-nitride portion 1204A and a silicon-rich silicon oxy-nitride portion 1204B, as depicted in Figure 12E. In one embodiment, the nonvolatile charge trap memory device is a SONOS-type device. Gate layer 1208, source and drain regions 1212 and channel region 1214 may be composed of materials described in association with gate layer 1008, source and drain regions 1012 and channel region 1014 from Figure 10E. [00114] In another aspect of the present invention, a dielectric layer formed by radical oxidation of the top surface of a substrate in an oxidation chamber may be less susceptible to crystal plane orientation differences in the substrate upon which it is grown. For example, in one embodiment, the cornering effect caused by differential crystal plane oxidation rates is significantly reduced by forming a dielectric layer in an oxidation chamber of a cluster tool. Figure 13A illustrates a cross-sectional view of a substrate including first and second exposed crystal planes, in accordance with an embodiment of the present invention.
[00115] Referring to Figure 13 A, a substrate 1300 has isolation regions 1302 formed thereon. Substrate 1300 may be composed of a material described in association with substrate 1000 from Figure 10A. Isolation regions 1302 may be composed of an insulating material suitable for adhesion to substrate 1300. An exposed portion of substrate 1300 extends above the top surface of isolation regions 1302. In accordance with an embodiment of the present invention, the exposed portion of substrate 1300 has a first exposed crystal plane 1304 and a second exposed crystal plane 1306. In one embodiment, the crystal orientation of first exposed crystal plane 1304 is different from the crystal orientation of second exposed crystal plane 1306. In a specific embodiment, substrate 1300 is composed of silicon, first exposed crystal plane 1304 has <1 00> orientation, and second exposed crystal plane 1306 has <110> orientation.
[00116] Substrate 1300 may be subjected to a radical oxidation process in a cluster tool to form a dielectric layer by consuming (oxidizing) the top surface of substrate 1300. In one embodiment, the oxidizing of substrate 1300 by a radical oxidation process includes oxidizing with a radical selected from the group consisting of an OH radical, an H02 radical or an 0 diradical. Figure 13B illustrates a cross-sectional view of substrate 1300 including first and second crystal planes 1304 and 1306, respectively, and having a dielectric layer 1308 formed thereon, in accordance with an embodiment of the present invention. In an embodiment, first portion 1308A of dielectric layer 1308 is formed on first exposed crystal plane 1304 and a second portion 1308B of dielectric layer 1308 is formed on second exposed crystal plane 1306, as depicted in Figure 13B. In one embodiment, the thickness TlOf first portion 1308A of dielectric layer 1308 is approximately equal to the thickness T2 of second portion 1308B of dielectric layer 1308, even though the crystal plane orientation of first exposed crystal plane 1304 and second exposed crystal plane 1306 differ. In a specific embodiment, the radical oxidation of substrate 1300 is carried out at a temperature approximately in the range of 950 - 1100 degrees Celsius at a pressure approximately in the range of 5- 15 Torr. In one embodiment, subsequent to forming dielectric layer 1308, substrate 1300 is annealed in the oxidation chamber in an atmosphere including nitrogen at a temperature approximately in the range of 900 - 1100 degrees Celsius for a duration approximately in the range of 30 seconds- 60 seconds.
Implementations and Alternatives
[00117] In one aspect the present disclosure is directed to memory devices including an oxide split multi-layer charge storing structure. Figure 14 is a block diagram illustrating a cross-sectional side view of an embodiment of one such semiconductor memory device 1400. The memory device 1400 includes a SONONOS stack 1402 including an ONONO structure 1404 formed over a surface 1406 of a substrate 1408. Substrate 1408 includes one or more diffusion regions 1410, such as source and drain regions, aligned to the gate stack 1402 and separated by a channel region 1412. Generally, the SONONOS structure 1402 includes a polysilicon or metal gate layer 1414 formed upon and in contact with the ONONO structure 1404. The gate 1414 is separated or electrically isolated from the substrate 1408 by the ONONO structure 1404. The ONONO structure 1404 includes a thin, lower oxide layer or tunneling oxide layer 1416 that separates or electrically isolates the stack 1402 from the channel region 1412, a top or blocking oxide layer 1420, and a multi-layer charge storing layer 1404. The multilayer charge storing layer generally includes at least two nitride layers having differing compositions of silicon, oxygen and nitrogen, including a silicon-rich, nitrogen-rich, and oxygen-lean top nitride layer 1418, a silicon-rich, oxygen-rich, the bottom nitride layer 1419, and an oxide, anti-tunneling layer 1421.
[00118] It has been found that a silicon-rich, oxygen-rich, bottom nitride layer
1419 decreases the charge loss rate after programming and after erase, which is manifested in a small voltage shift in the retention mode, while a silicon-rich, nitrogen- rich, and oxygen-lean top nitride layer 1418 improves the speed and increases of the initial difference between program and erase voltage without compromising a charge loss rate of memory devices made using an embodiment of the silicon-oxide-oxynitride- oxide-silicon structure, thereby extending the operating life of the device.
[00119] It has further been found the anti-tunneling layer 1421 substantially reduces the probability of electron charge that accumulates at the boundaries of the upper nitride layer 1418 during programming from tunneling into the bottom nitride layer 1419, resulting in lower leakage current than for the structure illustrated in Figure. 1. [00120] The multi-layer charge storing layer can have an overall thickness of from about 50 A to about 150 A, and in certain embodiments less than about 100 A, with the with the thickness of the anti-tunneling layer 1421 being from about 5 A to about 20 A, and the thicknesses of the nitride layers 1418, 1419, being substantially equal.
[00121] A method or forming or fabricating a split multi-layer charge storing structure according to one embodiment will now be described with reference to the flowchart of Figure 15.
[00122] Referring to Figure 15, the method begins with forming a first oxide layer, such as a tunneling oxide layer, over a silicon containing layer on a surface of a substrate (1500). As noted above, the tunneling oxide layer can be formed or deposited by any suitable means, including a plasma oxidation process, In-Situ Steam Generation (ISSG) or a radical oxidation process. In one embodiment, the radical oxidation process involves flowing hydrogen (H2) and oxygen (02) gas into a processing chamber or furnace to effect growth of a the tunneling oxide layer by oxidation consumption of a portion of the substrate.
[00123] Next, the first or bottom nitride or nitride containing layer of the multilayer charge storing layer is formed on a surface of the tunneling oxide layer (1502). In one embodiment, the nitride layers are formed or deposited in a low pressure CVD process using a silicon source, such as silane (SiH4), chlorosilane (SiH3Cl), dichlorosilane or DCS (SiH2Cl2), tetrachlorosilane (SiCl4) or Bis-TertiaryButylAmino Silane (BTBAS), a nitrogen source, such as nitrogen (N2), ammonia (NH3), nitrogen trioxide (N03) or nitrous oxide (N20), and an oxygen-containing gas, such as oxygen (02) or N20. Alternatively, gases in which hydrogen has been replaced by deuterium can be used, including, for example, the substitution of deuterated-ammonia (ND3) for NH3. The substitution of deuterium for hydrogen advantageously passivates Si dangling bonds at the silicon-oxide interface, thereby increasing an NBTI (Negative Bias Temperature Instability) lifetime of the devices.
[00124] For example, the lower or bottom nitride layer can be deposited over the tunneling oxide layer by placing the substrate in a deposition chamber and introducing a process gas including N20, NH3 and DCS, while maintaining the chamber at a pressure of from about 5 milliTorr (mT) to about 500 mT, and maintaining the substrate at a temperature of from about 700 degrees Celsius to about 850 degrees Celsius and in certain embodiments at least about 760 degrees Celsius, for a period of from about 2.5 minutes to about 20 minutes. In particular, the process gas can include a first gas mixture of N20 and NH3 mixed in a ratio of from about 8: 1 to about 1 :8 and a second gas mixture of DCS and NH3 mixed in a ratio of from about 1 :7 to about 7: 1, and can be introduced at a flow rate of from about 5 to about 200 standard cubic centimeters per minute (seem). It has been found that an oxynitride layer produced or deposited under these condition yields a silicon-rich, oxygen-rich, bottom nitride layer.
[00125] Next, the anti-tunneling layer is formed or deposited on a surface of the bottom nitride layer (1504). As with the tunneling oxide layer, the anti-tunneling layer can be formed or deposited by any suitable means, including a plasma oxidation process, In-Situ Steam Generation (ISSG) or a radical oxidation process. In one embodiment, the radical oxidation process involves flowing hydrogen (H2) and oxygen (02) gas into a batch-processing chamber or furnace to effect growth of the anti-tunneling layer by oxidation consumption of a portion of the bottom nitride layer. [00126] The second or top nitride layer of the multi-layer charge storing layer is then formed on a surface of the anti-tunneling layer (1506). The top nitride layer can be deposited over the anti-tunneling layer 1421 in a CVD process using a process gas including N20, NH3 and DCS, at a chamber pressure of from about 5 mT to about 500 mT, and at a substrate temperature of from about 700 degrees Celsius to about 850 degrees Celsius and in certain embodiments at least about 760 degrees Celsius, for a period of from about 2.5 minutes to about 20 minutes. In particular, the process gas can include a first gas mixture of N20 and NH3 mixed in a ratio of from about 8: 1 to about 1 :8 and a second gas mixture of DCS and NH3 mixed in a ratio of from about 1 :7 to about 7: 1, and can be introduced at a flow rate of from about 5 to about 20 seem. It has been found that an oxynitride layer produced or deposited under these condition yields a silicon-rich, nitrogen-rich, and oxygen-lean top nitride layer 1418, which improves the speed and increases of the initial difference between program and erase voltage without compromising a charge loss rate of memory devices made using an embodiment of the silicon-oxide-oxynitride-oxide-silicon structure, thereby extending the operating life of the device.
[00127] In some embodiments, the silicon-rich, nitrogen-rich, and oxygen-lean top nitride layer can be deposited over the anti-tunneling layer in a CVD process using a process gas including BTBAS and ammonia (NH3) mixed at a ratio of from about 7: 1 to about 1 :7 to further include a concentration of carbon selected to increase the number of traps therein. The selected concentration of carbon in the second oxynitride layer can include a carbon concentration of from about 5% to about 15%. [00128] Finally, a top, blocking oxide layer or HTO layer is formed on a surface of the second layer of the multi-layer charge storing layer (1508). As with the tunneling oxide layer and the anti-tunneling layer the HTO layer can be formed or deposited by any suitable means, including a plasma oxidation process, In-Situ Steam Generation (ISSG) or a radical oxidation process. In one embodiment, the HTO layer is formed using a plasma oxidation performed in a plasma process chamber. Typical deposition conditions used for this process are - R.F power in the range 1500 W to 10000W, H2 and 02 with H2 volume percent between 0% and 90%, substrate temperature between 300 C to 400C, deposition time being 20 to 60 sec
[00129] Alternatively, the HTO layer is formed using an ISSG oxidation process.
In one embodiment, the ISSG is performed in an RTP chamber, such as the ISSG chamber from Applied Materials described above, at pressures of from about 8 to 12 Torr and a temperature of about 1050 °C with an oxygen rich gas mixture hydrogen to which from about 0.5% to 33% hydrogen has been added. The deposition time is in the range 20 to 60 sec.
[00130] It will be appreciated that in either embodiment the thickness of the top nitride layer may be adjusted or increased as some of the top nitride layer will be effectively consumed or oxidized during the process of forming the HTO layer.
[00131] Optionally, the method may further include forming or depositing a metal or polysilicon containing layer on a surface of the HTO layer to form a gate layer of the transistor or device (1508). The gate layer can be, for example, a polysilicon layer deposited by a CVD process to form a silicon-oxide-nitride-oxide-nitride-oxide-silicon (SONOS) structure. [00132] In another aspect the present disclosure is also directed to multigate or multigate-surface memory devices including charge-trapping regions overlying two or more sides of a channel formed on or above a surface of a substrate, and methods of fabricating the same. Multigate devices include both planar and non-planar devices. A planar multigate device (not shown) generally includes a double-gate planar device in which a number of first layers are deposited to form a first gate below a subsequently formed channel, and a number of second layers are deposited thereover to form a second gate. A non-planar multigate device generally includes a horizontal or vertical channel formed on or above a surface of a substrate and surrounded on three or more sides by a gate.
[00133] FIG. 16A illustrates one embodiment of a non-planar multigate memory device including a charge-trapping region. Referring to FIG. 16A, the memory device 1600, commonly referred to as a finFET, includes a channel 1602 formed from a thin film or layer of semiconducting material overlying a surface 1604 on a substrate 1606 connecting a source 1608 and a drain 1610 of the memory device. The channel 1602 is enclosed on three sides by a fin which forms a gate 1612 of the device. The thickness of the gate 1612 (measured in the direction from source to drain) determines the effective channel length of the device.
[00134] In accordance with the present disclosure, the non-planar multigate memory device 1600 of FIG. 16A can include a split charge-trapping region. FIG. 16B is a cross-sectional view of a portion of the non-planar memory device of FIG. 16A including a portion of the substrate 1606, channel 1602 and the gate 1612 illustrating a multi-layer charge storing layer 1614. The gate 1612 further includes a tunnel oxide layer 1616 overlying a raised channel 1602, a blocking dielectric 1618 and a metal gate layer 1620 overlying the blocking layer to form a control gate of the memory device 1600. In some embodiments a doped polysilicon may be deposited instead of metal to provide a polysilicon gate layer. The channel 1602 and gate 1612 can be formed directly on substrate 1606 or on an insulating or dielectric layer 1622, such as a buried oxide layer, formed on or over the substrate.
[00135] Referring to FIG. 16B, the multi-layer charge storing layer 1614 includes at least one lower or bottom charge-trapping layer 1624 including nitride closer to the tunnel oxide layer 1616, and an upper or top charge-trapping layer 1626 overlying the bottom charge-trapping layer. Generally, the top charge-trapping layer 1626 includes a silicon-rich, oxygen-lean nitride layer and includes a majority of a charge traps distributed in multiple charge-trapping layers, while the bottom charge-trapping layer 1624 includes an oxygen-rich nitride or silicon oxynitride, and is oxygen-rich relative to the top charge-trapping layer to reduce the number of charge traps therein. By oxygen- rich it is meant wherein a concentration of oxygen in the bottom charge-trapping layer 1624 is from about 15 to about 40%, whereas a concentration of oxygen in top charge- trapping layer 1626 is less than about 5%.
[00136] In one embodiment, the blocking dielectric 1618 also includes an oxide, such as an HTO, to provide an ONNO structure. The channel 1602 and the overlying ONNO structure can be formed directly on a silicon substrate 1606 and overlaid with a doped polysilicon gate layer 1620 to provide a SONNOS structure.
[00137] In some embodiments, such as that shown in FIG. 16B, the multi-layer charge storing layer 1614 further includes at least one thin, intermediate or anti-tunneling layer 1628 including a dielectric, such as an oxide, separating the top charge -trapping layer 1626 from the bottom charge-trapping layer 1624. As noted above, the anti- tunneling layer 1628 substantially reduces the probability of electron charge that accumulates at the boundaries of the upper nitride layer 1626 during programming from tunneling into the bottom nitride layer 1624.
[00138] As with the embodiments described above, either or both of the bottom charge-trapping layer 1624 and the top charge-trapping layer 1626 can include silicon nitride or silicon oxynitride, and can be formed, for example, by a CVD process including N2O/NH3 and DCS/NH3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich and oxygen-rich oxynitride layer. The second nitride layer of the multi-layer charge storing structure is then formed on the middle oxide layer. The top charge-trapping layer 1626 has a stoichiometric composition of oxygen, nitrogen and/or silicon different from that of the bottom charge-trapping layer 1624, and may also be formed or deposited by a CVD process using a process gas including DCS/NH3 and N2O/NH3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich, oxygen-lean top nitride layer.
[00139] In those embodiments including an intermediate or anti-tunneling layer
1628 including oxide, the anti-tunneling layer can be formed by oxidation of the bottom oxynitride layer, to a chosen depth using radical oxidation. Radical oxidation may be performed, for example, at a temperature of 1000-1100 degrees Celsius using a single wafer tool, or 800-900 degrees Celsius using a batch reactor tool. A mixture of H2 and 02 gasses may be employed at a pressure of 300-500 Tor for a batch process, or 10-15 Tor using a single vapor tool, for a time of 1-2 minutes using a single wafer tool, or 30 min -1 hour using a batch process.
[00140] Finally, in those embodiments including a blocking dielectric 1618 including oxide the oxide may be formed or deposited by any suitable means. In one embodiment the oxide of the blocking dielectric 1618 is a high temperature oxide deposited in a HTO CVD process. Alternatively, the blocking dielectric 1618 or blocking oxide layer may be thermally grown, however it will be appreciated that in this embodiment the top nitride thickness may be adjusted or increased as some of the top nitride will be effectively consumed or oxidized during the process of thermally growing the blocking oxide layer. A third option is to oxidize the top nitride layer to a chosen depth using radical oxidation.
[00141] A suitable thickness for the bottom charge-trapping layer 1624 may be from about 3θΑ to about 160A (with some variance permitted, for example ±10 A), of which about 5 -20 A may be consumed by radical oxidation to form the anti-tunneling layer 1628. A suitable thickness for the top charge-trapping layer 1626 may be at least 30A. In certain embodiments, the top charge-trapping layer 1626 may be formed up to 130A thick, of which 30-70A may be consumed by radical oxidation to form the blocking dielectric 1618. A ratio of thicknesses between the bottom charge-trapping layer 1624 and top charge-trapping layer 1626 is approximately 1 : 1 in some embodiments, although other ratios are also possible.
[00142] In other embodiments, either or both of the top charge-trapping layer 1626 and the blocking dielectric 1618 may include a high K dielectric. Suitable high K dielectrics include hafnium based materials such as HfSiON, HfSiO or HfO, Zirconium based material such as ZrSiON, ZrSiO or ZrO, and Yttrium based material such as Y2O3.
[00143] In another embodiment, shown in Figures 17A and 17B, the memory device can include a nanowire channel formed from a thin film of semiconducting material overlying a surface on a substrate connecting a source and a drain of the memory device. By nanowire channel it is meant a conducting channel formed in a thin strip of crystalline silicon material, having a maximum cross-sectional dimension of about 10 nanometers (nm) or less, and more preferably less than about 6 nm. Optionally, the channel can be formed to have <100> surface crystalline orientation relative to a long axis of the channel.
[00144] Referring to Figure 17A, the memory device 1700 includes a horizontal nanowire channel 1702 formed from a thin film or layer of semiconducting material on or overlying a surface on a substrate 1706, and connecting a source 1708 and a drain 1710 of the memory device. In the embodiment shown, the device has a gate-all-around (GAA) structure in which the nanowire channel 1702 is enclosed on all sides by a gate 1712 of the device. The thickness of the gate 1712 (measured in the direction from source to drain) determines the effective channel length of the device.
[00145] In accordance with the present disclosure, the non-planar multigate memory device 1700 of Figure 17A can include a split charge-trapping region. Figure 17B is a cross-sectional view of a portion of the non-planar memory device of Figure 17A including a portion of the substrate 1706, nanowire channel 1702 and the gate 1712 illustrating a split charge-trapping region. Referring to Figure 17B, the gate 1712 includes a tunnel oxide 1714 overlying the nanowire channel 1702, a split charge-trapping region, a blocking dielectric 1716 and a gate layer 1718 overlying the blocking layer to form a control gate of the memory device 1700. The gate layer 1718 can comprise a metal or a doped polysilicon. The split charge-trapping region includes at least one inner charge- trapping layer 1720 comprising nitride closer to the tunnel oxide 1714, and an outer charge-trapping layer 1722 overlying the inner charge-trapping layer. Generally, the outer charge-trapping layer 1722 comprises a silicon-rich, oxygen- lean nitride layer and comprises a majority of a charge traps distributed in multiple charge-trapping layers, while the inner charge-trapping layer 1720 comprises an oxygen-rich nitride or silicon oxynitride, and is oxygen-rich relative to the outer charge-trapping layer to reduce the number of charge traps therein.
[00146] In some embodiments, such as that shown, the split charge-trapping region further includes at least one thin, intermediate or anti-tunneling layer 1724 comprising a dielectric, such as an oxide, separating outer charge-trapping layer 1722 from the inner charge-trapping layer 1720. The anti-tunneling layer 1724 substantially reduces the probability of electron charge that accumulates at the boundaries of outer charge -trapping layer 1722 during programming from tunneling into the inner charge-trapping layer 1720, resulting in lower leakage current.
[00147] As with the embodiment described above, either or both of the inner charge-trapping layer 1720 and the outer charge-trapping layer 1722 can comprise silicon nitride or silicon oxynitride, and can be formed, for example, by a CVD process including N2O/NH3 and DCS/NH3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich and oxygen-rich oxynitride layer. The second nitride layer of the multi-layer charge storing structure is then formed on the middle oxide layer. The outer charge-trapping layer 1722 has a stoichiometric composition of oxygen, nitrogen and/or silicon different from that of the inner charge-trapping layer 1720, and may also be formed or deposited by a CVD process using a process gas including DCS/NH3 and N2O/NH3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich, oxygen-lean top nitride layer.
[00148] In those embodiments including an intermediate or anti-tunneling layer
1724 comprising oxide, the anti-tunneling layer can be formed by oxidation of the inner charge-trapping layer 1720, to a chosen depth using radical oxidation. Radical oxidation may be performed, for example, at a temperature of 1000-1100 degrees Celsius using a single wafer tool, or 800-900 degrees Celsius using a batch reactor tool. A mixture of H2 and 02 gasses may be employed at a pressure of 300-500 Tor for a batch process, or 10- 15 Tor using a single vapor tool, for a time of 1-2 minutes using a single wafer tool, or 30 min -1 hour using a batch process.
[00149] Finally, in those embodiments in which the blocking dielectric 1716 comprises oxide, the oxide may be formed or deposited by any suitable means. In one embodiment the oxide of blocking dielectric 1716 is a high temperature oxide deposited in a HTO CVD process. Alternatively, the blocking dielectric 1716 or blocking oxide layer may be thermally grown, however it will be appreciated that in this embodiment the thickness of the outer charge-trapping layer 1722 may need to be adjusted or increased as some of the top nitride will be effectively consumed or oxidized during the process of thermally growing the blocking oxide layer.
[00150] A suitable thickness for the inner charge-trapping layer 1720 may be from about 30A to about 80A (with some variance permitted, for example ±10 A), of which about 5 -20 A may be consumed by radical oxidation to form the anti-tunneling layer 1724. A suitable thickness for the outer charge-trapping layer 1722 may be at least 3θΑ. In certain embodiments, the outer charge-trapping layer 1722 may be formed up to 17θΑ thick, of which 30-70 A may be consumed by radical oxidation to form the blocking dielectric 1716. A ratio of thicknesses between the inner charge-trapping layer 1720 and the outer charge-trapping layer 1722 is approximately 1 : 1 in some embodiments, although other ratios are also possible.
[00151] In other embodiments, either or both of the outer charge-trapping layer
1722 and the blocking dielectric 1716 may comprise a high K dielectric. Suitable high K dielectrics include hafnium based materials such as HfSiON, HfSiO or HfO, Zirconium based material such as ZrSiON, ZrSiO or ZrO, and Yttrium based material such as Y2O3.
[00152] Figure 17C illustrates a cross-sectional view of a vertical string of non- planar multigate devices 1700 of Figure 17A arranged in a Bit-Cost Scalable or BiCS architecture 1726. The architecture 1726 consists of a vertical string or stack of non- planar multigate devices 1700, where each device or cell includes a channel 1702 overlying the substrate 1706, and connecting a source and a drain (not shown in this figure) of the memory device, and having a gate-all-around (GAA) structure in which the nanowire channel 1702 is enclosed on all sides by a gate 1712. The BiCS architecture reduces number of critical lithography steps compared to a simple stacking of layers, leading to a reduced cost per memory bit.
[00153] In another embodiment, the memory device is or includes a non-planar device comprising a vertical nanowire channel formed in or from a semiconducting material projecting above or from a number of conducting, semiconducting layers on a substrate. In one version of this embodiment, shown in cut-away in Figure 18A, the memory device 1800 comprises a vertical nanowire channel 1802 formed in a cylinder of semiconducting material connecting a source 1804 and drain 1806 of the device. The channel 1802 is surrounded by a tunnel oxide 1808, a charge-trapping region 1810, a blocking layer 1812 and a gate layer 1814 overlying the blocking layer to form a control gate of the memory device 1800. The channel 1802 can include an annular region in an outer layer of a substantially solid cylinder of semiconducting material, or can include an annular layer formed over a cylinder of dielectric filler material. As with the horizontal nanowires described above, the channel 1802 can comprise polysilicon or recrystallized polysilicon to form a monocrystalline channel. Optionally, where the channel 1802 includes a crystalline silicon, the channel can be formed to have <100> surface crystalline orientation relative to a long axis of the channel.
[00154] In some embodiments, such as that shown in Figure 18B, the charge- trapping region 1810 can be a split charge-trapping region including at least a first or inner charge trapping layer 1816 closest to the tunnel oxide 1808, and a second or outer charge trapping layer 1818. Optionally, the first and second charge trapping layers can be separated by an intermediate oxide or anti-tunneling layer 1820.
[00155] As with the embodiments described above, either or both of the first charge trapping layer 1816 and the second charge trapping layer 1818 can comprise silicon nitride or silicon oxynitride, and can be formed, for example, by a CVD process including N2O/NH3 and DCS/NH3 gas mixtures in ratios and at flow rates tailored to provide a silicon-rich and oxygen-rich oxynitride layer. [00156] Finally, either or both of the second charge trapping layer 1818 and the blocking layer 1812 may comprise a high K dielectric, such as HfSiON, HfSiO, HfO, ZrSiON, ZrSiO, ZrO, or Y203.
[00157] A suitable thickness for the first charge trapping layer 1816 may be from about 30A to about 8θΑ (with some variance permitted, for example ±10 A), of which about 5 -20 A may be consumed by radical oxidation to form the anti-tunneling layer 1820. A suitable thickness for the second charge trapping layer 1818 may be at least 30 A, and a suitable thickness for the blocking dielectric 1812 may be from about 30-70 A.
[00158] The memory device 1800 of Figure 18A can be made using either a gate first or a gate last scheme. Figures 19A-F illustrate a gate first scheme for fabricating the non-planar multigate device of Figure 18 A. Figures 20A-F illustrate a gate last scheme for fabricating the non-planar multigate device of Figure 18 A.
[00159] Referring to Figure 19A, in a gate first scheme a first or lower dielectric layer 1902, such as a blocking oxide, is formed over a first, doped diffusion region 1904, such as a source or a drain, in a substrate 1906. A gate layer 1908 is deposited over the first dielectric layer 1902 to form a control gate of the device, and a second or upper dielectric layer 1910 formed thereover. As with embodiments described above, the first and second dielectric layers 1902, 1910, can be deposited by CVD, radical oxidation or be formed by oxidation of a portion of the underlying layer or substrate. The gate layer 1908 can comprise a metal deposited or a doped polysilicon deposited by CVD. Generally the thickness of the gate layer 1908 is from about 40- 5θΑ, and the first and second dielectric layers 1902, 1910, from about 20- 8θΑ. [00160] Referring to Figure 19B, a first opening 1912 is etched through the overlying gate layer 1908, and the first and second dielectric layers 1902, 1910, to the diffusion region 1904 in the substrate 1906. Next, layers of a tunneling oxide 1914, charge-trapping region 1916, and blocking dielectric 1918 are sequentially deposited in the opening and the surface of the upper dielectric layer 1910 planarize to yield the intermediate structure shown in Figure 19C.
[00161] Although not shown, it will be understood that as in the embodiments described above the charge-trapping region 1916 can include a split charge-trapping region comprising at least one lower or bottom charge-trapping layer closer to the tunnel oxide 1914, and an upper or top charge-trapping layer overlying the bottom charge- trapping layer. Generally, the top charge-trapping layer comprises a silicon-rich, oxygen- lean nitride layer and comprises a majority of a charge traps distributed in multiple charge-trapping layers, while the bottom charge-trapping layer comprises an oxygen-rich nitride or silicon oxynitride, and is oxygen-rich relative to the top charge-trapping layer to reduce the number of charge traps therein. In some embodiments, the split charge- trapping region 1916 further includes at least one thin, intermediate or anti-tunneling layer comprising a dielectric, such as an oxide, separating the top charge-trapping layer from the bottom charge-trapping layer.
[00162] Next, a second or channel opening 1920 is anisotropically etched through tunneling oxide 1914, charge-trapping region 1916, and blocking dielectric 1918, Figure 19D. Referring to Figure 19E, a semiconducting material 1922 is deposited in the channel opening to form a vertical channel 1924 therein. The vertical channel 1924 can include an annular region in an outer layer of a substantially solid cylinder of semiconducting material, or, as shown in Figure 19E, can include a separate, layer semiconducting material 1922 surrounding a cylinder of dielectric filler material 1926.
[00163] Referring to Figure 19F, the surface of the upper dielectric layer 1910 is planarized and a layer of semiconducting material 1928 including a second, doped diffusion region 1930, such as a source or a drain, formed therein deposited over the upper dielectric layer to form the device shown.
[00164] Referring to Figure 20A, in a gate last scheme a dielectric layer 2002, such as an oxide, is formed over a sacrificial layer 2004 on a surface on a substrate 2006, an opening etched through the dielectric and sacrificial layers and a vertical channel 2008 formed therein. As with embodiments described above, the vertical channel 2008 can include an annular region in an outer layer of a substantially solid cylinder of semiconducting material 2010, such as polycrystalline or monocrystalline silicon, or can include a separate, layer semiconducting material surrounding a cylinder of dielectric filler material (not shown). The dielectric layer 2002 can comprise any suitable dielectric material, such as a silicon oxide, capable of electrically isolating the subsequently formed gate layer of the memory device 1800 from an overlying electrically active layer or another memory device. The sacrificial layer 2004 can comprise any suitable material that can be etched or removed with high selectivity relative to the material of the dielectric layer 2002, substrate 2006 and vertical channel 2008.
[00165] Referring to Figure 20B, a second opening 2012 is etched through the etched through the dielectric and sacrificial layers 2002, 2004, to the substrate 1906, and the sacrificial layer 2004 etched or removed. The sacrificial layer 2004 can comprise any suitable material that can be etched or removed with high selectivity relative to the material of the dielectric layer 2002, substrate 2006 and vertical channel 2008. In one embodiment the sacrificial layer 2004 comprises that can be removed by Buffered Oxide Etch (BOE etch).
[00166] Referring to Figure 20C and 20D, layers of a tunneling oxide 2014, charge-trapping region 2016, and blocking dielectric 2018 are sequentially deposited in the opening and the surface of the dielectric layer 2002 planarize to yield the intermediate structure shown in Figure 20C. In some embodiments, such as that shown in Figure 20D, the charge-trapping region 2016 can be a split charge-trapping region including at least a first or inner charge trapping layer 2016a closest to the tunnel oxide 2014, and a second or outer charge trapping layer 2016b. Optionally, the first and second charge trapping layers can be separated by an intermediate oxide or anti-tunneling layer 2020.
[00167] Next, a gate layer 2022 is deposited into the second opening 2012 and the surface of the upper dielectric layer 2002 planarized to yield the intermediate structure illustrated in Figure 20E. As with embodiments described above, the gate layer 2022 can comprise a metal deposited or a doped polysilicon. Finally, an opening 2024 is etched through the gate layer 2022 to form control gate of separate memory devices 2026.
[00168] Thus, a method for fabricating a nonvolatile charge trap memory device has been disclosed. In accordance with an embodiment of the present invention, a substrate is subjected to a first radical oxidation process to form a first dielectric layer in a first process chamber of a cluster tool. A charge-trapping layer may then be deposited above the first dielectric layer in a second process chamber of the cluster tool. In one embodiment, the charge-trapping layer is then subjected to a second radical oxidation process to form a second dielectric layer above the charge-trapping layer by oxidizing a portion of the charge-trapping layer in the first process chamber of the cluster tool. By forming all layers of an oxide -nitride-oxide (ONO) stack in a cluster tool, interface damage may be reduced between the respective layers. Thus, in accordance with an embodiment of the present invention, an ONO stack is fabricated in a single pass in a cluster tool in order to preserve a pristine interface between the layers in the ONO stack. In a specific embodiment, the cluster tool is a single-wafer cluster tool.

Claims

IN THE CLAIMS WHAT IS CLAIMED IS:
1. A method of fabricating a memory device, comprising:
subjecting a substrate to a first oxidation process to form a tunnel oxide layer overlying a channel connecting a source and a drain of the memory device formed in the substrate, wherein the channel comprises polysilicon;
forming a multi-layer charge storing layer overlying the tunnel oxide layer, the multi-layer charge storing layer comprising an oxygen-rich, first layer comprising a nitride on the tunnel oxide layer in which a stoichiometric composition of the first layer results in it being substantially trap free, and an oxygen-lean, second layer comprising a nitride on the first layer in which a stoichiometric composition of the second layer results in it being trap dense; and
subjecting the substrate to a second oxidation process to consume a portion of the second layer and form a high-temperature-oxide (HTO) layer overlying the multilayer charge storing layer.
2. The method of claim 1, wherein the second oxidation process comprises a plasma oxidation process.
3. The method of claim 2, wherein the channel comprises recrystallized polysilicon.
4. The method of claim 1, wherein the second oxidation process comprises an In-Situ Steam Generation (ISSG) process.
5. The method of claim 4, wherein the channel comprises recrystallized polysilicon.
6. The method of claim 4, wherein the channel comprises a silicon nanowire.
7. The method of claim 1, wherein at least one of the first or second oxidation processes is a radical oxidation process comprising flowing hydrogen (H2) and oxygen (02) gas into a process chamber, and forming radicals at a surface of the second oxynitride layer to consume a portion of the second layer and form the HTO layer without an ignition event to pyrolyze the H2 and 02.
8. The method of claim 1, wherein the first layer is separated from the second layer by an anti-tunneling layer comprising an oxide.
9. A method of fabricating a memory device, comprising:
subjecting a substrate to a first oxidation process to form a tunnel oxide layer overlying a channel connecting a source and a drain of the memory device formed in the substrate, wherein the channel comprises polysilicon;
forming a multi-layer charge storing layer overlying the tunnel oxide layer, the multi-layer charge storing layer comprising a first layer comprising a nitride closer to the tunnel oxide layer, and a second layer comprising a nitride, wherein the first layer is separated from the second layer by an anti-tunneling layer comprising an oxide; and subjecting the substrate to a second oxidation process to consume a portion of the second layer and form a high-temperature-oxide (HTO) layer overlying the multilayer charge storing layer.
10. The method of claim 9, wherein the second oxidation process comprises a plasma oxidation process.
11. The method of claim 10, wherein the channel comprises recrystallized polysilicon.
12. The method of claim 9, wherein the second oxidation process comprises an In-Situ Steam Generation (ISSG) process.
13. The method of claim 12, wherein the channel comprises recrystallized polysilicon.
14. The method of claim 12, wherein the channel comprises a silicon nanowire.
15. The method of claim 9, wherein at least one of the first or second oxidation processes is a radical oxidation process comprising flowing hydrogen (H2) and oxygen (02) gas into a process chamber, and forming radicals at a surface of the second oxynitride layer to consume a portion of the second layer and form the HTO layer without an ignition event to pyrolyze the H2 and 02.
16. A method of fabricating a memory device, comprising:
forming on a surface of a substrate a stack of layers including at least a first dielectric layer, a gate layer and a second dielectric layer, wherein the gate layer is separated from the surface of the substrate by the first dielectric layer and the second dielectric layer is separated from the first dielectric layer by the gate layer;
forming an opening extending through the stack of layers to a first doped diffusion region formed on the surface of the substrate;
forming on sidewalls of the opening a high-temperature-oxide (HTO) layer;
forming on an inside sidewall of the HTO layer a multi-layer charge storing layer, the multi-layer charge storing layer comprising an oxygen-lean, first oxynitride layer on the HTO layer in which a stoichiometric composition of the first oxynitride layer results in it being trap dense, and an oxygen-rich, second oxynitride layer on the first oxynitride layer in which a stoichiometric composition of the first oxynitride layer results in it being substantially trap free;
forming on an inside sidewall of the multi-layer charge storing layer a tunnel oxide layer; and
forming on an inside sidewall of the tunnel oxide layer a vertical channel comprising polysilicon, wherein the vertical channel electrically couples first doped diffusion region to a second doped diffusion region formed in a layer of semiconducting material subsequently formed over the stack of layers and the opening.
17. The method of claim 16, wherein the HTO layer is formed by a plasma oxidation process.
18. The method of claim 16, wherein the HTO layer is formed by an In-Situ Steam Generation (ISSG) process.
19. The method of claim 16, wherein the first oxynitride layer is separated from the second oxynitride layer by an anti-tunneling layer comprising an oxide, and wherein the HTO layer is formed by a plasma oxidation process.
20. The method of claim 16, wherein the first oxynitride layer is separated from the second oxynitride layer by an anti-tunneling layer comprising an oxide, and wherein the HTO layer is formed by an In-Situ Steam Generation (ISSG) process.
PCT/US2013/048876 2012-07-01 2013-07-01 Radical oxidation process for fabricating a nonvolatile charge trap memory device WO2014008161A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020147035340A KR102018278B1 (en) 2012-07-01 2013-07-01 Radical oxidation process for fabricating a nonvolatile charge trap memory device
KR1020197025446A KR102146640B1 (en) 2012-07-01 2013-07-01 Radical oxidation process for fabricating a nonvolatile charge trap memory device
CN201380031969.9A CN104781916A (en) 2012-07-01 2013-07-01 Radical oxidation process for fabricating a nonvolatile charge trap memory device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/539,458 2012-07-01
US13/539,458 US8940645B2 (en) 2007-05-25 2012-07-01 Radical oxidation process for fabricating a nonvolatile charge trap memory device

Publications (1)

Publication Number Publication Date
WO2014008161A1 true WO2014008161A1 (en) 2014-01-09

Family

ID=49882446

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2013/048876 WO2014008161A1 (en) 2012-07-01 2013-07-01 Radical oxidation process for fabricating a nonvolatile charge trap memory device

Country Status (4)

Country Link
KR (2) KR102146640B1 (en)
CN (2) CN109755135A (en)
TW (2) TWI594327B (en)
WO (1) WO2014008161A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10211217B2 (en) 2017-06-20 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109148468A (en) * 2018-09-26 2019-01-04 长江存储科技有限责任公司 A kind of 3D nand memory

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283261B2 (en) * 2007-05-25 2012-10-09 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6709928B1 (en) * 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP2004095918A (en) * 2002-08-30 2004-03-25 Fasl Japan Ltd Semiconductor memory device and its manufacturing method
KR100501457B1 (en) * 2003-02-04 2005-07-18 동부아남반도체 주식회사 Semiconductor device hving a sononos structure for quantum trap device
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
US7405125B2 (en) 2004-06-01 2008-07-29 Macronix International Co., Ltd. Tunnel oxynitride in flash memories
US7504700B2 (en) * 2005-04-21 2009-03-17 International Business Machines Corporation Method of forming an ultra-thin [[HfSiO]] metal silicate film for high performance CMOS applications and semiconductor structure formed in said method
CN101563783A (en) * 2005-09-23 2009-10-21 Nxp股份有限公司 Memory device with improved performance and method of manufacturing such a memory device
JP2007251132A (en) * 2006-02-16 2007-09-27 Toshiba Corp Monos type nonvolatile memory cell, nonvolatile memory and manufacture thereof
TW200810116A (en) * 2006-08-02 2008-02-16 Univ Nat Sun Yat Sen Thin film transistor device with nonvolatile memory function
US20080150003A1 (en) * 2006-12-20 2008-06-26 Jian Chen Electron blocking layers for electronic devices
KR100877100B1 (en) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 Methods for manufacturing non-volatile memory device
US8614124B2 (en) * 2007-05-25 2013-12-24 Cypress Semiconductor Corporation SONOS ONO stack scaling
KR20090036850A (en) * 2007-10-10 2009-04-15 주식회사 하이닉스반도체 Flash memory device and manufacturing method thereof
KR20090041196A (en) * 2007-10-23 2009-04-28 삼성전자주식회사 Nonvolatile memory device, method of fabricating the same and system incorporating the same
KR20090052682A (en) * 2007-11-21 2009-05-26 삼성전자주식회사 Non-volatile memory device, and memory card and system including the same
US7732891B2 (en) * 2008-06-03 2010-06-08 Kabushiki Kaisha Toshiba Semiconductor device
KR20100000652A (en) * 2008-06-25 2010-01-06 삼성전자주식회사 Non-volatile memory device, and memory card and system including the same
CN102117810A (en) * 2009-12-30 2011-07-06 中国科学院微电子研究所 Charge trapping type nonvolatile memory and manufacturing method thereof
EP3534408A1 (en) * 2012-03-27 2019-09-04 Cypress Semiconductor Corporation Sonos stack with split nitride memory layer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8283261B2 (en) * 2007-05-25 2012-10-09 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8318608B2 (en) * 2007-05-25 2012-11-27 Cypress Semiconductor Corporation Method of fabricating a nonvolatile charge trap memory device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10211217B2 (en) 2017-06-20 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10665602B2 (en) 2017-06-20 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11031412B2 (en) 2017-06-20 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11574918B2 (en) 2017-06-20 2023-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Also Published As

Publication number Publication date
KR20190108619A (en) 2019-09-24
CN104781916A (en) 2015-07-15
KR20150040807A (en) 2015-04-15
TW201737344A (en) 2017-10-16
TW201419419A (en) 2014-05-16
CN109755135A (en) 2019-05-14
TWI709174B (en) 2020-11-01
KR102146640B1 (en) 2020-08-21
KR102018278B1 (en) 2019-09-05
TWI594327B (en) 2017-08-01

Similar Documents

Publication Publication Date Title
US20200287056A1 (en) Radical oxidation process for fabricating a nonvolatile charge trap memory device
US10312336B2 (en) Memory transistor with multiple charge storing layers and a high work function gate electrode
US8993453B1 (en) Method of fabricating a nonvolatile charge trap memory device
US10263087B2 (en) Nonvolatile charge trap memory device having a deuterated layer in a multi-layer charge-trapping region
US9449831B2 (en) Oxide-nitride-oxide stack having multiple oxynitride layers
CN111180525B (en) Oxide nitride oxide stack with multiple oxynitride layers
US20190319104A1 (en) Nonvolatile charge trap memory device having a deuterated layer in a multi-layer charge-trapping region
WO2014008166A1 (en) Memory transistor with multiple charge storing layers
KR102146640B1 (en) Radical oxidation process for fabricating a nonvolatile charge trap memory device
WO2014008157A1 (en) Nonvolatile charge trap memory device having a deuterated layer in a multy-layer charge-trapping region

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13813881

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20147035340

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13813881

Country of ref document: EP

Kind code of ref document: A1