WO2013105310A1 - Composé d'aluminium, matériau de départ pour former une couche mince, et procédé pour produire une couche mince - Google Patents

Composé d'aluminium, matériau de départ pour former une couche mince, et procédé pour produire une couche mince Download PDF

Info

Publication number
WO2013105310A1
WO2013105310A1 PCT/JP2012/075334 JP2012075334W WO2013105310A1 WO 2013105310 A1 WO2013105310 A1 WO 2013105310A1 JP 2012075334 W JP2012075334 W JP 2012075334W WO 2013105310 A1 WO2013105310 A1 WO 2013105310A1
Authority
WO
WIPO (PCT)
Prior art keywords
thin film
raw material
aluminum
aluminum compound
compound
Prior art date
Application number
PCT/JP2012/075334
Other languages
English (en)
Japanese (ja)
Inventor
雅子 畑▲瀬▼
山田 直樹
桜井 淳
翼 白鳥
Original Assignee
株式会社Adeka
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社Adeka filed Critical 株式会社Adeka
Publication of WO2013105310A1 publication Critical patent/WO2013105310A1/fr

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic System
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/062Al linked exclusively to C
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic System
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/066Aluminium compounds with C-aluminium linkage compounds with Al linked to an element other than Al, C, H or halogen (this includes Al-cyanide linkage)
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Definitions

  • the present invention relates to a novel aluminum compound having a specific organic ligand, a raw material for forming a thin film containing the compound, and a method for producing a thin film using the raw material to form a thin film containing aluminum. .
  • Thin film materials containing aluminum elements exhibit specific electrical and optical properties and are applied in various applications.
  • aluminum and aluminum alloy thin films are used as LSI wiring materials because of their high conductivity and electromigration resistance.
  • Aluminum oxide thin films are hard coating films for machine parts and tools; semiconductor memory insulating films and gates. Insulating films, dielectric films; electronic components such as MR heads for hard disks; optical glass for optical communication circuits and the like.
  • Examples of the method for producing the thin film include a sputtering method, an ion plating method, a MOD method such as a coating pyrolysis method and a sol-gel method, a chemical vapor deposition method, etc., but has excellent composition controllability and step coverage. Since it has many advantages such as being suitable for mass production and capable of hybrid integration, a chemical vapor deposition (hereinafter sometimes simply referred to as CVD) method including an ALD (Atomic Layer Deposition) method. Is the optimal manufacturing process.
  • CVD chemical vapor deposition
  • ALD Atomic Layer Deposition
  • Patent Document 2 discloses a general formula containing the aluminum compound of the present invention as a raw material component of a catalyst composition, but there is no description of the aluminum compound of the present invention, and the use of this component as a raw material for forming a thin film. There is no description.
  • Patent Document 3 discloses a general formula containing the aluminum compound of the present invention as a thin film forming raw material, but there is no description of the aluminum compound of the present invention, and AlMe 2 is the most preferable thin film forming raw material among alkoxyalanes. (O i Pr) has been reported. However, AlMe 2 (O i Pr) has low thermal stability and is not a compound that can be satisfactorily satisfied as a raw material for chemical vapor deposition.
  • Patent Document 4 reports dimethylaluminum t-butoxide as a material for coating a base material with aluminum oxide by chemical vapor deposition.
  • dimethylaluminum t-butoxide has a high melting point and is not a compound that is sufficiently satisfactory as a raw material for chemical vapor deposition.
  • the properties required of a compound (precursor) suitable for the raw material are not pyrophoric and can be transported in a liquid state with a low melting point. That is, the vapor pressure is large and it is easy to vaporize, and the thermal stability is high.
  • a precursor that has become a gas phase by heating is transported to the substrate without being thermally decomposed, adsorbed to the substrate heated to a high temperature without being thermally decomposed, and then reacted with the reactive gas introduced. Therefore, in order to carry out the process of forming a thin film, the high thermal stability of the precursor is important. None of the conventional aluminum compounds can be satisfactorily satisfactory in these respects.
  • the present invention provides an aluminum compound represented by the following chemical formula (I), a raw material for forming a thin film containing the same, and a method for producing a thin film using the raw material to form a thin film containing aluminum. Is.
  • an aluminum compound that is not pyrophoric, liquid at room temperature, exhibits sufficient volatility, and has high thermal stability.
  • the compound is suitable as a raw material for forming a thin film by a CVD method.
  • FIG. 1 is a schematic view showing an example of an apparatus for chemical vapor deposition used in the method for producing a thin film containing aluminum according to the present invention.
  • FIG. 2 is a schematic view showing another example of the chemical vapor deposition apparatus used in the method for producing a thin film containing aluminum according to the present invention.
  • FIG. 3 is a schematic diagram showing another example of an apparatus for chemical vapor deposition used in the method for producing a thin film containing aluminum according to the present invention.
  • the aluminum compound of the present invention is represented by the above chemical formula (I), and is suitable as a precursor for a thin film production method having a vaporization step such as a CVD method. It is suitable as a precursor used in the above.
  • the secondary butyl group in the chemical formula (I) is a group having an optically active site, but the aluminum compound of the present invention is not particularly distinguished by the R-form and S-form, and either of them may be used. It may be a mixture of R and S isomers in any ratio.
  • the racemate is inexpensive to manufacture.
  • the aluminum compound of the present invention is not particularly limited by its production method, and is produced by applying a known reaction. As a manufacturing method, it can obtain by making secondary butyl alcohol react with trimethylaluminum, for example.
  • the thin film forming raw material of the present invention is a thin film precursor made of the above-described aluminum compound of the present invention, and its form varies depending on the manufacturing process to which the thin film forming raw material is applied.
  • the raw material for forming a thin film of the present invention does not contain a metal compound and a semimetal compound other than the aluminum compound.
  • the raw material for forming a thin film according to the present invention includes a compound and / or metalloid containing a metal other than aluminum in addition to the above aluminum compound.
  • the thin film forming raw material of the present invention may further contain an organic solvent and / or a nucleophilic reagent.
  • the raw material for forming a thin film of the present invention is suitable for chemical vapor deposition (hereinafter sometimes referred to as CVD raw material) because the physical properties of the aluminum compound as a precursor are suitable for CVD and ALD methods. Useful as.
  • the raw material for forming a thin film of the present invention is a raw material for chemical vapor deposition
  • the form is appropriately selected depending on the method such as the transport and supply method of the CVD method used.
  • the raw material for CVD is vaporized by heating and / or decompressing in a container in which the raw material is stored (hereinafter sometimes simply referred to as a raw material container), and is necessary.
  • Gas transport method, CVD which introduces the vapor into a film forming chamber (hereinafter sometimes referred to as a deposition reaction part) where a substrate is installed, together with a carrier gas such as argon, nitrogen, helium, etc.
  • a carrier gas such as argon, nitrogen, helium, etc.
  • the aluminum compound itself represented by the chemical formula (I) can be used as a CVD raw material.
  • the aluminum compound itself represented by the above chemical formula (I) or a solution obtained by dissolving the compound in an organic solvent can be used as a raw material for CVD.
  • These CVD raw materials may further contain other precursors, nucleophilic reagents and the like.
  • the CVD raw material is vaporized and supplied independently for each component (hereinafter sometimes referred to as a single source method), and the multi-component raw material is mixed in advance with a desired composition.
  • a method of vaporizing and supplying a mixed raw material hereinafter, sometimes referred to as a cocktail sauce method.
  • a cocktail sauce method a mixture of the aluminum compound of the present invention and another precursor or a mixed solution obtained by dissolving the mixture in an organic solvent can be used as a raw material for CVD.
  • This mixture or mixed solution may further contain a nucleophilic reagent and the like.
  • the CVD raw material containing the R body and the CVD raw material containing the S body may be vaporized separately. Or you may vaporize the raw material for CVD containing the mixture of R body and S body.
  • the organic solvent is not particularly limited and a well-known general organic solvent can be used.
  • the organic solvent include alcohols such as methanol, ethanol, isopropyl alcohol, and n-butanol; acetates such as ethyl acetate, butyl acetate, and methoxyethyl acetate; tetrahydrofuran, tetrahydropyran, ethylene glycol dimethyl ether, diethylene glycol dimethyl ether, Ethers such as triethylene glycol dimethyl ether, dibutyl ether, dioxane; ketones such as methyl butyl ketone, methyl isobutyl ketone, ethyl butyl ketone, dipropyl ketone, diisobutyl ketone, methyl amyl ketone, cyclohexanone, methylcyclohexanone; hexane, cyclohexane, Methylcyclohexane
  • the total amount of the precursor in the CVD raw material which is a solution obtained by dissolving the precursor in the organic solvent, is 0.01 to 2.0 mol / liter, particularly 0.05 to 1.0 mol / liter. It is preferable to make it liter.
  • the amount of the entire precursor is the amount of the aluminum compound of the present invention when the thin film forming raw material of the present invention does not contain a metal compound and a semimetal compound other than the aluminum compound of the present invention.
  • the forming raw material contains a compound containing a metal other than aluminum and / or a compound containing a metalloid in addition to the aluminum compound, this is the total amount of the aluminum compound of the present invention and another precursor.
  • Examples of the other precursor include one or more selected from the group consisting of compounds used as organic ligands such as alcohol compounds, glycol compounds, ⁇ -diketone compounds, cyclopentadiene compounds, and organic amine compounds.
  • organic ligands such as alcohol compounds, glycol compounds, ⁇ -diketone compounds, cyclopentadiene compounds, and organic amine compounds.
  • a compound with silicon or metal (except aluminum) can be used.
  • the precursor metal species include magnesium, calcium, strontium, barium, titanium, zirconium, hafnium, vanadium, niobium, tantalum, manganese, iron, ruthenium, cobalt, rhodium, iridium, nickel, palladium, platinum, copper, silver , Gold, zinc, gallium, indium, germanium, tin, lead, antimony, bismuth, scandium, yttrium, lanthanum, cerium, praseodymium, neodymium, promethium, samarium, europium, gadolinium, terbium, dysprosium, holmium, erbium, thulium, ytterbium , Lutetium.
  • Examples of the alcohol compound used as the organic ligand include methanol, ethanol, propanol, isopropyl alcohol, butanol, secondary butyl alcohol, isobutyl alcohol, tertiary butyl alcohol, pentyl alcohol, isopentyl alcohol, and tertiary pentyl alcohol.
  • Alkyl alcohols 2-methoxyethanol, 2-ethoxyethanol, 2-butoxyethanol, 2- (2-methoxyethoxy) ethanol, 2-methoxy-1-methylethanol, 2-methoxy-1,1-dimethylethanol, 2-ethoxy-1,1-dimethylethanol, 2-isopropoxy-1,1-dimethylethanol, 2-butoxy-1,1-dimethylethanol, 2- (2-methoxyethoxy) -1,1-dimethylethanol , Ether alcohols such as 2-propoxy-1,1-diethylethanol, 2-s-butoxy-1,1-diethylethanol, 3-methoxy-1,1-dimethylpropanol; dimethylaminoethanol, ethylmethylaminoethanol Diethylaminoethanol, dimethylamino-2-pentanol, ethylmethylamino-2-pentanol, dimethylamino-2-methyl-2-pentanol, ethylmethylamino-2-methyl-2-pent
  • glycol compound used as the organic ligand of the other precursor examples include 1,2-ethanediol, 1,2-propanediol, 1,3-propanediol, 2,4-hexanediol, 2,2- Dimethyl-1,3-propanediol, 2,2-diethyl-1,3-propanediol, 1,3-butanediol, 2,4-butanediol, 2,2-diethyl-1,3-butanediol, 2 -Ethyl-2-butyl-1,3-propanediol, 2,4-pentanediol, 2-methyl-1,3-propanediol, 2-methyl-2,4-pentanediol, 2,4-hexanediol, Examples include 2,4-dimethyl-2,4-pentanediol.
  • ⁇ -diketone compounds include acetylacetone, hexane-2,4-dione, 5-methylhexane-2,4-dione, heptane-2,4-dione, 2-methylheptane-3,5-dione, 5 -Methylheptane-2,4-dione, 6-methylheptane-2,4-dione, 2,2-dimethylheptane-3,5-dione, 2,6-dimethylheptane-3,5-dione, 2,2 , 6-trimethylheptane-3,5-dione, 2,2,6,6-tetramethylheptane-3,5-dione, octane-2,4-dione, 2,2,6-trimethyloctane-3,5 -Dione, 2,6-dimethyloctane-3,5-dione, 2,9-dimethylnonane-4,6-dione, 2-methyl-6-e
  • Cyclopentadiene compounds include cyclopentadiene, methylcyclopentadiene, ethylcyclopentadiene, propylcyclopentadiene, isopropylcyclopentadiene, butylcyclopentadiene, secondary butylcyclopentadiene, isobutylcyclopentadiene, tertiary butylcyclopentadiene, dimethylcyclopentadiene.
  • organic amine compounds used as the above-mentioned organic ligands include methylamine, ethylamine, propylamine, isopropylamine, butylamine, secondary butylamine, tertiary butylamine, isobutylamine, and dimethyl. Amine, diethylamine, dipropylamine, diisopropylamine, ethylmethylamine, propylmethylamine, Isopropyl methyl amine and the like.
  • precursors described above are known in the art, and their manufacturing methods are also known.
  • the inorganic salt of metal or its hydrate described above is reacted with the alkali metal alkoxide of the alcohol compound.
  • a precursor can be manufactured.
  • the metal inorganic salt or hydrate include metal halides and nitrates
  • examples of the alkali metal alkoxide include sodium alkoxide, lithium alkoxide, and potassium alkoxide.
  • the other precursor is preferably a compound having similar thermal and / or oxidative decomposition behavior to the aluminum compound of the present invention, and in the case of the cocktail source method, the heat and / or oxidation. In addition to being similar in decomposition behavior, those that do not undergo alteration due to chemical reaction during mixing are preferred.
  • examples of the precursor containing titanium, zirconium or hafnium include compounds represented by the following formulas (II-1) to (II-5).
  • M 1 represents titanium, zirconium or hafnium, and R a and R b each independently may be substituted with a halogen atom, and may have an oxygen atom in the chain.
  • R c represents an alkyl group having 1 to 8 carbon atoms
  • R d represents an alkylene group having 2 to 18 carbon atoms which may be branched
  • R e and R f each independently represents a hydrogen atom.
  • each of R g , R h , R k and R j independently represents a hydrogen atom or an alkyl group having 1 to 4 carbon atoms, and p represents an integer of 0 to 4 Q represents 0 or 2, r represents an integer of 0 to 3, s represents an integer of 0 to 4, and t represents an integer of 1 to 4.
  • an alkyl having 1 to 20 carbon atoms which may be substituted with a halogen atom and may contain an oxygen atom in the chain represented by R a and R b
  • the groups are methyl, ethyl, propyl, isopropyl, butyl, sec-butyl, sec-butyl, isobutyl, amyl, isoamyl, sec-amyl, tertiary amyl, hexyl, cyclohexyl, 1-methylcyclohexyl, heptyl, 3-heptyl , Isoheptyl, tertiary heptyl, n-octyl, isooctyl, tertiary octyl, 2-ethylhexyl, trifluoromethyl, perfluorohexyl, 2-methoxyethyl, 2-ethoxyethy
  • alkyl group having 1 to 8 carbon atoms represented by R c examples include methyl, ethyl, propyl, isopropyl, butyl, secondary butyl, tertiary butyl, isobutyl, amyl, isoamyl, secondary amyl, tertiary amyl. Hexyl, 1-ethylpentyl, cyclohexyl, 1-methylcyclohexyl, heptyl, isoheptyl, tertiary heptyl, n-octyl, isooctyl, tertiary octyl, 2-ethylhexyl and the like.
  • the alkylene group having 2 to 18 carbon atoms which may be branched and represented by R d is a group given by glycol, and examples of the glycol include 1,2-ethanediol, 1,2- Propanediol, 1,3-propanediol, 1,3-butanediol, 2,4-hexanediol, 2,2-dimethyl-1,3-propanediol, 2,2-diethyl-1,3-propanediol, 2,2-diethyl-1,3-butanediol, 2-ethyl-2-butyl-1,3-propanediol, 2,4-pentanediol, 2-methyl-1,3-propanediol, 1-methyl- 2,4-pentanediol and the like can be mentioned.
  • Examples of the alkyl group having 1 to 3 carbon atoms represented by R e and R f include methyl, ethyl, propyl, 2-propyl and the like, represented by R g , R h , R j and R k.
  • Examples of the alkyl group having 1 to 4 carbon atoms include methyl, ethyl, propyl, isopropyl, butyl, secondary butyl, tertiary butyl, and isobutyl.
  • Examples of the precursor containing rare earth elements include compounds represented by the following formulas (III-1) to (III to 3).
  • R a and R b each independently represents an alkyl group having 1 to 20 carbon atoms which may be substituted with a halogen atom and may contain an oxygen atom in the chain
  • R c represents an alkyl group having 1 to 8 carbon atoms
  • R e and R f each independently represents a hydrogen atom or an alkyl group having 1 to 3 carbon atoms
  • R g and R j each independently represent (It represents an alkyl group having 1 to 4 carbon atoms, p ′ represents an integer of 0 to 3, and r ′ represents an integer of 0 to 2.
  • the rare earth atom represented by M 2 includes scandium, yttrium, lanthanum, cerium, praseodymium, neodymium, promethium, samarium, europium, gadolinium, terbium, dysprosium, holmium, erbium, thulium, Examples thereof include ytterbium and lutetium, and examples of the group represented by R a , R b , R c , R e , R f , R g, and R j include the groups exemplified for the aforementioned titanium precursor.
  • the raw material for forming a thin film of the present invention may contain a nucleophilic reagent as needed to impart stability of the aluminum compound of the present invention and other precursors.
  • the nucleophilic reagent include ethylene glycol ethers such as glyme, diglyme, triglyme and tetraglyme, 18-crown-6, dicyclohexyl-18-crown-6, 24-crown-8, dicyclohexyl-24-crown-8.
  • Crown ethers such as dibenzo-24-crown-8, ethylenediamine, N, N′-tetramethylethylenediamine, diethylenetriamine, triethylenetetramine, tetraethylenepentamine, pentaethylenehexamine, 1,1,4,7,7- Polyamines such as pentamethyldiethylenetriamine, 1,1,4,7,10,10-hexamethyltriethylenetetramine and triethoxytriethyleneamine, cyclic polyamines such as cyclam and cyclen, pyridine, pyrrolidine and piperi Heterocyclic compounds such as gin, morpholine, N-methylpyrrolidine, N-methylpiperidine, N-methylmorpholine, tetrahydrofuran, tetrahydropyran, 1,4-dioxane, oxazole, thiazole, oxathiolane, methyl acetoacetate, ethyl acetoacetate, ⁇ -ketoesters such
  • the raw material for forming a thin film according to the present invention should contain as little impurities metal elements as possible, impurities halogen such as impurity chlorine, and impurities organic components as much as possible.
  • the impurity metal element content is preferably 100 ppb or less for each element, more preferably 10 ppb or less, and the total amount is preferably 1 ppm or less, more preferably 100 ppb or less.
  • the content of alkali metal elements, alkaline earth metal elements, and related elements that affect the electrical characteristics of the resulting thin film should be reduced. is required.
  • the impurity halogen content is preferably 100 ppm or less, more preferably 10 ppm or less, and still more preferably 1 ppm or less.
  • the total amount of impurity organic components is preferably 500 ppm or less, more preferably 50 ppm or less, and still more preferably 10 ppm or less.
  • each metal compound, organic solvent, and nucleophilic reagent is reduced in moisture. Therefore, it is better to remove moisture as much as possible before use.
  • the water content of each of the metal compound, metalloid compound, organic solvent and nucleophilic reagent is preferably 10 ppm or less, more preferably 1 ppm or less.
  • the raw material for forming a thin film of the present invention contains as few particles as possible in order to reduce or prevent particle contamination of the formed thin film.
  • the number of particles larger than 0.3 ⁇ m is preferably 100 or less in 1 ml of the liquid phase, and larger than 0.2 ⁇ m.
  • the number of particles is more preferably 1000 or less in 1 ml of the liquid phase, and the number of particles larger than 0.2 ⁇ m is further preferably 100 or less in 1 ml of the liquid phase.
  • the thin film production method of the present invention for producing a thin film using the raw material for forming a thin film of the present invention includes a substrate containing vapor obtained by vaporizing the raw material for thin film formation of the present invention, and a reactive gas used as necessary. It is introduced by a CVD method in which a thin film containing aluminum is grown and deposited on the surface of a substrate by introducing the film into an installed film forming chamber and then decomposing and / or chemically reacting the precursor on the substrate.
  • CVD method in which a thin film containing aluminum is grown and deposited on the surface of a substrate by introducing the film into an installed film forming chamber and then decomposing and / or chemically reacting the precursor on the substrate.
  • Examples of the reactive gas used as necessary include oxygen, ozone, nitrogen dioxide, nitric oxide, water vapor, hydrogen peroxide, formic acid, acetic acid, acetic anhydride, etc.
  • Examples of reducing substances include hydrogen, and examples of nitrides that can be used include organic amine compounds such as monoalkylamines, dialkylamines, trialkylamines, and alkylenediamines, hydrazine, and ammonia. Can be used alone or in combination of two or more.
  • examples of the transport and supply method include the gas transport method, the liquid transport method, the single source method, and the cocktail sauce method described above.
  • the above deposition methods include thermal CVD in which a raw material gas or a raw material gas and a reactive gas are reacted only by heat to deposit a thin film, plasma CVD using heat and plasma, photo CVD using heat and light, heat In addition, optical plasma CVD using light and plasma, and ALD in which the deposition reaction of CVD is divided into elementary processes and deposition is performed stepwise at the molecular level.
  • Examples of the material of the substrate include silicon; ceramics such as silicon nitride, titanium nitride, tantalum nitride, titanium oxide, titanium nitride, ruthenium oxide, zirconium oxide, hafnium oxide, and lanthanum oxide; glass; metals such as metal ruthenium.
  • Examples of the shape of the substrate include a plate shape, a spherical shape, a fiber shape, and a scale shape, and the surface of the substrate may be a flat surface or a three-dimensional structure such as a trench structure.
  • reaction temperature base
  • reaction pressure a deposition rate, etc.
  • the reaction temperature is preferably 100 ° C. or higher, which is the temperature at which the aluminum compound of the present invention sufficiently reacts, and more preferably 150 ° C. to 400 ° C.
  • the reaction pressure is preferably from atmospheric pressure to 10 Pa in the case of thermal CVD and photo CVD, and is preferably 2000 Pa to 10 Pa in the case of using plasma.
  • the deposition rate can be controlled by the raw material supply conditions (vaporization temperature, vaporization pressure), reaction temperature, and reaction pressure. When the deposition rate is large, the properties of the obtained thin film may be deteriorated. When the deposition rate is small, productivity may be problematic. Therefore, 0.01 to 100 nm / min is preferable, and 1 to 50 nm / min is more preferable. In the case of the ALD method, the number of cycles is controlled so as to obtain a desired film thickness.
  • the above production conditions include temperature and pressure at which the thin film forming raw material is vaporized into steam.
  • the step of vaporizing the raw material for forming a thin film to form a vapor may be performed in a raw material container or in a vaporization chamber.
  • the thin film forming raw material of the present invention is preferably evaporated at 0 to 150 ° C.
  • the pressure in the raw material container and the pressure in the vaporizing chamber are both preferably 1 to 10,000 Pa.
  • the thin film manufacturing method of the present invention adopts the ALD method, vaporizes the raw material for forming the thin film into the vapor by the above-described transport and supply method, and introduces the vapor into the film forming chamber.
  • the raw material introduction step described above is performed. Preferred temperatures and pressures when the thin film forming raw material is steam are the same as those described above.
  • a precursor thin film is formed on the surface of the substrate by the aluminum compound introduced into the deposition reaction part (precursor thin film forming step). At this time, heat may be applied by heating the substrate or heating the deposition reaction part.
  • the precursor thin film formed in this step is an aluminum oxide thin film or a thin film formed by decomposition and / or reaction of a part of the aluminum compound, and has a composition different from that of the target aluminum oxide thin film.
  • the substrate temperature when this step is performed is preferably from room temperature to 500 ° C, more preferably from 150 to 350 ° C.
  • the pressure of the system (in the film forming chamber) when this step is performed is preferably 1 to 10,000 Pa, and more preferably 10 to 1000 Pa.
  • unreacted aluminum compound gas and by-product gas are exhausted from the deposition reaction part (exhaust process).
  • the unreacted aluminum compound gas or by-product gas is completely exhausted from the deposition reaction part, but it is not necessarily exhausted completely.
  • the exhaust method include a method of purging the system with an inert gas such as nitrogen, helium, and argon, a method of exhausting by reducing the pressure in the system, and a method combining these.
  • the degree of pressure reduction is preferably 0.01 to 300 Pa, more preferably 0.01 to 100 Pa.
  • an oxidizing gas is introduced into the deposition reaction portion, and an aluminum oxide thin film is formed from the precursor thin film obtained in the precursor thin film forming step by the action of the oxidizing gas or the oxidizing gas and heat ( Aluminum-containing thin film forming step).
  • the temperature when heat is applied in this step is preferably room temperature to 500 ° C., more preferably 150 to 350 ° C.
  • the pressure of the system (in the film forming chamber) when this step is performed is preferably 1 to 10,000 Pa, and more preferably 10 to 1000 Pa.
  • the aluminum compound of the present invention has good reactivity with an oxidizing gas, and an aluminum oxide thin film can be obtained.
  • the thin film by a series of operations including the above-described raw material introduction step, precursor thin film formation step, exhaust step, and aluminum-containing thin film formation step
  • the deposition may be one cycle, and this cycle may be repeated a plurality of times until a thin film having a required film thickness is obtained.
  • the unreacted aluminum compound gas and reactive gas oxidizing gas in the case of forming an aluminum oxide thin film
  • further by-produced gas from the deposition reaction portion in the same manner as the exhaust process. After exhausting, it is preferable to perform the next one cycle.
  • energy such as plasma, light, or voltage may be applied.
  • the timing for applying these energies is not particularly limited. For example, when introducing an aluminum compound gas in the raw material introducing step, heating in the precursor thin film forming step or the aluminum-containing thin film forming step, It may be at the time of exhausting, at the time of introducing an oxidizing gas in the aluminum-containing thin film forming step, or between the above steps.
  • annealing may be performed in an inert atmosphere, an oxidizing atmosphere, or a reducing atmosphere in order to obtain better electrical characteristics.
  • a reflow process may be provided.
  • the temperature in this case is 200 to 1000 ° C., preferably 250 to 500 ° C.
  • a known chemical vapor deposition apparatus can be used as the apparatus for producing a thin film using the thin film forming raw material of the present invention.
  • the apparatus include a non-shower head type apparatus as shown in FIG. 1, an apparatus capable of carrying a precursor as shown in FIG. 2 by bubbling supply, and an apparatus having a vaporization chamber as shown in FIG. It is done.
  • an apparatus capable of simultaneously processing a large number of sheets using a batch furnace can also be used.
  • the film forming chamber is described as a “reaction film forming chamber” in FIG. 2 and a “thin film deposition portion” in FIG.
  • a thin film manufactured using the raw material for forming a thin film of the present invention can be selected from other precursors, reactive gases, and manufacturing conditions as appropriate, so that a desired type of metal, oxide ceramics, nitride ceramics, glass, etc. It can be a thin film.
  • the thin film containing aluminum to be produced include an aluminum metal thin film and an aluminum-based ceramic thin film.
  • the aluminum ceramic thin film include an aluminum nitride thin film, an aluminum oxide thin film, and an aluminum-containing composite metal oxide thin film represented by aluminum titanate.
  • LSI wiring materials include LSI wiring materials, hard coating films for machine parts and tools, semiconductor memory insulating films, gate insulating films, dielectric films, hard disk MR heads and other electronic parts, optical communication circuits and other optical glasses, Widely used in the production of catalysts and the like.
  • Example 1 Production of aluminum compound of the present invention
  • a solution obtained by dissolving 52.9 g of trimethylaluminum in 460 g of a toluene solution dehydrated in a reaction flask was stirred with an ice-cooled bath at around 0 ° C.
  • 54.4 g of racemic secondary butyl alcohol was slowly added dropwise over 3 hours.
  • Methane gas generated during the reaction was distilled off by aeration of argon gas. Then, it returned to room temperature and made it react for about 20 hours. Thereafter, toluene was distilled off under reduced pressure in a bath at 100 ° C. to obtain a liquid residue.
  • the liquid was distilled at a bath of 100 ° C. under a reduced pressure of 190 Pa to obtain a compound distilled at a tower top temperature of 70 ° C.
  • the recovery by this purification was 79%.
  • the obtained compound was liquid at room temperature, and as a result of elemental analysis and 1 H-NMR analysis, it was confirmed that it was the target aluminum compound of the present invention.
  • the results of these analyzes are shown below.
  • the results of TG-DTA are also shown below.
  • Comparative Compound 1 exhibited ignitability in the atmosphere.
  • a compound exhibiting ignitability is difficult to handle as a raw material for chemical vapor deposition from the viewpoint of safety.
  • the aluminum compound of the present invention and the comparative compounds 2 and 3 did not show ignition properties and can be used safely in the atmosphere.
  • Example 2 Production of Aluminum Oxide Thin Film by ALD Method Using the aluminum compound of the present invention obtained in Example 1 as a raw material for chemical vapor deposition, using the apparatus shown in FIG. An aluminum oxide thin film was produced on a silicon wafer. About the obtained thin film, when the film thickness measurement by X-ray reflectivity method, the thin film structure and the thin film composition were confirmed by X-ray diffraction method and X-ray photoelectron spectroscopy, the film thickness was 6 nm, and the film composition was oxidized. It was aluminum and the carbon content was 1 atom%.

Abstract

La présente invention concerne un composé d'aluminium représenté par la formule chimique (I) ; un matériau de départ pour former une couche mince, qui contient le composé d'aluminium ; et un procédé pour produire une couche mince, dans lequel une vapeur qui est obtenue par vaporisation du matériau de départ pour former une couche mince et contient le composé d'aluminium est introduite dans une chambre de formation de film dans laquelle une base est disposée, et le composé d'aluminium est décomposé et/ou soumis à une réaction chimique de sorte qu'une couche mince contenant de l'aluminium soit formée sur la surface de la base. Étant donné que les propriétés physiques du composé d'aluminium, qui sert de précurseur pour le matériau de départ pour former une couche mince de la présente invention, sont adaptées pour un procédé CVD et un procédé ALD, le matériau de départ pour former une couche mince est particulièrement utile en tant que matériau de départ pour le dépôt chimique en phase vapeur (CVD).
PCT/JP2012/075334 2012-01-13 2012-10-01 Composé d'aluminium, matériau de départ pour former une couche mince, et procédé pour produire une couche mince WO2013105310A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012004965A JP2013145787A (ja) 2012-01-13 2012-01-13 アルミニウム化合物、薄膜形成用原料及び薄膜の製造方法
JP2012-004965 2012-01-13

Publications (1)

Publication Number Publication Date
WO2013105310A1 true WO2013105310A1 (fr) 2013-07-18

Family

ID=48781274

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2012/075334 WO2013105310A1 (fr) 2012-01-13 2012-10-01 Composé d'aluminium, matériau de départ pour former une couche mince, et procédé pour produire une couche mince

Country Status (3)

Country Link
JP (1) JP2013145787A (fr)
TW (1) TW201329092A (fr)
WO (1) WO2013105310A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014165496A (ja) * 2013-02-25 2014-09-08 Samsung Electronics Co Ltd アルミニウム前駆体と、それを用いた薄膜及びキャパシタの形成方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102008445B1 (ko) * 2014-02-26 2019-08-08 주식회사 유진테크 머티리얼즈 지르코늄 함유막 형성용 전구체 조성물 및 이를 이용한 지르코늄 함유막 형성 방법
JP2024022694A (ja) * 2020-12-28 2024-02-20 株式会社Adeka 原子層堆積法用薄膜形成原料、薄膜の製造方法及びアルミニウム化合物

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004013377A1 (fr) * 2002-08-02 2004-02-12 Korea Research Institute Of Chemical Technology Procede de preparation d'une couche mince d'oxyde d'aluminium

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004013377A1 (fr) * 2002-08-02 2004-02-12 Korea Research Institute Of Chemical Technology Procede de preparation d'une couche mince d'oxyde d'aluminium

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014165496A (ja) * 2013-02-25 2014-09-08 Samsung Electronics Co Ltd アルミニウム前駆体と、それを用いた薄膜及びキャパシタの形成方法

Also Published As

Publication number Publication date
JP2013145787A (ja) 2013-07-25
TW201329092A (zh) 2013-07-16

Similar Documents

Publication Publication Date Title
JP4565897B2 (ja) 薄膜形成用原料及び薄膜の製造方法
JP6184030B2 (ja) アルミニウム化合物、薄膜形成用原料及び薄膜の製造方法
JP5843318B2 (ja) Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
JP6200429B2 (ja) 金属アルコキシド化合物、薄膜形成用原料、薄膜の製造方法及びアルコール化合物
WO2019203035A1 (fr) Matériau source de formation de film mince de dépôt de couche atomique et procédé de production d'un film mince
WO2013018413A1 (fr) Composé d'alcoxyde et matière première pour former un film mince
WO2017221586A1 (fr) Composé de vanadium, matériau de départ pour la formation de couches minces, et procédés de production de couches minces
WO2015093177A1 (fr) Composé de ruthénium, matière première pour former un film mince et procédé de production de film mince
WO2013105310A1 (fr) Composé d'aluminium, matériau de départ pour former une couche mince, et procédé pour produire une couche mince
JP5912911B2 (ja) アルミニウム化合物を用いたald法による薄膜の製造方法
WO2021200219A1 (fr) Composé de zinc, matière première pour la formation de couche mince et procédés de production de couche mince
WO2018235530A1 (fr) Composé alcoxyde métallique, matière première de formation de couche mince, et procédé de production de couche mince
JP2013216614A (ja) コバルトアルコキシド化合物、薄膜形成用原料及び薄膜の製造方法
JP2018035072A (ja) ジアザジエニル化合物、薄膜形成用原料及び薄膜の製造方法
WO2021200218A1 (fr) Matériau pour la formation de film mince destiné à être utilisé dans le dépôt de couche atomique et procédé de production d'un film mince
WO2023276716A1 (fr) Produit de départ pour former un film mince, film mince et procédé de production de film mince
TWI824133B (zh) 薄膜形成用原料、薄膜之製造方法及新穎的鈧化合物
WO2023171489A1 (fr) Matériau de départ pour formation de film mince par dépôt de couche atomique, film mince et procédé de production de film mince
WO2022059571A1 (fr) Matière première pour la formation d'un film mince destiné à être utilisé dans un dépôt de couche atomique, et procédé de production de film mince
WO2023282104A1 (fr) Composé, matière première pour formation de couche mince et procédé de production de couche mince
WO2022190877A1 (fr) Matériau de départ formant un film mince, destiné à être utilisé dans un procédé de dépôt de couche atomique, film mince, procédé de production de film mince et composé de zinc
WO2023054066A1 (fr) Matériau de formation de film mince, procédé de fabrication de film mince, film mince et composé de molybdène
WO2022220153A1 (fr) Charge d'alimentation de formation de couche mince destinée à être utilisée en dépôt de couche atomique, couche mince, procédé de production de couche mince et composé de ruthénium
WO2021054160A1 (fr) Matière première de formation de film mince pour dépôt de couches atomiques et procédé de production d'un film mince contenant du zinc et utilisant cette matière première
TWI805584B (zh) 薄膜形成用原料、薄膜的製造方法及新穎的化合物

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12865361

Country of ref document: EP

Kind code of ref document: A1

122 Ep: pct application non-entry in european phase

Ref document number: 12865361

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE