WO2011123792A2 - Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors - Google Patents

Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors Download PDF

Info

Publication number
WO2011123792A2
WO2011123792A2 PCT/US2011/030957 US2011030957W WO2011123792A2 WO 2011123792 A2 WO2011123792 A2 WO 2011123792A2 US 2011030957 W US2011030957 W US 2011030957W WO 2011123792 A2 WO2011123792 A2 WO 2011123792A2
Authority
WO
WIPO (PCT)
Prior art keywords
metal
precursors
precursor
reactor
film
Prior art date
Application number
PCT/US2011/030957
Other languages
French (fr)
Other versions
WO2011123792A3 (en
Inventor
Katsuko Higashino
Kazutaka Yanagita
Original Assignee
L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude filed Critical L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude
Priority to EP11763521.9A priority Critical patent/EP2553141A4/en
Priority to US13/389,242 priority patent/US20130078376A1/en
Priority to CN2011800033921A priority patent/CN102471885A/en
Priority to JP2013502893A priority patent/JP5815669B2/en
Priority to KR1020127005001A priority patent/KR101226876B1/en
Publication of WO2011123792A2 publication Critical patent/WO2011123792A2/en
Publication of WO2011123792A3 publication Critical patent/WO2011123792A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Definitions

  • the metal-nitride-containing film composition may be modified based upon the structure of aminometai precursor.
  • the disclosed processes may be thermal processes or plasma processes at low temperature.
  • Metal-nitride-containing films such as silicon nitride (SiN) films, are widely used in semiconductor devices and ultra-large-scale integrated (ULS! circuits. According to downsizing and the growing sophistication of electronic devices requiring increasingly higher LSI mounting densities, a SiN film is required to improve its film qualities against current leakage. In addition, SiCN film is also used as the etch stopper in a dual-damascene structure for Cu wiring.
  • SiN Silicon nitride
  • the inter-gate dielectric layer may comprise Si0 2 or SiN, for examp!e.
  • carbon doping the SiN layer provides high etch resistance.
  • LSIs large scale integrations
  • ALD atomic layer deposition
  • alkyl group refers to saturated functional groups containing exclusively carbon and hydrogen atoms. Further, the term “alkyl group” refers to linear, branched, or cyclic alkyl groups. Examples of linear alky! groups include, without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyl groups include, without limitation, t-butyl. Examples of cyclic alkyl groups include without limitation, cyciopropyi groups, cyclobuty! groups, cyclopentyi groups, cyclohexyi groups, etc.
  • the abbreviation "Me” refers to a methyl group
  • the abbreviation “Et” refers to an ethyi group
  • the abbreviation “Pr” refers to a propyl group
  • the abbreviation “nPr” refers to a chain propyl group
  • the abbreviation “iPr” refers to an isopropyl group
  • the abbreviation “Bu” refers to a butyl (n-butyl) group
  • the abbreviation “tBu” refers to a tert-buty!
  • the abbreviation “sBu” refers to a sec-butyl group
  • the abbreviation “iBu” refers to an iso-butyl group
  • the abbreviation “TMS” refers to a trimethylsilyl group.
  • a halogenaied metal precursor is introduced into an ALD reactor containing at least one substrate. Excess halogenaied metal precursor is
  • An amino-metal precursor is introduced into the reactor. Excess amino-metal precursor is subsequently from the reactor.
  • a reactant may optionally be introduced into the reactor. Excess optional reactant is subsequently purged from the reactor.
  • the metal of the halogenaied metal precursor and the metal of the amino-metal precursor may be the same or different.
  • a halogenaied metal precursor is introduced into an ALD reactor containing at least one substrate. Excess halogenated metal precursor is
  • An amino-metal precursor is introduced into the reactor. Excess amino-metal precursor is subsequently from the reactor. A reactant is introduced into the reactor. Excess reactant is subsequently purged from the reactor.
  • the metal of the halogenated metal precursor and the metal of the amino-metal precursor may be the same or different.
  • a chlorosilane precursor is introduced into an ALD reactor containing at least one substrate. Excess chlorosiiane precursor is subsequently purged from the reactor.
  • An aminosilane precursor is introduced into the reactor. Excess aminosilane precursor is subsequently from the reactor.
  • a reactant may optionally be introduced into the reactor. Excess optional reactant is subsequently purged from the reactor.
  • a chlorosilane precursor is introduced into an ALD reactor containing at least one substrate. Excess chlorosilane precursor is subsequently purged from the reactor. An aminosilane precursor is introduced into the reactor. Excess aminosilane precursor is subsequently from the reactor. A reactant is introduced into the reactor. Excess reactant is subsequently purged from the reactor.
  • Each of the disclosed methods may further include one or more of the following aspects:
  • the reactant being selected from the group consisting of N 2 , NH 3 , N 2 H 4 , NMeH 2 , NEtH 2 , NMe 2 H, NEt 2 H, NMe 3 , NEt 3 , MeHNNH 2 , Me 2 NNH 2 , phenyl hydrazine, and mixtures thereof;
  • halogenated precursors being chlorometal precursors
  • the metal-nitride-containing film being a metal carbonitride film
  • the metal being selected from transition metal, metal or non-metal elements; • the metal being boron or phosphorus;
  • the metal-nitride-containing film being a silicon-nitride-containing film
  • the halogenated metal precursor being a ch!orosiiane precursor
  • ⁇ the amino-metaS precursor being an aminosilane precursor
  • the silicon-nitride-containing film being carbon-doped SiN film
  • R' and R" are independently selected from H or an alkyl group, and R' and R" may be linked to form a ring structure;
  • aminosilane precursors comprising an aminochlorosilane or an aminoalkyisilane
  • FIG 1 is a graph showing the deposition rate and refractive index of a SiCN film deposited using tris(dimethyiamino)silane (3DMAS) and hexachlorodisilane (HCDS) according to the disclosed method versus the distance of the film from the inlet;
  • 3DMAS tris(dimethyiamino)silane
  • HCDS hexachlorodisilane
  • FIG 2 is a graph showing the deposition rate and refractive index versus distance of a SiCN film deposited using 3DMAS and HCDS according to one alternative of the disclosed method
  • FIG 3 is a graph showing the deposition rate and refractive index versus distance of a SiCN film deposited using 3D AS and HCDS according to a second alternative of the disclosed method
  • FIG 4 is a graph showing the deposition rate and refractive index of a SiCN film deposited using tetrakis(dimethylamino)silane (4DMAS) and hexachlorodisilane (HCDS) according to the disclosed method versus the distance of the film from the inlet;
  • 4DMAS tetrakis(dimethylamino)silane
  • HCDS hexachlorodisilane
  • FIG 5 is a graph showing the deposition rate and refractive index versus distance of a SiCN film deposited using 4DMAS and HCDS according to one alternative of the disclosed method
  • FIG 6 is a graph showing the deposition rate and refractive index versus distance of a SiCN film deposited using 4DMAS and HCDS according to a second alternative of the disclosed method
  • FIG 7 is a graph showing the deposition rate and refractive index of a SiCN film deposited using bis(diethyiamino)silane (BDEAS) and hexachlorodisilane (HCDS) according to the disclosed method versus the distance of the film from the inlet; and
  • FIG 8 is a graph showing the deposition rate and refractive index versus distance of a SiCN film deposited using BDEAS and HCDS according to one alternative of the disclosed method.
  • ALD methods of forming metal-nitride-containing films using the alternate supply of amino-metai precursors and halogenated metal precursors The sequential reaction of the amino-metal precursors with the halogenated metal precursors produces a dense metal-rich film.
  • the metal of the haiogenated metal precursor may be the same as or different from the metai of the amino-metal precursor.
  • ALD methods of forming silicon-nitride-containing films preferably silicon carbonitride films, using the alternate supply of aminosilane precursors and chlorosilane precursors under thermal conditions or low temperature plasma conditions.
  • the sequential reaction of the aminosilane precursors with the chlorosilane precursors produces a dense silicon-rich film at temperatures lower than those of many prior art silicon nitride film deposition processes.
  • the silicon carbonitride films may alternatively be referred to as carbon-doped silicon-nitride films.
  • the level of carbon in the silicon- nitride film determines the appropriate nomenclature, with the amount of carbon in carbon-doped silicon nitride films typically being less than the amount of carbon in silicon carbonitride films.
  • the exact percentage of carbon in the film which determines the appropriate nomenclature is not defined and will vary from person to person.
  • the disclosed methods form metal-nitride-containing films, such as SiN, or a metal carbonitride films, such as SiCN, from amino-metai and haiogenated metal precursors by ALD.
  • the metal-nitride-containing film may be a metal carbonitride film containing one or two metals.
  • the metal carbonitride film may be a SiHfCN film.
  • the SiN film may be carbon-doped.
  • metal-nitride- containing films having specified stoichiometry may be produced by varying the order of the disclosed method steps. Furthermore, the duration of the disclosed method steps may also be varied in order to "tune" the resulting film.
  • the haiogenated metal precursors are introduced into an ALD reactor containing one or more substrates.
  • the haiogenated metal precursors may be introduced into the ALD reactor before or after the amino-metal precursor.
  • the conditions within the reactor permit at ieast part of the haiogenated metal precursors to self adsorb on the substrates.
  • substrate properties will define whether the halogenated metal precursor undergoes
  • halogenated metal precursors are introduced into the reactor after the amino-metal precursors, at Ieast part of the halogenated metal precursors will react/chemisorp with the portion of the amino-metal precursors deposited in the prior step. Any non-adsorbed or "excess" halogenated metal precursor is purged out of the reactor.
  • the halogenated metal precursors are reactive with NH 3 /amino-metal precursors at low
  • the halogenated metal precursors may be chlorometal precursors.
  • the meta! of the halogenated metal or chlorometal precursors may be any transition metal, metal, or non-metal elements as commonly defined on the periodic table of elements.
  • Preferred transition metals include but are not limited to Hf.
  • Preferred metals include but are not limited to Zn.
  • Preferred non-metals include but are not limited to B, Si, and P.
  • the halogenated metal precursor may be applied as a mixture of two or more halogenated metal precursors.
  • the halogenated metal precursors are chiorosilane precursors.
  • Exemplary chlorosilane precursors include hexachlorodisilane (HCDS), SiCI 4 , SiHCI 3 , 5 ⁇ 2 ⁇ 5 ⁇ , etc., and mixtures thereof, such as HCDS and SiCI 4 or HCDS and SiHCI 3 .
  • HCDS hexachlorodisilane
  • SiCI 4 SiCI 4
  • SiHCI 3 5 ⁇ 2 ⁇ 5 ⁇ , etc.
  • mixtures thereof such as HCDS and SiCI 4 or HCDS and SiHCI 3 .
  • the halogenated metai precursor comprises HfC! 4 or HCDS, and more preferably HCDS.
  • the amino-metal precursors are introduced into the reactor.
  • the conditions within the reactor permit at Ieast part of the amino-metal precursors to self adsorb on the substrates.
  • the amino-metal precursors may be introduced into the ALD reactor before or after the halogenated metal precursors.
  • the substrate properties will define whether the amino-metal precursor undergoes physiadsorption or chemisorption in this step. For example, if the amino-metal precursors are introduced into the reactor after the ha!ogenated metal precursors, at least part of the amino-metal precursors will react/chemisorp with the portion of the haiogenated metal precursors deposited in the prior step.
  • any non-adsorbed or "excess" amino-metai precursor is then purged out of the reactor.
  • the amino-metal precursors may act as both a C-source and N-source, as opposed to the prior art NH 3 precursors which only act as a N-source.
  • the alky!amino groups of the aminometal precursors serve as good leaving groups and lead to good adsorption. Varying the ligands of the aminometal precursors provides the ability to modify the carbon content of the metal-nitride-containing fi!m.
  • the metal of the amino-metal precursors may be any transition metal, metal, or non-metal elements as commonly defined on the periodic table of elements.
  • Preferred transition metals include but are not limited to Hf.
  • Preferred metals include but are not limited to Zn.
  • Preferred non- metals include but are not limited to B, Si, and P.
  • the amino-metal precursor may be applied as a mixture of two or more amino-metal precursors.
  • the amino-metal precursor may be aminosilane precursors.
  • the aminosilane precursor may be an aminochlorosilane precursor or an aminoalkylsilane precursor.
  • the amino-metal precursor is bis ⁇ diethylamino)silane (BDEAS), tris(dimethylamino)siSane (3DMAS), tetrakis(dimethy!amino) silane (4DMAS), or tetrakis(ethylmethylamino)hafnium, and more
  • halogenated metal precursors and aminometal precursors are each individually introduced into the reactor in vapor form.
  • “individually” and “each” refers to the specified class of precursors, for example “the halogenated metal precursors”, which may consist of one or more halogenated precursors. In the following paragraphs, individually is not intended to mean that the vapors of only one halogenated metal precursor are introduced into the reactor.
  • the precursors may be individually fed in liquid state to a vaporizer where they are each individually vaporized before introduction into the reactor. Prior to vaporization, each of the precursors may optionally be mixed with one or more solvents.
  • the solvents may be selected from the group consisting of toluene, ethyl benzene, xylene, mesitylene, decane, dodecane, octane, hexane, pentane, or others.
  • the resulting concentration may range from approximately 0.05 M to approximately 2 M.
  • the precursors may be individually vaporized by passing a carrier gas into a container containing each of the precursors or by bubbling the carrier gas into each of the precursors.
  • Each of the precursors may optionally be mixed in the container with one or more solvents.
  • the carrier gas and individual precursors are then introduced into the reactor as a vapor.
  • the carrier gas may include, but is not limited to, Ar, He, N 2 ,and mixtures thereof. Bubbling with a carrier gas may also remove any dissolved oxygen present in the precursors' solution.
  • the container may be heated to a temperature that permits the precursors to be in liquid phase and to have a sufficient vapor pressure.
  • the container may be maintained at temperatures in the range of, for example, 0°C to 1 50°C.
  • the temperature of the container may be adjusted in a known manner to control the amount of precursor vaporized.
  • the vapor of each precursor may be introduced into the reactor for a time period ranging from approximate!y 0.01 seconds to approximately 60 seconds, alternatively from approximately 5 seconds to approximately 25 seconds, alternatively from approximately 10 seconds to approximately 20 seconds.
  • a reactant may be introduced into the reactor, where it reacts with the seif adsorbed layers on the substrates. Any non- reacted or "excess" reactant is then purged out of the reactor.
  • the reactant may be N 2 , NH 3 , N 2 H 4 , NMeH 2 , NEtH 2 , NMe 2 H, NEt 2 H, NMe 3 , NEt 3 , MeHNNH 2 , Me 2 NNH 2 , phenyl hydrazine, and mixtures thereof.
  • the reactant is NH 3 .
  • inclusion of the optional reactant steps " will depend upon the desired stoichiometric ratio of elements in the resulting metal- nitride-containing film.
  • the reactant may be treated by plasma in order to decompose the reactant into its radical form.
  • the plasma may be generated or present within the reactor itself. Alternatively, the plasma may generally be at a location removed from the reactor, for instance, in a remotely located plasma system.
  • One of skill in the art will recognize methods and apparatus suitable for such plasma treatment.
  • the reactant may be introduced into a direct plasma reactor, which generates a plasma in the reactor, to produce the plasma- treated reactant in the reactor.
  • exemplary direct plasma reactors include the TitanTM PECVD System produced by Trion Technologies.
  • the reactant may be introduced and held in the reactor prior to plasma processing.
  • In-situ plasma is typically a 3.56 MHz RF capacitively coupled plasma that is generated between the showerhead and the substrate holder.
  • the substrate or the showerhead may be the powered electrode depending on whether positive ion impact occurs.
  • Typical applied powers in in-situ plasma generators are from approximately 100 W to approximately 1000 W.
  • the disassociation of the reactant using in-situ plasma is typically less than achieved using a remote plasma source for the same power input and is therefore not as efficient in reactant disassociation as a remote plasma system, which may be beneficial for the deposition of metai-nitride-containing films on substrates easily damaged by plasma.
  • the plasma-treated reactant may be produced outside of the reactor.
  • the MKS Instruments' ASTRON ® i reactive gas generator may be used to treat the reactant prior to passage into the reactor.
  • the reactant NF 3 may be decomposed into three F " radicals with more than 96% decomposing efficiency.
  • the remote piasma may be generated with a power ranging from about 1 kW to about 10 kW, more preferably from about 2.5 kW to about 7.5 kW.
  • the ALD reactor may be a heated vesse! which has at least one or more substrates disposed within it.
  • the reactor has an outlet which may be connected to a vacuum pump to allow by-products to be removed from the reactor, or to allow the pressure within the reactor to be modified or regulated.
  • suitable ALD reactors include, without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, a direct plasma reactor, or other types of deposition systems under conditions suitable to cause the precursors to react and form the layers.
  • the reactor contains one or more substrates onto which the metal-nitride-containing films will be deposited.
  • the reactor may contain from 1 to 200 silicon wafers having from 25.4 mm to 450 mm diameters.
  • the substrates may be any suitable substrate used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing.
  • the substrates may contain one or more additional layers of materials, which may be present from a previous manufacturing step. Dielectric and conductive layers are examples of these.
  • substrates include without limitation, metal substrates, metal nitride substrates, silicon substrates, silica substrates, silicon nitride substrates, siiicon oxynitride substrates, tungsten substrates, and combinations thereof. Additionally, substrates comprising tungsten or nobie metals (e.g. platinum, palladium, rhodium, or gold) may be used.
  • the substrate is a metal film or metal nitride film.
  • the temperature of the reactor may be controlled by either controlling the temperature of the substrate holder or controlling the temperature of the reactor wail. Devices used to heat the substrate are known in the art.
  • the reactor is heated to a sufficient temperature to obtain the desired metal-nitride-containing film at a sufficient growth rate and with desired physical state and composition.
  • a non-limiting exemplary temperature range to which the reactor may be heated includes from approximately 200°C to approximately 700°C.
  • the deposition temperature may range from approximately 200°C to approximately 550°C.
  • the deposition temperature may range from approximately 400°C to approximately 600°C.
  • the pressure in the ALD reactor is approximately 0.1 Torr (13 Pa) to approximately 10 Torr (1300 Pa).
  • the disclosed methods utilize ch!orosilane precursors, preferably HfCI 4 or HCDS, and aminosi!ane precursors, preferably 3DMAS, 4DMAS, or tetrakis(ethylmethySamino) hafnium, to form SiN-containing or SiCN-containing films.
  • the films formed have very Sow (from approximately 0 to approximately 5 atomic %) chlorine or oxygen content.
  • the disclosed methods solve the problem about the film quality of SiN-containing films by atomic layer deposition at low temperature, and C insertion in SiN-containing films.
  • a dense SiCN film was deposited using an ALD method and trichlorosilane (3CS) and tris(dimethylamino)silane (3DMAS) precursors.
  • the reaction chamber was controlled at 5 Torr, 550°C, and 100 seem of Ar was continuously flowing.
  • the deposition process consisted of the following steps of: 1 ) supplying a pulse of approx.1 seem of 3CS to the reaction chamber for 10 seconds, 2) purging the 3CS precursor with 00 seem of Ar for 30 seconds, 3) supplying a pulse of approx.1 seem of 3DMAS to the reaction chamber for 0 seconds, 4) purging the 3DMAS precursors with 100 seem of Ar for 30 seconds. Sequences 1 ) through 4) were repeated until the deposited layer achieves suitable layer thickness.
  • the deposited film shows that the deposition rate is around
  • a dense SiCN film was deposited using an ALD method with hexach!orodisilane (HCDS) and tris(dimethylamino)silane (3DMAS) precursors and an ammonia (NH 3 ) reactant.
  • the reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing.
  • the deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of 3DMAS into the reaction chamber for 10 seconds, 2) purging the 3DMAS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 10 seconds, 4) purging the HCDS precursor with 55 seem of Ar for 30 seconds, 5) introducing amodule of approx. 50 seem of NH 3 into the reaction chamber for 0 seconds, and 6) purging the NH 3 reactant with 55 seem of Ar for 10 seconds. Sequences 1 ) through 6) were repeated until the deposited layer achieved suitable layer thickness.
  • the deposition rate and the refractive index of the deposited film are shown in FIG 1 .
  • the atomic composition percentage of silicon and nitrogen in the resulting film was greater than 40% but less than 45% for each, with an atomic composition percentage of approximately 10% for the carbon.
  • the atomic composition percentage of chlorine and oxygen in the resulting fi!m was 0% or greater but less than 5% for each.
  • the wet etch rate of the resulting film by a HF solution was 4.24 A/min.
  • a dense SiCN film was deposited using an ALD method with HCDS 3DMAS precursors and an ammonia (NH 3 ) reactant.
  • the reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing.
  • the deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 10 seconds, 2) purging the HCDS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of 3DMAS into the reaction chamber for 10 seconds, 4) purging the 3D AS precursor with 55 seem of Ar for 30 seconds, 5) introducing a pu!se of approx. 50 seem of NH 3 into the reaction chamber for 10 seconds, and 6) purging the NH 3 reactant with 55 seem of Ar for 10 seconds. Sequences 1 ) through 6) were repeated until the deposited layer achieved suitable layer thickness.
  • the deposition rate and the refractive index of the deposited film are shown in FIG 2.
  • the atomic composition percentage of silicon in the resulting film was greater than 45% but less than 50%
  • the atomic composition percentage of nitrogen in the resulting film was greater than 30% but less than 35%
  • the atomic composition percentage of carbon was greater than 15% but iess than 20%.
  • the atomic composition percentage of chlorine and oxygen in the resulting film was 0% or greater but less than 5% for each.
  • the wet etch rate of the resulting film by a HF solution was 0.54 A/min.
  • a dense SiCN film was deposited using an ALD method with HCDS and 3DMAS precursors.
  • the reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing.
  • the deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of 3DMAS into the reaction chamber for 10 seconds, 2) purging the
  • 3DMAS precursor with 55 seem of Ar for 30 seconds 3) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 10 seconds, and 4) purging the HCDS precursor with 55 seem of Ar for 30 seconds.
  • Sequences 1 ) through 4) were repeated until the deposited layer achieved suitable layer thickness.
  • the deposition rate and the refractive index of the deposited film are shown in FIG 3.
  • the atomic composition percentage of silicon in the resulting film was greater than 50% but less than 55%
  • the atomic composition percentage of carbon in the resulting film was greater than 30% but less than 35%
  • the atomic composition percentage of nitrogen was approximately 0%.
  • the atomic composition percentage of chlorine and oxygen in the resulting film was 1 % or greater but less than 5% for each.
  • the wet etch rate of the resulting film by a HF solution was 0.04 A/min.
  • a dense SiCN film was deposited using an ALD method with hexachlorodisilane (HCDS) and tetrakis(dimethylamino)silane (4D AS) precursors and an ammonia (NH 3 ) reactant.
  • the reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing.
  • the deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of 4DMAS into the reaction chamber for 10 seconds, 2) purging the 4DMAS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 10 seconds, 4) purging the HCDS precursor with 55 seem of A for 30 seconds, 5) introducing a pulse of approx. 50 seem of NH 3 into the reaction chamber for 10 seconds, and 6) purging the NH 3 reactant with 55 seem of Ar for 10 seconds. Sequences 1 ) through 6) were repeated until the deposited layer achieved suitable layer thickness.
  • the deposition rate and the refractive index of the deposited film are shown in FIG 4.
  • the atomic composition percentage of nitrogen in the resulting film was approximately 45%
  • the atomic composition percentage of silicon in the resulting film was greater than 40% but less than 45%
  • the atomic composition percentage of carbon was greater than 5% but less than 10%.
  • the atomic composition percentage of chlorine and oxygen in the resulting film was 0% or greater but less than 5% for each.
  • the wet etch rate of the resulting film by a HF solution was 5.76 A/min.
  • a dense SiCN film was deposited using an ALD method with HCDS and 4DMAS precursors and an ammonia (NH 3 ) reactant.
  • the reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing.
  • the deposition process comprised the following steps of: 1 ) introducing amite of approx.1 seem of HCDS into the reaction chamber for 10 seconds, 2) purging the HCDS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of 4DMAS into the reaction chamber for 0 seconds, 4) purging the 4D AS precursor with 55 seem of Ar for 30 seconds, 5) introducing a pulse of approx. 50 seem of NH 3 into the reaction chamber for 10 seconds, and 6) purging the NH 3 reactant with 55 seem of Ar for 10 seconds. Sequences 1 ) through 6) were repeated untii the deposited iayer achieved suitable layer thickness.
  • the deposition rate and the refractive index of the deposited film are shown in FIG 5.
  • the atomic composition percentage of silicon in the resulting film was greater than 40% but less than 45%, the atomic composition percentage of nitrogen in the resulting film was approximately 40%, and the atomic composition percentage of carbon was greater than 10% but less than 15%.
  • the atomic composition percentage of chlorine and oxygen in the resulting film was 0% or greater but less than 5% for each.
  • the wet etch rate of the resulting film by a HF solution was 4.31 A/min.
  • a dense SiCN film was deposited using an ALD method with HCDS and 4DMAS precursors.
  • the reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing.
  • the deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of 4D AS into the reaction chamber for 10 seconds, 2) purging the 4DMAS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 0 seconds, and 4) purging the HCDS precursor with 55 seem of Ar for 30 seconds.
  • Sequences 1 ) through 4) were repeated until the deposited Iayer achieved suitable Iayer thickness.
  • the deposition rate and the refractive index of the deposited film are shown in FIG 6.
  • the atomic composition percentage of silicon in the resuiting film was greater than 50% but less than 55%
  • the atomic composition percentage of carbon in the resuiting film was greater than 30% but less than 35%
  • the atomic composition percentage of nitrogen was approximately 10%.
  • the atomic composition percentage of chlorine and oxygen in the resuiting film was 1 % or greater but less than 5% for each.
  • the wet etch rate of the resuiting film by a HF solution was 0.15 A/min.
  • a dense SiCN film was deposited using an ALD method with hexachlorodisilane (HCDS) and bis(diethylamino)silane (BDEAS) precursors and an ammonia (NH 3 ) reactant.
  • the reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of A was continuously flowing.
  • the deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of BDEAS into the reaction chamber for 10 seconds, 2 ⁇ purging the BDEAS precursor with 55 seem of Ar for 30 seconds, 3) introducing amite of approx.1 seem of HCDS into the reaction chamber for 10 seconds, 4) purging the HCDS precursor with 55 seem of Ar for 30 seconds, 5) introducing a pulse of approx. 50 seem of NH 3 into the reaction chamber for 10 seconds, and 6) purging the NH 3 reactant with 55 seem of Ar for 10 seconds. Sequences 1 ) through 6) were repeated until the deposited layer achieved suitable layer thickness.
  • the deposition rate and the refractive index of the deposited film are shown in FIG 7.
  • the atomic composition percentage of silicon in the resuiting film was slightly greater than approximately 40%
  • the atomic composition percentage of nitrogen in the resuiting film was slightly !ess than 40%
  • the atomic composition percentage of carbon was slightly greater than 1 5%.
  • the atomic composition percentage of chlorine and oxygen in the resulting film was0% or greater but !ess than 5% for each.
  • the wet etch rate of the resulting film by a HF solution was 1 .65 A/min.
  • a dense SiCN film was deposited using an ALD method with HCDS and BDEAS precursors and an ammonia (NH 3 ) reactant.
  • the reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was
  • the deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 10 seconds, 2) purging the HCDS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of BDEAS into the reaction chamber for 10 seconds, 4) purging the BDEAS precursor with 55 seem of Ar for 30 seconds, 5 ⁇ introducing a pulse of approx. 50 seem of NH 3 into the reaction chamber for 10 seconds, and 6) purging the NH 3 reactant with 55 seem of Ar for 10 seconds. Sequences 1 ) through 6) were repeated until the deposited layer achieved suitable layer thickness.
  • the deposition rate and the refractive index of the deposited film are shown in FIG 8.
  • the atomic composition percentage of silicon in the resulting film was approximately 45%
  • the atomic composition percentage of nitrogen in the resulting film was approximately 30%
  • the atomic composition percentage of carbon was approximately 20%.
  • the atomic composition percentage of chlorine and oxygen in the resulting film was 0% or greater but less than 5% for each.
  • the wet etch rate of the resulting film by a HF solution was 0.54 A/min.
  • a dense SiCN film was deposited using an ALD method with HCDS and BDEAS precursors.
  • the reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing.
  • the deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of BDEAS into the reaction chamber for 10 seconds, 2) purging the BDEAS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 10 seconds, and 4) purging the HCDS precursor with 55 seem of Ar for 30 seconds.
  • Sequences 1 ) through 4) were repeated until the deposited iayer achieved suitable layer thickness.
  • the carbon content of the resulting film was too high to allow for the measurement of deposition rate and refractive index by eilipsometry.
  • the atomic composition percentage of silicon in the resulting film was greater than 55% but less than 60%, the atomic composition percentage of carbon in the resulting film was greater than 30% but less than 35%, and the atomic composition percentage of nitrogen was slightly greater than 5%.
  • the atomic composition percentage of chlorine and oxygen in the resulting film was 0% or greater but less than 5% for each.
  • the wet etch rate of the resulting film by a HF solution was 0.15 A/min.

Abstract

Disclosed are methods of forming metal-nitride-containing films from the combination of amino-metal precursors and halogenated metal precursors, preferably forming SiN-containing films from the combination of aminosilane precursors and chlorosilane precursors. Varying the sequential reaction of the amino-metal precursors and halogenated metal precursors provide for the formation of metal-nitride-containing films having varying stoichiometry. In addition, the metal-nitride-containing film composition may be modified based upon the structure of aminometal precursor. The disclosed processes may be thermal processes or plasma processes at low temperatures.

Description

METAL NITRIDE CONTAINING FILM DEPOSITION
USING COMBINATION OF AMINO-METAL AND HALOGENATED METAL PRECURSORS
Cross-Reference to Related Applications
This app!ication claims the benefit of U.S. Provisional Application No. 61/320,236, filed April 1 , 2010, the entire contents of which are incorporated herein by reference.
Technical Field
Disclosed are methods of forming metai-nitride-containing films from the combination of amino-metal precursors and halogenated metal precursors, preferably forming SiN-containing films from the combination of aminosilane precursors and chlorosilane precursors. Varying the sequential reaction of the amino-metal precursors and halogenated metai precursors provide for the formation of metal-nitride-containing films having varying stoichiometry. In addition, the metal-nitride-containing film composition may be modified based upon the structure of aminometai precursor. The disclosed processes may be thermal processes or plasma processes at low temperature.
Background
Metal-nitride-containing films, such as silicon nitride (SiN) films, are widely used in semiconductor devices and ultra-large-scale integrated (ULS!) circuits. According to downsizing and the growing sophistication of electronic devices requiring increasingly higher LSI mounting densities, a SiN film is required to improve its film qualities against current leakage. In addition, SiCN film is also used as the etch stopper in a dual-damascene structure for Cu wiring.
Silicon nitride (SiN) films have been investigated to apply as etch stop/liner layer within a damascene at the back end of the line (BEOL) process. Within floating gate transistors, the inter-gate dielectric layer may comprise Si02 or SiN, for examp!e. In addition, carbon doping the SiN layer provides high etch resistance.
As the size of large scale integrations (LSIs) is scaled down, film depth should be thinner requiring a more precisely controlled process, for example atomic layer deposition (ALD). In addition, a decrease in deposition temperature is required. ALD is widely used for many
processes, for example Si02, SiN and metal films. See, e.g., US Pat. No. 7648927. However, the deposition rate tends to be Sower than chemical vapor deposition (CVD). When the deposition temperature is lower, the deposition rate and the film quality of SiN have been worse.
Many articles have reported deposition of high quality SiN and SiCN films by using chlorosilane and activated NH3 by PECVD, PEALD and introducing amine, CH4 or C2H4 as a carbon source (see e.g.,
WO2009/149167 and US2008/0213479).
A need remains for more precisely controlled processes to deposit metal-nitride-containing films.
Notation and Nomenclature
Certain abbreviations, symbols, and terms are used throughout the following description and claims and include: the abbreviation "A" refers to Angstroms and 1 Angstrom = 100 picometers; the abbreviation "PECVD" refers to plasma enhanced chemical vapor deposition; the abbreviation "CVD" refers to chemical vapor deposition; the abbreviation "RF" refers to radiofrequency, the abbreviation "DR" refers to deposition rate, and the abbreviation "Rl" refers to refractive index.
The term "alkyl group" refers to saturated functional groups containing exclusively carbon and hydrogen atoms. Further, the term "alkyl group" refers to linear, branched, or cyclic alkyl groups. Examples of linear alky! groups include, without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyl groups include, without limitation, t-butyl. Examples of cyclic alkyl groups include without limitation, cyciopropyi groups, cyclobuty! groups, cyclopentyi groups, cyclohexyi groups, etc.
As used herein, the abbreviation "Me" refers to a methyl group; the abbreviation "Et" refers to an ethyi group; the abbreviation "Pr" refers to a propyl group; the abbreviation "nPr" refers to a chain propyl group; the abbreviation "iPr" refers to an isopropyl group; the abbreviation "Bu" refers to a butyl (n-butyl) group; the abbreviation "tBu" refers to a tert-buty! group; the abbreviation "sBu" refers to a sec-butyl group; the abbreviation "iBu" refers to an iso-butyl group; and the abbreviation "TMS" refers to a trimethylsilyl group.
The standard abbreviations of the elements from the periodic table of elements are used herein. It should be understood that elements may be referred to by these abbreviations (e.g., Si refers to silicon, C refers to carbon, etc.).
Summary
Disclosed are methods of forming metal-nitride-containing films. A halogenaied metal precursor is introduced into an ALD reactor containing at least one substrate. Excess halogenaied metal precursor is
subsequently purged from the reactor. An amino-metal precursor is introduced into the reactor. Excess amino-metal precursor is subsequently from the reactor. A reactant may optionally be introduced into the reactor. Excess optional reactant is subsequently purged from the reactor. The metal of the halogenaied metal precursor and the metal of the amino-metal precursor may be the same or different.
Also disclosed are methods of forming metal-nit de-containing films. A halogenaied metal precursor is introduced into an ALD reactor containing at least one substrate. Excess halogenated metal precursor is
subsequently purged from the reactor. An amino-metal precursor is introduced into the reactor. Excess amino-metal precursor is subsequently from the reactor. A reactant is introduced into the reactor. Excess reactant is subsequently purged from the reactor. The metal of the halogenated metal precursor and the metal of the amino-metal precursor may be the same or different.
Also disclosed are methods of forming silicon-nitride-containing films. A chlorosilane precursor is introduced into an ALD reactor containing at least one substrate. Excess chlorosiiane precursor is subsequently purged from the reactor. An aminosilane precursor is introduced into the reactor. Excess aminosilane precursor is subsequently from the reactor. A reactant may optionally be introduced into the reactor. Excess optional reactant is subsequently purged from the reactor.
Also disclosed are methods of forming silicon-nitride-containing films. A chlorosilane precursor is introduced into an ALD reactor containing at least one substrate. Excess chlorosilane precursor is subsequently purged from the reactor. An aminosilane precursor is introduced into the reactor. Excess aminosilane precursor is subsequently from the reactor. A reactant is introduced into the reactor. Excess reactant is subsequently purged from the reactor.
Each of the disclosed methods may further include one or more of the following aspects:
• the reactant being selected from the group consisting of N2, NH3, N2H4, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, MeHNNH2, Me2NNH2, phenyl hydrazine, and mixtures thereof;
• the reactant being NH3;
· producing metal-nitride-containing films having specified
stoichiometry by varying the order of the method steps;
• the halogenated precursors being chlorometal precursors;
• the metal-nitride-containing film being a metal carbonitride film
containing one or two metals;
· the metal being selected from transition metal, metal or non-metal elements; • the metal being boron or phosphorus;
• the metal-nitride-containing film being a silicon-nitride-containing film;
• the halogenated metal precursor being a ch!orosiiane precursor; · the amino-metaS precursor being an aminosilane precursor;
• the silicon-nitride-containing film being carbon-doped SiN film;
• the chlorosilane precursor having the formula SiaHbClc, wherein b+c = 2a+2;
• the chlorosilane precursors being introduced as a mixture;
· the aminosilane precursors having the formula H_i_xSi{NR'R")x,
wherein x = 1 , 2, 3, or 4, R' and R" are independently selected from H or an alkyl group, and R' and R" may be linked to form a ring structure;
• the aminosilane precursors comprising an aminochlorosilane or an aminoalkyisilane;
• the aminochlorosilane precursors having the formula CI4.xSi(NR'R")x, wherein x = 2 or 3, R' and R" are independently selected from H or an alkyl group, and R' and R" may be linked to form a ring structure; and
* the aminoalkyisilane precursors having a formula R"VxSi(NR'R")x, wherein x = 1 , 2, or 3, R' and R" are independently selected from H or an alkyl group, R' and R" may be linked to form a ring structure, and R'" group is an alkyl group having less than 3 carbons. Brief Description of the Drawings
For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description, taken in conjunction with the accompanying drawings, wherein:
FIG 1 is a graph showing the deposition rate and refractive index of a SiCN film deposited using tris(dimethyiamino)silane (3DMAS) and hexachlorodisilane (HCDS) according to the disclosed method versus the distance of the film from the inlet;
FIG 2 is a graph showing the deposition rate and refractive index versus distance of a SiCN film deposited using 3DMAS and HCDS according to one alternative of the disclosed method;
FIG 3 is a graph showing the deposition rate and refractive index versus distance of a SiCN film deposited using 3D AS and HCDS according to a second alternative of the disclosed method;
FIG 4 is a graph showing the deposition rate and refractive index of a SiCN film deposited using tetrakis(dimethylamino)silane (4DMAS) and hexachlorodisilane (HCDS) according to the disclosed method versus the distance of the film from the inlet;
FIG 5 is a graph showing the deposition rate and refractive index versus distance of a SiCN film deposited using 4DMAS and HCDS according to one alternative of the disclosed method;
FIG 6 is a graph showing the deposition rate and refractive index versus distance of a SiCN film deposited using 4DMAS and HCDS according to a second alternative of the disclosed method;
FIG 7 is a graph showing the deposition rate and refractive index of a SiCN film deposited using bis(diethyiamino)silane (BDEAS) and hexachlorodisilane (HCDS) according to the disclosed method versus the distance of the film from the inlet; and
FIG 8 is a graph showing the deposition rate and refractive index versus distance of a SiCN film deposited using BDEAS and HCDS according to one alternative of the disclosed method.
Detailed Description of Preferred Embodiments
Disclosed are ALD methods of forming metal-nitride-containing films using the alternate supply of amino-metai precursors and halogenated metal precursors. The sequential reaction of the amino-metal precursors with the halogenated metal precursors produces a dense metal-rich film. The metal of the haiogenated metal precursor may be the same as or different from the metai of the amino-metal precursor.
Also disclosed are ALD methods of forming silicon-nitride-containing films, preferably silicon carbonitride films, using the alternate supply of aminosilane precursors and chlorosilane precursors under thermal conditions or low temperature plasma conditions. The sequential reaction of the aminosilane precursors with the chlorosilane precursors produces a dense silicon-rich film at temperatures lower than those of many prior art silicon nitride film deposition processes. The silicon carbonitride films may alternatively be referred to as carbon-doped silicon-nitride films. One of ordinary skill in the art will recognize that the level of carbon in the silicon- nitride film determines the appropriate nomenclature, with the amount of carbon in carbon-doped silicon nitride films typically being less than the amount of carbon in silicon carbonitride films. However, one of ordinary skill in the art will further recognize that the exact percentage of carbon in the film which determines the appropriate nomenclature is not defined and will vary from person to person.
The disclosed methods form metal-nitride-containing films, such as SiN, or a metal carbonitride films, such as SiCN, from amino-metai and haiogenated metal precursors by ALD. The metal-nitride-containing film may be a metal carbonitride film containing one or two metals. For example, the metal carbonitride film may be a SiHfCN film. Alternatively, the SiN film may be carbon-doped.
As will be described in further detail in Examples 2-4, metal-nitride- containing films having specified stoichiometry may be produced by varying the order of the disclosed method steps. Furthermore, the duration of the disclosed method steps may also be varied in order to "tune" the resulting film.
The haiogenated metal precursors are introduced into an ALD reactor containing one or more substrates. The haiogenated metal precursors may be introduced into the ALD reactor before or after the amino-metal precursor. The conditions within the reactor permit at ieast part of the haiogenated metal precursors to self adsorb on the substrates. One of ordinary skill in the art will recognize that the substrate properties will define whether the halogenated metal precursor undergoes
physiadsorption or chemisorption in this step. For example, if the haiogenated metal precursors are introduced into the reactor after the amino-metal precursors, at Ieast part of the halogenated metal precursors will react/chemisorp with the portion of the amino-metal precursors deposited in the prior step. Any non-adsorbed or "excess" halogenated metal precursor is purged out of the reactor. The halogenated metal precursors are reactive with NH3/amino-metal precursors at low
temperature.
The halogenated metal precursors may be chlorometal precursors. The meta! of the halogenated metal or chlorometal precursors may be any transition metal, metal, or non-metal elements as commonly defined on the periodic table of elements. Preferred transition metals include but are not limited to Hf. Preferred metals include but are not limited to Zn. Preferred non-metals include but are not limited to B, Si, and P. The halogenated metal precursor may be applied as a mixture of two or more halogenated metal precursors. Preferably, the halogenated metal precursors are chiorosilane precursors. Exemplary chlorosilane precursors have the formula SiaHbCIc, wherein b+c = 2a+2. Exemplary chlorosilane precursors include hexachlorodisilane (HCDS), SiCI4, SiHCI3, 5ί2Η5ΟΙ, etc., and mixtures thereof, such as HCDS and SiCI4 or HCDS and SiHCI3.
Preferably, the halogenated metai precursor comprises HfC!4 or HCDS, and more preferably HCDS.
The amino-metal precursors are introduced into the reactor. The conditions within the reactor permit at Ieast part of the amino-metal precursors to self adsorb on the substrates. The amino-metal precursors may be introduced into the ALD reactor before or after the halogenated metal precursors. Once again, one of ordinary skill in the art will recognize that the substrate properties will define whether the amino-metal precursor undergoes physiadsorption or chemisorption in this step. For example, if the amino-metal precursors are introduced into the reactor after the ha!ogenated metal precursors, at least part of the amino-metal precursors will react/chemisorp with the portion of the haiogenated metal precursors deposited in the prior step. Any non-adsorbed or "excess" amino-metai precursor is then purged out of the reactor. The amino-metal precursors may act as both a C-source and N-source, as opposed to the prior art NH3 precursors which only act as a N-source. The alky!amino groups of the aminometal precursors serve as good leaving groups and lead to good adsorption. Varying the ligands of the aminometal precursors provides the ability to modify the carbon content of the metal-nitride-containing fi!m.
The metal of the amino-metal precursors may be any transition metal, metal, or non-metal elements as commonly defined on the periodic table of elements. Preferred transition metals include but are not limited to Hf. Preferred metals include but are not limited to Zn. Preferred non- metals include but are not limited to B, Si, and P. The amino-metal precursor may be applied as a mixture of two or more amino-metal precursors. The amino-metal precursor may be aminosilane precursors. Exemplary aminosilane precursors have the formula H4,xSi(NR'R")x, wherein x = 1 , 2, 3, or 4, R' and R" are independently selected from H or an alkyl group, and R' and R" may be linked to form a ring structure.
Alternatively, the aminosilane precursor may be an aminochlorosilane precursor or an aminoalkylsilane precursor. Exemplary aminochlorosilane precursors have the formula CI4->iSi(NR'R")x, wherein x = 2 or 3, and R' and R" are as previously defined. Exemplary aminoalkylsilane precursors have the formula R'"4.xSi{NR'R")x wherein x = , 2, or 3, R' and R" are as previously defined, and R"' group is an alkyl group having less than 3 carbons. Preferably, the amino-metal precursor is bis{diethylamino)silane (BDEAS), tris(dimethylamino)siSane (3DMAS), tetrakis(dimethy!amino) silane (4DMAS), or tetrakis(ethylmethylamino)hafnium, and more
preferably 3DMAS and/or 4DMAS.
The halogenated metal precursors and aminometal precursors (collectively, the "precursors") are each individually introduced into the reactor in vapor form. In this context, "individually" and "each" refers to the specified class of precursors, for example "the halogenated metal precursors", which may consist of one or more halogenated precursors. In the following paragraphs, individually is not intended to mean that the vapors of only one halogenated metal precursor are introduced into the reactor.
The precursors may be individually fed in liquid state to a vaporizer where they are each individually vaporized before introduction into the reactor. Prior to vaporization, each of the precursors may optionally be mixed with one or more solvents. The solvents may be selected from the group consisting of toluene, ethyl benzene, xylene, mesitylene, decane, dodecane, octane, hexane, pentane, or others. The resulting concentration may range from approximately 0.05 M to approximately 2 M.
Alternatively, the precursors may be individually vaporized by passing a carrier gas into a container containing each of the precursors or by bubbling the carrier gas into each of the precursors. Each of the precursors may optionally be mixed in the container with one or more solvents. The carrier gas and individual precursors are then introduced into the reactor as a vapor. The carrier gas may include, but is not limited to, Ar, He, N2,and mixtures thereof. Bubbling with a carrier gas may also remove any dissolved oxygen present in the precursors' solution.
If necessary, the container may be heated to a temperature that permits the precursors to be in liquid phase and to have a sufficient vapor pressure. The container may be maintained at temperatures in the range of, for example, 0°C to 1 50°C. Those skilled in the art recognize that the temperature of the container may be adjusted in a known manner to control the amount of precursor vaporized. The vapor of each precursor may be introduced into the reactor for a time period ranging from approximate!y 0.01 seconds to approximately 60 seconds, alternatively from approximately 5 seconds to approximately 25 seconds, alternatively from approximately 10 seconds to approximately 20 seconds.
In one embodiment, a reactant may be introduced into the reactor, where it reacts with the seif adsorbed layers on the substrates. Any non- reacted or "excess" reactant is then purged out of the reactor. The reactant may be N2, NH3, N2H4, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, MeHNNH2, Me2NNH2, phenyl hydrazine, and mixtures thereof. Preferably, the reactant is NH3. However, as will be described in further detail in the Examples that follow, inclusion of the optional reactant steps" will depend upon the desired stoichiometric ratio of elements in the resulting metal- nitride-containing film.
The reactant may be treated by plasma in order to decompose the reactant into its radical form. The plasma may be generated or present within the reactor itself. Alternatively, the plasma may generally be at a location removed from the reactor, for instance, in a remotely located plasma system. One of skill in the art will recognize methods and apparatus suitable for such plasma treatment.
For example, the reactant may be introduced into a direct plasma reactor, which generates a plasma in the reactor, to produce the plasma- treated reactant in the reactor. Exemplary direct plasma reactors include the Titan™ PECVD System produced by Trion Technologies. The reactant may be introduced and held in the reactor prior to plasma processing.
Alternatively, the plasma processing may occur simultaneously with the introduction of reactant. In-situ plasma is typically a 3.56 MHz RF capacitively coupled plasma that is generated between the showerhead and the substrate holder. The substrate or the showerhead may be the powered electrode depending on whether positive ion impact occurs.
Typical applied powers in in-situ plasma generators are from approximately 100 W to approximately 1000 W. The disassociation of the reactant using in-situ plasma is typically less than achieved using a remote plasma source for the same power input and is therefore not as efficient in reactant disassociation as a remote plasma system, which may be beneficial for the deposition of metai-nitride-containing films on substrates easily damaged by plasma.
Alternatively, the plasma-treated reactant may be produced outside of the reactor. The MKS Instruments' ASTRON®i reactive gas generator may be used to treat the reactant prior to passage into the reactor.
Operated at 2.45 GHz, 7kW plasma power, and a pressure ranging from approximately 3 Torr to approximately 10 Torr, the reactant NF3 may be decomposed into three F" radicals with more than 96% decomposing efficiency. Preferably, the remote piasma may be generated with a power ranging from about 1 kW to about 10 kW, more preferably from about 2.5 kW to about 7.5 kW.
The ALD reactor may be a heated vesse! which has at least one or more substrates disposed within it. The reactor has an outlet which may be connected to a vacuum pump to allow by-products to be removed from the reactor, or to allow the pressure within the reactor to be modified or regulated. Examples of suitable ALD reactors include, without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, a direct plasma reactor, or other types of deposition systems under conditions suitable to cause the precursors to react and form the layers.
Generally, the reactor contains one or more substrates onto which the metal-nitride-containing films will be deposited. For example, the reactor may contain from 1 to 200 silicon wafers having from 25.4 mm to 450 mm diameters. The substrates may be any suitable substrate used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing. The substrates may contain one or more additional layers of materials, which may be present from a previous manufacturing step. Dielectric and conductive layers are examples of these. Within the scope of this
application, all of the substrate and any layers deposited on the substrate are collectively included within the term substrate. Examples of suitable substrates include without limitation, metal substrates, metal nitride substrates, silicon substrates, silica substrates, silicon nitride substrates, siiicon oxynitride substrates, tungsten substrates, and combinations thereof. Additionally, substrates comprising tungsten or nobie metals (e.g. platinum, palladium, rhodium, or gold) may be used. Preferably, the substrate is a metal film or metal nitride film.
The temperature of the reactor may be controlled by either controlling the temperature of the substrate holder or controlling the temperature of the reactor wail. Devices used to heat the substrate are known in the art. The reactor is heated to a sufficient temperature to obtain the desired metal-nitride-containing film at a sufficient growth rate and with desired physical state and composition. A non-limiting exemplary temperature range to which the reactor may be heated includes from approximately 200°C to approximately 700°C. When a plasma deposition process is utilized, the deposition temperature may range from approximately 200°C to approximately 550°C. Alternatively, when a thermal process is performed, the deposition temperature may range from approximately 400°C to approximately 600°C.
The pressure in the ALD reactor is approximately 0.1 Torr (13 Pa) to approximately 10 Torr (1300 Pa).
In one preferred embodiment, the disclosed methods utilize ch!orosilane precursors, preferably HfCI4 or HCDS, and aminosi!ane precursors, preferably 3DMAS, 4DMAS, or tetrakis(ethylmethySamino) hafnium, to form SiN-containing or SiCN-containing films. The films formed have very Sow (from approximately 0 to approximately 5 atomic %) chlorine or oxygen content. The disclosed methods solve the problem about the film quality of SiN-containing films by atomic layer deposition at low temperature, and C insertion in SiN-containing films.
The disclosed methods provide the following advantages over the existing methods:
- Carbon insertion into SiN film with tunable combination of
aminosi!ane and chlorosilane
The reasons are:
- A ratio change in the combination makes different composition films.
Examples
The following non-limiting examples are provided to further illustrate embodiments of the invention. However, the examples are not intended to be all inclusive and are not intended to limit the scope of the inventions described herein.
Example 1
A dense SiCN film was deposited using an ALD method and trichlorosilane (3CS) and tris(dimethylamino)silane (3DMAS) precursors. The reaction chamber was controlled at 5 Torr, 550°C, and 100 seem of Ar was continuously flowing. The deposition process consisted of the following steps of: 1 ) supplying a pulse of approx.1 seem of 3CS to the reaction chamber for 10 seconds, 2) purging the 3CS precursor with 00 seem of Ar for 30 seconds, 3) supplying a pulse of approx.1 seem of 3DMAS to the reaction chamber for 0 seconds, 4) purging the 3DMAS precursors with 100 seem of Ar for 30 seconds. Sequences 1 ) through 4) were repeated until the deposited layer achieves suitable layer thickness.
The deposited film shows that the deposition rate is around
0.6A/cycle. The refractive index is above 2.1 . Example 2a
A dense SiCN film was deposited using an ALD method with hexach!orodisilane (HCDS) and tris(dimethylamino)silane (3DMAS) precursors and an ammonia (NH3) reactant. The reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing. The deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of 3DMAS into the reaction chamber for 10 seconds, 2) purging the 3DMAS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 10 seconds, 4) purging the HCDS precursor with 55 seem of Ar for 30 seconds, 5) introducing a puise of approx. 50 seem of NH3 into the reaction chamber for 0 seconds, and 6) purging the NH3 reactant with 55 seem of Ar for 10 seconds. Sequences 1 ) through 6) were repeated until the deposited layer achieved suitable layer thickness.
The deposition rate and the refractive index of the deposited film are shown in FIG 1 . The atomic composition percentage of silicon and nitrogen in the resulting film was greater than 40% but less than 45% for each, with an atomic composition percentage of approximately 10% for the carbon. The atomic composition percentage of chlorine and oxygen in the resulting fi!m was 0% or greater but less than 5% for each. The wet etch rate of the resulting film by a HF solution was 4.24 A/min.
Example 2b
A dense SiCN film was deposited using an ALD method with HCDS 3DMAS precursors and an ammonia (NH3) reactant. The reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing. The deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 10 seconds, 2) purging the HCDS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of 3DMAS into the reaction chamber for 10 seconds, 4) purging the 3D AS precursor with 55 seem of Ar for 30 seconds, 5) introducing a pu!se of approx. 50 seem of NH3 into the reaction chamber for 10 seconds, and 6) purging the NH3 reactant with 55 seem of Ar for 10 seconds. Sequences 1 ) through 6) were repeated until the deposited layer achieved suitable layer thickness.
The deposition rate and the refractive index of the deposited film are shown in FIG 2. The atomic composition percentage of silicon in the resulting film was greater than 45% but less than 50%, the atomic composition percentage of nitrogen in the resulting film was greater than 30% but less than 35%, and the atomic composition percentage of carbon was greater than 15% but iess than 20%. The atomic composition percentage of chlorine and oxygen in the resulting film was 0% or greater but less than 5% for each. The wet etch rate of the resulting film by a HF solution was 0.54 A/min. Example 2c
A dense SiCN film was deposited using an ALD method with HCDS and 3DMAS precursors. The reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing. The deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of 3DMAS into the reaction chamber for 10 seconds, 2) purging the
3DMAS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 10 seconds, and 4) purging the HCDS precursor with 55 seem of Ar for 30 seconds.
Sequences 1 ) through 4) were repeated until the deposited layer achieved suitable layer thickness.
The deposition rate and the refractive index of the deposited film are shown in FIG 3. The atomic composition percentage of silicon in the resulting film was greater than 50% but less than 55%, the atomic composition percentage of carbon in the resulting film was greater than 30% but less than 35%, and the atomic composition percentage of nitrogen was approximately 0%. The atomic composition percentage of chlorine and oxygen in the resulting film was 1 % or greater but less than 5% for each. The wet etch rate of the resulting film by a HF solution was 0.04 A/min.
Example 3a
A dense SiCN film was deposited using an ALD method with hexachlorodisilane (HCDS) and tetrakis(dimethylamino)silane (4D AS) precursors and an ammonia (NH3) reactant. The reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing. The deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of 4DMAS into the reaction chamber for 10 seconds, 2) purging the 4DMAS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 10 seconds, 4) purging the HCDS precursor with 55 seem of A for 30 seconds, 5) introducing a pulse of approx. 50 seem of NH3 into the reaction chamber for 10 seconds, and 6) purging the NH3 reactant with 55 seem of Ar for 10 seconds. Sequences 1 ) through 6) were repeated until the deposited layer achieved suitable layer thickness.
The deposition rate and the refractive index of the deposited film are shown in FIG 4. The atomic composition percentage of nitrogen in the resulting film was approximately 45%, the atomic composition percentage of silicon in the resulting film was greater than 40% but less than 45%, and the atomic composition percentage of carbon was greater than 5% but less than 10%. The atomic composition percentage of chlorine and oxygen in the resulting film was 0% or greater but less than 5% for each. The wet etch rate of the resulting film by a HF solution was 5.76 A/min.
Example 3b
A dense SiCN film was deposited using an ALD method with HCDS and 4DMAS precursors and an ammonia (NH3) reactant. The reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing. The deposition process comprised the following steps of: 1 ) introducing a puise of approx.1 seem of HCDS into the reaction chamber for 10 seconds, 2) purging the HCDS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of 4DMAS into the reaction chamber for 0 seconds, 4) purging the 4D AS precursor with 55 seem of Ar for 30 seconds, 5) introducing a pulse of approx. 50 seem of NH3 into the reaction chamber for 10 seconds, and 6) purging the NH3 reactant with 55 seem of Ar for 10 seconds. Sequences 1 ) through 6) were repeated untii the deposited iayer achieved suitable layer thickness.
The deposition rate and the refractive index of the deposited film are shown in FIG 5. The atomic composition percentage of silicon in the resulting film was greater than 40% but less than 45%, the atomic composition percentage of nitrogen in the resulting film was approximately 40%, and the atomic composition percentage of carbon was greater than 10% but less than 15%. The atomic composition percentage of chlorine and oxygen in the resulting film was 0% or greater but less than 5% for each. The wet etch rate of the resulting film by a HF solution was 4.31 A/min. Example 3c
A dense SiCN film was deposited using an ALD method with HCDS and 4DMAS precursors. The reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing. The deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of 4D AS into the reaction chamber for 10 seconds, 2) purging the 4DMAS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 0 seconds, and 4) purging the HCDS precursor with 55 seem of Ar for 30 seconds.
Sequences 1 ) through 4) were repeated until the deposited Iayer achieved suitable Iayer thickness. The deposition rate and the refractive index of the deposited film are shown in FIG 6. The atomic composition percentage of silicon in the resuiting film was greater than 50% but less than 55%, the atomic composition percentage of carbon in the resuiting film was greater than 30% but less than 35%, and the atomic composition percentage of nitrogen was approximately 10%. The atomic composition percentage of chlorine and oxygen in the resuiting film was 1 % or greater but less than 5% for each. The wet etch rate of the resuiting film by a HF solution was 0.15 A/min.
Example 4a
A dense SiCN film was deposited using an ALD method with hexachlorodisilane (HCDS) and bis(diethylamino)silane (BDEAS) precursors and an ammonia (NH3) reactant. The reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of A was continuously flowing. The deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of BDEAS into the reaction chamber for 10 seconds, 2} purging the BDEAS precursor with 55 seem of Ar for 30 seconds, 3) introducing a puise of approx.1 seem of HCDS into the reaction chamber for 10 seconds, 4) purging the HCDS precursor with 55 seem of Ar for 30 seconds, 5) introducing a pulse of approx. 50 seem of NH3 into the reaction chamber for 10 seconds, and 6) purging the NH3 reactant with 55 seem of Ar for 10 seconds. Sequences 1 ) through 6) were repeated until the deposited layer achieved suitable layer thickness.
The deposition rate and the refractive index of the deposited film are shown in FIG 7. The atomic composition percentage of silicon in the resuiting film was slightly greater than approximately 40%, the atomic composition percentage of nitrogen in the resuiting film was slightly !ess than 40%, and the atomic composition percentage of carbon was slightly greater than 1 5%. The atomic composition percentage of chlorine and oxygen in the resulting film was0% or greater but !ess than 5% for each. The wet etch rate of the resulting film by a HF solution was 1 .65 A/min.
Example 4b
A dense SiCN film was deposited using an ALD method with HCDS and BDEAS precursors and an ammonia (NH3) reactant. The reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was
continuously flowing. The deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 10 seconds, 2) purging the HCDS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of BDEAS into the reaction chamber for 10 seconds, 4) purging the BDEAS precursor with 55 seem of Ar for 30 seconds, 5} introducing a pulse of approx. 50 seem of NH3 into the reaction chamber for 10 seconds, and 6) purging the NH3 reactant with 55 seem of Ar for 10 seconds. Sequences 1 ) through 6) were repeated until the deposited layer achieved suitable layer thickness.
The deposition rate and the refractive index of the deposited film are shown in FIG 8. The atomic composition percentage of silicon in the resulting film was approximately 45%, the atomic composition percentage of nitrogen in the resulting film was approximately 30%, and the atomic composition percentage of carbon was approximately 20%. The atomic composition percentage of chlorine and oxygen in the resulting film was 0% or greater but less than 5% for each. The wet etch rate of the resulting film by a HF solution was 0.54 A/min.
Example 4c
A dense SiCN film was deposited using an ALD method with HCDS and BDEAS precursors. The reaction chamber was controlled at 5 Torr, 550°C, and 55 seem of Ar was continuously flowing. The deposition process comprised the following steps of: 1 ) introducing a pulse of approx.1 seem of BDEAS into the reaction chamber for 10 seconds, 2) purging the BDEAS precursor with 55 seem of Ar for 30 seconds, 3) introducing a pulse of approx.1 seem of HCDS into the reaction chamber for 10 seconds, and 4) purging the HCDS precursor with 55 seem of Ar for 30 seconds.
Sequences 1 ) through 4) were repeated until the deposited iayer achieved suitable layer thickness.
The carbon content of the resulting film was too high to allow for the measurement of deposition rate and refractive index by eilipsometry. The atomic composition percentage of silicon in the resulting film was greater than 55% but less than 60%, the atomic composition percentage of carbon in the resulting film was greater than 30% but less than 35%, and the atomic composition percentage of nitrogen was slightly greater than 5%. The atomic composition percentage of chlorine and oxygen in the resulting film was 0% or greater but less than 5% for each. The wet etch rate of the resulting film by a HF solution was 0.15 A/min.
Example 5
Applicants believe that dense HfSiCN films will be deposited using the disclosed ALD methods with HfCl4 and 3DMAS precursors. Applicants believe that the disclosed methods may be used to alter the stoichiometric ratios in the resulting film.
Example 6
Applicants be!ieve that dense HfSiCN films will be deposited using the disclosed ALD methods with tetrakis(ethylmethylamino)hafnium and HCDS precursors. Applicants believe that the disclosed methods may be used to alter the stoichiometric ratios in the resulting film.
It will be understood that many additional changes in the details, materials, steps, and arrangement of parts, which have been herein described and illustrated in order to explain the nature of the invention, may be made by those skilled in the art within the principle and scope of the invention as expressed in the appended claims. Thus, the present invention is not intended to be !imited to the specific embodiments in examples given above and/or the attached drawings.

Claims

What is claimed is: . A method of forming a meta!-nitride-containing film, said method comprising the steps of:
a) introducing a halogenated metal precursor into an ALD
reactor containing at !east one substrate;
b) purging excess halogenated metal precursor from the reactor; c) introducing an amino-metal precursor into the reactor; and d) purging excess amino-metal precursor from the reactor, e) optionally introducing a reactant into the reactor,
f) optionally purging excess reactant from the reactor, wherein a metal of the halogenated metal precursor and amino-metal precursor is the same or different.
2. The method of claim 1 , wherein the reactant is selected from the group consisting of N2, NH3, N2H4, NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, MeHNNH2, Me2NNH2, phenyl hydrazine, and mixtures thereof, and preferably is NH3.
3. The method of claim 1 or 2, wherein metal-nitride-containing films having specified stoichiometry may be produced by varying an order of the method steps.
4. The method of any one of claims 1 to 3, wherein the halogenated precursors are chlorometal precursors.
5. The method of any one of claims 1 to 4, wherein the metal-nitride- containing fi!m is a metal carbonitride film containing one or two metals.
6. The method of any one of c!aims 1 to 5, wherein the metal is selected from transition metal, metal, or non-metal elements.
7. The method of any one of claims 1 to 6, wherein the metal is boron or phosphorus.
8. The method of any one of claims 1 to 7, wherein the metal-nitride- containing film is a si!icon-nitride-containing film, the halogenated metal precursor is a chlorosilane precursor, and the amino-meta! precursor is an aminosilane precursor.
9. The method of claim 8, wherein the silicon-nitride-containing film is carbon-doped SiN film.
10. The method of claim 8 or 9, wherein the chlorosilane precursors have the formula SiaHbCICl wherein b+c = 2a+2,
1 1. The method of any one of claims 8 to 10, wherein the chlorosilane precursors are introduced as a mixture.
12. The method of any one of claims 8 to 11 , wherein the aminosilane precursors have formula H4.xSi(NR'Rf')x, wherein x = 1 , 2, 3, or 4, R' and R" are independently selected from H or an alky! group, and R' and R" may be linked to form a ring structure.
13. The method of claim 12, wherein the aminosilane precursors comprise an aminochlorosilane or an aminoalkylsilane.
14. The method of claim 13, wherein the aminochlorosilane precursors have a formula Cl4-xSi(NR'R")x, wherein x - 2 or 3 and R' and R" are as previously defined.
15. The method of claim 13, wherein the aminoalkylsilane precursors have a formula R'YxSi(NR'R")x wherein x = , 2, or 3, R' and R" are as previously defined, and R'" group is an alkyi group having iess than 3 carbons.
PCT/US2011/030957 2010-04-01 2011-04-01 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors WO2011123792A2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
EP11763521.9A EP2553141A4 (en) 2010-04-01 2011-04-01 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
US13/389,242 US20130078376A1 (en) 2010-04-01 2011-04-01 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
CN2011800033921A CN102471885A (en) 2010-04-01 2011-04-01 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors
JP2013502893A JP5815669B2 (en) 2010-04-01 2011-04-01 Metal nitride-containing film deposition using a combination of an amino metal precursor and a metal halide precursor
KR1020127005001A KR101226876B1 (en) 2010-04-01 2011-04-01 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US32023610P 2010-04-01 2010-04-01
US61/320,236 2010-04-01

Publications (2)

Publication Number Publication Date
WO2011123792A2 true WO2011123792A2 (en) 2011-10-06
WO2011123792A3 WO2011123792A3 (en) 2011-12-01

Family

ID=44712857

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2011/030957 WO2011123792A2 (en) 2010-04-01 2011-04-01 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors

Country Status (7)

Country Link
US (1) US20130078376A1 (en)
EP (2) EP2730676A1 (en)
JP (1) JP5815669B2 (en)
KR (1) KR101226876B1 (en)
CN (1) CN102471885A (en)
TW (1) TWI498447B (en)
WO (1) WO2011123792A2 (en)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2574611A1 (en) 2011-09-27 2013-04-03 Air Products And Chemicals, Inc. Halogenated Organoaminosilane Precursors and Methods for Depositing Films Comprising Same
JP2014030041A (en) * 2010-04-09 2014-02-13 Hitachi Kokusai Electric Inc Semiconductor device manufacturing method, substrate processing method and substrate processing apparatus
JP2014060228A (en) * 2012-09-14 2014-04-03 Hitachi Kokusai Electric Inc Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and program
US8785333B2 (en) 2012-08-23 2014-07-22 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US8815751B2 (en) 2011-12-09 2014-08-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and non-transitory computer-readable recording medium
US8987146B2 (en) 2012-03-09 2015-03-24 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and non-transitory computer-readable recording medium
US9053927B2 (en) 2011-12-09 2015-06-09 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and method of processing substrate
US9054046B2 (en) 2011-12-09 2015-06-09 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and method of processing substrate
US9190298B2 (en) 2013-03-05 2015-11-17 Hitachi Kokusai Electric Inc. Film forming method and recording medium for performing the method
US9245745B2 (en) 2012-04-12 2016-01-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and non-transitory computer-readable recording medium
US9371338B2 (en) 2012-07-20 2016-06-21 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US9472397B2 (en) 2012-09-14 2016-10-18 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9478413B2 (en) 2011-10-14 2016-10-25 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
WO2017007986A1 (en) * 2015-07-09 2017-01-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
WO2017115147A3 (en) * 2015-12-28 2017-08-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Vapor disposition of silicon-containing films using penta-substituted disilanes
WO2017165626A1 (en) 2016-03-23 2017-09-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Si-containing film forming compositions and methods of making and using the same
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9822132B2 (en) 2013-07-19 2017-11-21 American Air Liquide, Inc. Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US10074535B2 (en) 2015-07-10 2018-09-11 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
WO2019140168A1 (en) * 2018-01-12 2019-07-18 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
US10544506B2 (en) 2015-03-30 2020-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming a silicon nitride film using Si—N containing precursors
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11699584B2 (en) 2015-03-30 2023-07-11 L'Air Liquide, Société Anonyme pour l'Edute ed l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
JP6357172B2 (en) * 2012-12-28 2018-07-11 コンパニー ゼネラール デ エタブリッスマン ミシュラン Thin film diffusion barrier
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102052664B1 (en) 2013-03-15 2019-12-06 삼성전자주식회사 Trialkylsilane Si precursor compound and method of forming a layer using the same
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6347544B2 (en) * 2014-07-09 2018-06-27 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP5886381B2 (en) * 2014-07-23 2016-03-16 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9580801B2 (en) * 2014-09-04 2017-02-28 Applied Materials, Inc. Enhancing electrical property and UV compatibility of ultrathin blok barrier film
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
CN117265512A (en) 2015-09-11 2023-12-22 弗萨姆材料美国有限责任公司 Method for depositing conformal metal or metalloid silicon nitride films and resulting films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
WO2017189581A1 (en) * 2016-04-25 2017-11-02 Applied Materials, Inc. Surface functionalization and passivation with a control layer
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (en) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. Method of depositing a gap fill layer by plasma assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10867839B2 (en) * 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
EP3844318A4 (en) * 2018-10-03 2022-06-01 Versum Materials US, LLC Methods for making silicon and nitrogen containing films
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP7156999B2 (en) * 2019-05-13 2022-10-19 大陽日酸株式会社 Silicon-containing compound for forming silicon-containing thin film, and method for forming silicon-containing thin film
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210047119A (en) 2019-10-21 2021-04-29 삼성전자주식회사 Method of manufacturing a metal nitride layer and electronic device including the metal nitride layer
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112978679B (en) * 2019-12-12 2022-11-22 中国科学院大连化学物理研究所 Preparation method of multi-metal hydrogen-containing compound
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (en) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. Gas supply assembly, components thereof, and reactor system including same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (en) * 1999-10-15 2007-07-31 Asm Int Process for modifying the starting chemical in an ALD process
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6930059B2 (en) * 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
JP2007043147A (en) * 2005-07-29 2007-02-15 Samsung Electronics Co Ltd Method of forming silicon-rich nanocrystal structure using atomic layer deposition process and method of manufacturing nonvolatile semiconductor device using the same
US8377511B2 (en) * 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
JP4929932B2 (en) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
TWI462179B (en) * 2006-09-28 2014-11-21 Tokyo Electron Ltd Film formation method and apparatus for forming silicon oxide film
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP5119832B2 (en) * 2007-09-27 2013-01-16 富士通株式会社 Interface roughness reducing film, wiring layer, semiconductor device, and method of manufacturing semiconductor device
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5384291B2 (en) * 2008-11-26 2014-01-08 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of EP2553141A4 *

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014030041A (en) * 2010-04-09 2014-02-13 Hitachi Kokusai Electric Inc Semiconductor device manufacturing method, substrate processing method and substrate processing apparatus
EP2574611A1 (en) 2011-09-27 2013-04-03 Air Products And Chemicals, Inc. Halogenated Organoaminosilane Precursors and Methods for Depositing Films Comprising Same
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9478413B2 (en) 2011-10-14 2016-10-25 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
US9837261B2 (en) 2011-12-09 2017-12-05 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing method
US8815751B2 (en) 2011-12-09 2014-08-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and non-transitory computer-readable recording medium
US9053927B2 (en) 2011-12-09 2015-06-09 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and method of processing substrate
US9054046B2 (en) 2011-12-09 2015-06-09 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and method of processing substrate
US9437422B2 (en) 2011-12-09 2016-09-06 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing method
US9460911B2 (en) 2011-12-09 2016-10-04 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing method
US9899211B2 (en) 2012-03-09 2018-02-20 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
US8987146B2 (en) 2012-03-09 2015-03-24 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and non-transitory computer-readable recording medium
US9245745B2 (en) 2012-04-12 2016-01-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and non-transitory computer-readable recording medium
US9593133B2 (en) 2012-07-20 2017-03-14 America Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US9371338B2 (en) 2012-07-20 2016-06-21 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US9938303B2 (en) 2012-07-20 2018-04-10 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
US8785333B2 (en) 2012-08-23 2014-07-22 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2014060228A (en) * 2012-09-14 2014-04-03 Hitachi Kokusai Electric Inc Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and program
US9607827B2 (en) 2012-09-14 2017-03-28 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, and recording medium
US9396929B2 (en) 2012-09-14 2016-07-19 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device, substrate processing apparatus and recording medium
US9472397B2 (en) 2012-09-14 2016-10-18 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9190298B2 (en) 2013-03-05 2015-11-17 Hitachi Kokusai Electric Inc. Film forming method and recording medium for performing the method
US9520282B2 (en) 2013-03-05 2016-12-13 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9822132B2 (en) 2013-07-19 2017-11-21 American Air Liquide, Inc. Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US11699584B2 (en) 2015-03-30 2023-07-11 L'Air Liquide, Société Anonyme pour l'Edute ed l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10544506B2 (en) 2015-03-30 2020-01-28 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming a silicon nitride film using Si—N containing precursors
US11820654B2 (en) 2015-03-30 2023-11-21 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Si-containing film forming precursors and methods of using the same
WO2017007986A1 (en) * 2015-07-09 2017-01-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted halocarbosilane precursors
JP2018523917A (en) * 2015-07-09 2018-08-23 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Alkylamino substituted halocarbosilane precursors
US10074535B2 (en) 2015-07-10 2018-09-11 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
WO2017115147A3 (en) * 2015-12-28 2017-08-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Vapor disposition of silicon-containing films using penta-substituted disilanes
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
WO2017165626A1 (en) 2016-03-23 2017-09-28 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Si-containing film forming compositions and methods of making and using the same
US11407922B2 (en) 2016-03-23 2022-08-09 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming compositions and methods of making and using the same
US11312739B2 (en) 2018-01-12 2022-04-26 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
US10640523B2 (en) 2018-01-12 2020-05-05 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes
WO2019140168A1 (en) * 2018-01-12 2019-07-18 Entegris, Inc. Aminoiodosilanes and methods of synthesizing these aminoiodosilanes

Also Published As

Publication number Publication date
KR20120028999A (en) 2012-03-23
EP2730676A1 (en) 2014-05-14
CN102471885A (en) 2012-05-23
KR101226876B1 (en) 2013-01-28
TWI498447B (en) 2015-09-01
EP2553141A4 (en) 2013-08-21
TW201213597A (en) 2012-04-01
JP5815669B2 (en) 2015-11-17
JP2013524522A (en) 2013-06-17
US20130078376A1 (en) 2013-03-28
EP2553141A2 (en) 2013-02-06
WO2011123792A3 (en) 2011-12-01

Similar Documents

Publication Publication Date Title
EP2730676A1 (en) Method for deposition of silicon nitride layers
KR102478568B1 (en) Methods for depositing silicon nitride films
KR102188750B1 (en) Method for depositing conformal metal or metalloid silicon nitride film and film obtained
TWI672392B (en) Compositions for depositing silicon nitride films and containers containing the same
KR101949391B1 (en) Methods for depositing group 13 metal or metalloid nitride films
JP6781165B2 (en) Method for depositing boron-containing compounds, compositions, and boron-containing films
TW202111155A (en) Method of depositing silicon nitride thin film
WO2014118748A1 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
WO2014118751A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
US9790247B2 (en) Cobalt-containing compounds, their synthesis, and use in cobalt-containing film deposition
WO2011042882A2 (en) HIGH DEPOSITION RATE OF SiO2 USING ATOMIC LAYER DEPOSITION AT EXTRA LOW TEMPERATURE
WO2014118750A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201180003392.1

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2013502893

Country of ref document: JP

ENP Entry into the national phase

Ref document number: 20127005001

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2011763521

Country of ref document: EP

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11763521

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 13389242

Country of ref document: US