WO2008005540A2 - Method and apparatus for cleaning a wafer substrate - Google Patents
Method and apparatus for cleaning a wafer substrate Download PDFInfo
- Publication number
- WO2008005540A2 WO2008005540A2 PCT/US2007/015588 US2007015588W WO2008005540A2 WO 2008005540 A2 WO2008005540 A2 WO 2008005540A2 US 2007015588 W US2007015588 W US 2007015588W WO 2008005540 A2 WO2008005540 A2 WO 2008005540A2
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- wafer
- gas
- processing
- substrate
- nozzle
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67075—Apparatus for fluid treatment for etching for wet etching
- H01L21/6708—Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68735—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
Definitions
- the present disclosure relates to a method and apparatus for processing of a substrate. More particularly, a method and apparatus for concentrically positioning a substrate relative to an apparatus for processing the edge of the substrate is disclosed. Furthermore, a seal arrangement for the alignment apparatus is also provided. In addition, processes for dry etching of a substrate with a combustion flame are disclosed.
- edge area refers generally to the near edge top surface, near edge bottom surface, and edge in combination or individually. Removal of films and contaminants is desirable to prevent the potential of particulate migration into, the device portion of the wafer. Potential contaminant particles are generated during wafer handling, processing, and as a result of "pop-off" effect due to film stress.
- an edge area substrate processing method and apparatus provides advantages over the aforementioned processing methods and systems.
- An aspect of the present teachings is directed to a method and apparatus for dry chemical processing at atmospheric pressure, the edge area of a substrate in isolation from the remainder of the substrate.
- the substrate edge area processing apparatus has an isolator for isolating a portion of the substrate edge area to be processed.
- One or more grooves in the isolator form a plenum for confining flow of a reactive species to the edge area of the substrate.
- One or more nozzles are disposed in the isolator with at least one of the one or more nozzles at an angle between perpendicular and horizontal to the top surface of the substrate.
- the one or more nozzles are for emitting a reactive species for reacting with a material on the substrate edge area. Pressure differentials bias the reactive species away from the area of the substrate outside of the isolator.
- a substrate edge processing method for isolating for isolating and processing a portion of a substrate.
- the portion to be processed extends from an edge of the substrate radially across the top surface of the substrate to another part of the edge of the substrate, thus isolating an edge area to be processed.
- a pressure differential barrier is formed between the portion of the substrate being processed and the remainder of the substrate.
- a reactive species is directed towards the processed portion of the substrate at an angle greater than parallel to the top surface of the substrate and less than vertical to the top surface of the substrate.
- an edge area of the substrate to be processed is isolated from the remainder of the substrate by directing a flow of an inert gas through a plenum near the area to be processed thus forming a barrier while directing a flow of reactive species at an angle relative to the top surface of the substrate towards the substrate edge area thus processing the substrate edge area.
- a flow of oxygen containing gas into the processing chamber together with a negative exhaust pressure may contribute to the biasing of reactive species and other gases away from the non-processing areas of the substrate.
- the described method and apparatus allows for precise processing of portions of the substrate particularly the substrate edge area without allowing for encroachment in the excluded area.
- Flow control as a part of the apparatus isolator structure in combination with pressure differentials effectively limits movement of reactive species into the area excluded.
- Using directed flow of the reactive species to the edge area of the substrate allows for a high etch rate and resulting overall significant improvement of throughput of processed substrates.
- the system provides for a clean, effective, and efficient method and apparatus for processing the edge area of substrates in a manner that is highly desired for achieving low contamination of the device portion of the substrate.
- the present disclosure further provides a method and apparatus for aligning a wafer in a highly concentric and precise fashion.
- Concentric process application has many benefits over existing technologies. It enables atmospheric pressure, gas phase removal of many undesirable films from the edge area of a semiconductor wafer.
- the concentric process application measures a radius of a wafer at various locations while the wafer is spinning on a chuck. A determination of a precise center of the wafer is calculated and the wafer is repositioned at the precise center for processing.
- a multi-axis motion seal i.e. labyrinth
- the seal functions in association with a wafer chuck.
- the seal and processing chamber define a vacuum chamber connected to a vacuum that is movable in cooperation with the alignment system.
- a system for dielectric film removal from near edge regions. These films are etched using H 2 : NF 3 dominant chemistries. Certain metal films can also be removed. Examples include tungsten and tantalum. Many metal oxide or nitride films can also be etched.
- Figures 1A - 1C are cross-sectional schematics depicting a system for concentric wafer process application
- Figure 2 is a top schematic depicting exchange/centering and processing positions of a wafer within a process chamber
- Figure 3 is a side schematic depicting exchange/centering and processing positions of a wafer within a process chamber;
- Figure 4A depicts a side sectional view of a labyrinth seal assembly in relationship to a processing chamber and chuck assembly;
- Figure 4B depicts a top sectional view of a labyrinth seal assembly in relationship to a processing chamber and chuck assembly
- Figure 5 represents a side sectional view of the isolator chamber shown in Figure 1A;
- Figure 6A depicts a top view of a plurality of nozzle bodies relative to an edge of a wafer
- Figures 6B through 6F represent side views depicting bevel nozzles at a wafer bevel region; [0026] Figures 7 through 8G represent cross-sectional views of pre and post processed wafers;
- Figures 9A - 9C represent side views depicting alternate nozzle configurations at a wafer bevel region
- Figure 10 depicts a schematic view of a misaligned wafer at two different rotational positions relative to an aligned position within the exchange/centering apparatus
- FIGS 11 - 12B detail an optical inspection system of the present disclosure
- Figure 13 represents an exploded cross sectional view of a portion of the processing chamber and the isolator assembly shown in Figure 1 ;
- Figures 14A and 14B are sectional views of the seating mechanism of the system shown in Figure 3; [0032] Figure 15 represents a perspective sectional view of the sealing mechanism shown in Figures 14A and 14B;
- Figures 16A and 16B represent cross sectional views of the system shown in Figure 3;
- Figures 17A-17C represent an exploded view of the isolator assembly shown in Figure 13;
- Figures 18A and 18B represent perspective views of the nozzle assembly of Figure 17A;
- Figures 19A and 19B represent a nozzle usable in the nozzle assembly of Figures 18A and 18B;
- Figures 2OA and 2OB represent an alternate nozzle usable in the nozzle assembly of Figures 18A and 18B;
- Figures 21 A and 21 B represent an alternate nozzle assembly
- Figures 22a and 22b represent nozzle subplates as shown in Figure 21 A and 21 B;
- Figures 23A and 23B represent cross sectional views of an alternate igniter assembly according to the present teachings
- Figures 24 through 25B represent top and side views of the igniter and nozzle assemblies;
- Figure 26 represents a perspective view of an alternate clean ignition assembly;
- Figure 27 represents a top view of a flame sense system for use in the wafer processing system according to Figure 1 A;
- Figures 28 and 29 represent responses detected by the fiame sense system.
- Figures 1A and 1B represent a system level view of the components and methods required to achieve concentric process application utilizing a wafer processing system according to the teachings herein.
- One example relates to selectively applying chemistry to the near edge region of a wafer.
- Other possibly applicable methods and apparatus are disclosed in U.S. Patent Application Nos. 11/230,261 and 11/417,297 which are both incorporated by reference.
- Central to the present disclosure's near edge film removal technology is the ability to apply reactive gas to a wafer in a highly concentric and precise fashion.
- FIG. 1A shows a system level schematic view of the overall system for concentric wafer process application.
- the process chamber 22 contains the isolator 25 and diffuser 24 for controlled application of reactive gas to the near edge wafer region.
- the R-Z- ⁇ or xyz- ⁇ wafer movement alignment module or system 27 is shown in the wafer load position where the laser micrometer 15 measures the trajectory of the wafer edge during the centering routine. Lift pins 16 are also shown.
- the equipment front end module 17 contains a robot and the pre- aligner station 19. Wafers are processed from a front opening unified pod.
- the utility cabinet 20 contains control electronics, computer(s), endpoint equipment, gas delivery equipment and other facilities interconnects. Process gases 21 are connected to the module and flow regulated by appropriate mass flow controllers (MFC's) 52. Other facilities connections such as exhaust 56 and cooling water 58 are also connected.
- MFC's mass flow controllers
- an embodiment of the wafer edge area processing system 20 (the "system") of the invention has a processing chamber 22 with an isolator 25 and wafer alignment module 27 with associated wafer chuck 28 disposed therein.
- a wafer 26 is retained on top of the wafer chuck 28 with the wafer 26 having a top surface 30, bottom surface 32, and edge area 33 (including edge and near edge as shown by lighter line proximal to edge) that surrounds the radial perimeter of the wafer 26.
- the isolator 25 has an upper section 38 extending over a portion of the top surface 30 of the wafer 26 and a lower section 39 extending over a portion of the bottom surface 32 of the wafer 26.
- the inside of the isolator 25 has a processing area for processing the edge area 33 of the wafer 26.
- the processing area leads into an exhaust plenum 41 connected to an exhaust system 56 for exhausting gases, process byproducts, and condensation.
- First nozzle 45 is offset from an axis perpendicular to a plane that is common with the top surface 30 of the wafer 26 (the "wafer plane"). First nozzle 45 is pointed0 towards the top surface 30 at an angle of 80° +/-5° relative to the wafer plane. Second nozzle 49 is offset by an angle of 45° +/- 5° to the wafer plane. Second nozzle 49 is also offset by -15° from a plane perpendicular to the wafer plane that runs through the center of the isolator 25 and center of the wafer 26.
- First nozzle 45 is connected to a first channel 48 disposed in the5 upper section 38.
- First channel 48 leads to a gas line 47.
- Second nozzle 49 is connected to a second channel 53 disposed in the upper section 38.
- Second channel 53 leads to the gas line 47.
- First nozzle 45 and second nozzles 49 are connected via the gas line 47 to a reactive gas species source.
- the first and second channels 48 and 53 can be coupled to sources having differing0 chemistry.
- First nozzle 45 is positioned for bevel and crown processing at a distance of 0.1 to 0.5 mm from the edge of the wafer 26 and 1.3 to 1.8 mm distance from the top surface 30 of the wafer 26.
- Second nozzle 49 is positioned 0.5 to 3.0 mm in from the edge of the wafer 26 and 0.6 to 1.1 mm distance from5 the top surface 30 of the wafer 26. Radial position of the nozzles and distance from the wafer surface is dependent upon desired edge exclusion area and is also process and film dependent.
- Reactive gas species source either provides a reactive gas species or component reactants for forming the reactive gas species.
- Reactive0 gas species can be generated via near atmospheric pressure techniques. This includes near atmospheric capacitively coupled plasma source (i.e., APJET), as described in United States Patent 5,961 ,772, incorporated herein by reference or inductively coupled plasma discharge (i.e., ICP torch), as described in United States Patent 6,660,177, incorporated herein by reference or combustion flame.
- APJET near atmospheric capacitively coupled plasma source
- ICP torch inductively coupled plasma discharge
- Spontaneous etchants for example F 2 , O 3 , or HF can also be used.
- F 2 , O 3 , or HF reactive species
- none of these reactive species techniques produce ion bombardment characteristic of an ionic plasma thus minimizing surface and device damage potential. Further, although envisioned, none of these techniques requires a vacuum chamber together with associated equipment.
- An upper purge plenum 88 disposed in the upper section 38 extends at or near the edge of the top surface of the wafer 26, above and across an area of the wafer to be processed to at or near another edge of the top surface 30 of the wafer 26.
- the upper purge plenum 88 is -3.0 mm wide and extends for a total path length of -37.5 mm.
- the upper purge plenum 88 is part of a tuned flow system which prevents reactive gas migration out of the processing area.
- the upper purge plenum 88 is connected to a first purge channel
- the purge gas source 96 supplies an inert gas, for example, argon that is fed via the first purge channel 92 into the upper purge plenum 88.
- the upper purge plenum 88 can provide CDA or oxygen containing gas, which augments the reaction of the reactive gas.
- the flow of purge gas into the upper purge plenum 88 creates a pressure differential in the area of the top surface 30 surrounded by the upper purge plenum 88 resulting in a barrier between the top surface 30 and the edge area 33 of the wafer 26 being processed.
- the upper purge plenum 88 is separated from the top surface 30 of the wafer 26 by an inside baffle 100. Inside baffle 100 follows along the inside perimeter of the upper purge plenum 88 and is separated from the wafer 26 by a gap of 0.30 to 0.80 mm. An outside baffle 104 follows along the outside perimeter of the upper purge plenum 88 and is separated from the wafer 26 by a gap of 0.50 to 1.10 mm.
- outside baffle 104 is wider and closer to the top surface 30 of the wafer 26 than the inside baffle 100. This facilitates forming a pressure induced barrier around the in-process portion of the wafer 26 by creating a pressure differential biasing a flow of a purge gas in a direction across inside baffle 100 into the processing area of the isolator 25.
- a second purge channel 108 is disposed in the lower section 39 of the isolator 25. This is connected by the purge gas line 94 to the purge gas source 96. Second purge channel 108 is for feeding purge gas to a lower purge plenum 114. Similarly to the upper purge plenum 88, the lower purge plenum 114 extends from at or near the edge area 33 of the wafer 26 below and across the bottom surface 32 to at or near another location of the edge of the wafer 26. Similarly to the upper purge plenum 88, the lower purge plenum 114 is disposed between a lower inside baffle 112 and a lower outside baffle 118. The lower purge plenum 114 together with the lower inside baffle 112 and lower outside baffle 118 bias a flow of purge gas in a direction across the lower inside baffle 112 and across the bottom surface 32.
- Wafer chuck 28 is movable in r- ⁇ -z or xyz- ⁇ directions, using module 27, for positioning the wafer 26 and rotating it within a slot of the isolator 25 defined between the upper section 38 and lower section 39.
- the isolator 25 structure can also be moved in r with the chuck moving in ⁇ and z. Once in position the distance between each side of the wafer 26 and the upper section 38 or lower section 39 is 0.30 to 0.80 mm.
- the slot open area without a wafer 26 is 124.20 to 216.20 mm 2 .
- the slot open area with a wafer 26 present is 55.20 to 147.20 mm 2 .
- the exhaust slot width is 93.0 mm.
- a gas diffuser 24 extends into the processing chamber 22 providing a flow of inert or oxygen containing gas to the processing chamber 22.
- the gas diffuser 24 is typically of the shower head type design and is connected via a diffuser 24 gas line 148 to the purge gas source 96.
- the exhaust plenum 41 together with the exhaust system 56 are an additional part of the tuned flow system which prevent reactive gas migration out of the processing area.
- Exhaust system 56 creates a negative pressure in the exhaust plenum 41 that draws active species gases together with the inert gas, processed byproducts, and condensation away from the processing area and prevents migration of these gases into the device area of the wafer 26.
- a heater element 122 is connected by a heater line to a heater power supply 126.
- the heater element 122 heats the isolator 25 and to a lesser extent, the wafer 26. Heating the isolator 25 is desirable to prevent condensation of gases that can be corrosive to the isolator 25 and potentially introduce contamination into the processing area.
- the nozzles of the edge area processing system 20, including the first nozzle 45 and second nozzle 49 are made of sapphire. Sapphire is advantageously non-reactive to the chemistries used in substrate processing.
- the isolator 25 nozzles including the first nozzle 45 and second nozzle 49, while described as angled relative to the wafer plane at -80 degrees and -45 degrees, respectively, can advantageously be angled in a different direction relative to the wafer plane in order to facilitate processing including etching or deposition of a thin film.
- a wafer 26 is centered on the wafer chuck 28 and then the wafer chuck 28 positions the wafer 26 in the slot of the isolator 25 between the upper section 38 and the lower section 39 for processing.
- the movement system 27 rotates wafer chuck 28, and thus the wafer 26.
- Inert gas or CDA is allowed to flow into the upper purge plenum
- the inert gas or CDA flows into the upper purge plenum 88 and lower purge plenum 114 at a rate of 100 seem to 8,000 seem. Inert gas or CDA is also allowed to flow into the processing chamber 22 through the gas diffuser 24. This gas flows into the processing chamber 22 at a rate of 500 seem to 10,000 seem.
- the exhaust system 56 is activated to draw gases and process byproducts including condensation through the exhaust plenum 41.
- reactive species 130 emit from first nozzle 45 and second nozzle 49.
- the igniter power supply 126 energizes the clean igniter system 78 and the first gas line 93 and second gas line 98 are opened to allow a flow of hydrogen and nitrogen trifluoride gases into the nozzle assembly 84 and through the four nozzles 84.
- the gas mixture is frequently different during the ignition stage.
- the igniter nozzle uses H 2 and O 2 only at higher total flow rates than the processing nozzles 45, 49.
- the initiator nozzle uses approximately 800 seem H 2 and 200 seem.
- the process nozzles typically ignite with a Lo NF 3 fraction. Typically about 20 seem max.
- the reactive species 130 flow through the nozzles at a rate of between 200 and 800 seem and preferably between 375 seem to 475 seem.
- the reactive species 130 impinge upon the edge area 33 of the wafer 26 as the wafer 26 rotates.
- the reactive species 130 react with a thin film or contaminant in the edge area 33 of the wafer 26 resulting in a reactant byproduct 66.
- Alternate nozzle configurations are envisioned.
- the position of the first processing nozzle 45 and second processing nozzle 42 includes the reactive species 130 to "wrap around" the top bevel, crown, bottom bevel of the wafer 26.
- Heater 122 is energized to heat the wafer top surface 30.
- This optional step is intended to prevent vapor produced as a byproduct of the chemical reaction, for example water vapor, from condensing on the wafer top surface 30. Condensation can be prevented by heating the wafer top surface 30 to a temperature at or above the boiling point for the reactant byproducts, for example heating the wafer top surface 30 above 100° C to prevent the condensation of water.
- wafer 26 surface heating can be supplied via a heated substrate holder 82 or via infrared energy directed at the wafer perimeter, or via other heat sources such as a flame.
- the reactive species 130 are prevented from passing out of the isolator 25 by the flow of inert gas working in concert with a pressure differential drawing gases into the exhaust plenum 41 and into the exhaust system 56.
- This inert gas forms a pressurized barrier in the upper purge plenum 88 and lower purge plenum 114 surrounding the in-process edge area of the wafer.
- the inside baffle member 61 in cooperation with the outside baffle member 63 biases the flow of insert gas towards the in-process area of the wafer 26. Reactant byproducts formed as a result of the reactive species 130 reacting with a thin film on the wafer 26 surface are drawn away from the in-process area of the wafer 26 into the exhaust plenum 41.
- reactive species 130 and reactive byproducts 142 are confined to the edge area of the wafer 26 and prevented from migration into other areas of the wafer 26 that may damage wafer component devices.
- the pressure differential induced by the exhaust plenum 41 further biases gas flow away from the central portion of the wafer 26.
- Processing includes the removal of a thin film, for example, silicon dioxide or tantalum as described above in relation to the substrate processing method.
- the first gas controller 102 and second gas controller 106 are closed. Simultaneously, the fourth gas controller 49 is opened to allow a flow of argon gas or CDA into the edge-type nozzle assembly 84 and through the first and second nozzles 45, 49 to "blow out" the combustion flame.
- the controller 140 additionally allows blow off of the nozzles if EMO or a power failure occurs. Additionally, the controller 52 can extinguish the flames upon low gas delivery pressure, if the enclosure is opened, or if there is a loss of control air. Also coupled to the controllers are a plurality of H 2 sensors which will shut off the system or signal an alarm should the H 2 level in the chamber 22 be above a predetermined level.
- the wafer 26 may be removed after the chamber 22 is evacuated of process gases and byproducts.
- Processing of the edge area 33 of the entire wafer may be accomplished with a single rotation of the wafer 26. Alternatively, more than one rotation may occur and more than one process may be performed including deposition and etching. After the flow of reactive species is stopped a flow of the inert gas continues until the processing chamber 22 is sufficiently evacuated of other gases and condensations. Then, the heater element 122 is turned off and the flow of inert or CDA gas from the purge gas source 96 is stopped and the wafer 26 is removed and replaced with another wafer for processing. [0076] The described system 20 and associated method for using the system is suitable for etching of target thin films. This includes, but is not necessarily limited to, tantalum and tantalum nitride; inter-layer dielectrics; backside polymers; and photoresist edge bead.
- Figure 2 represents a top view of the system shown in Figure 1A. Shown is the isolator 25 with associated nozzle assembly 84, Flame sense system 212, and heater 122. Also shown is the movement system 27 with labyrinth seal 70 and measuring micrometer 15. The wafer 26 is moved from the installation position 134 to the processing position 136 by translation of the chuck 28.
- Figure 3 shows exchange/centering 134 and processing 136 positions of the R-Z- ⁇ stage. Relationship of the labyrinth seal 70 to the process chamber 22 and chuck spindle 60 are also shown. Vacuum for labyrinth seal 70 operation is supplied by a vacuum pump 31 or other appropriate vacuum generator.
- Computer control of the vacuum level can be integrated using a throttle valve, electronic mass flow, or pressure controller in conjunction with a venturi type vacuum generator. Vacuum for the wafer chuck clamping force is also supplied by a vacuum pump 31. Pressure differential was found to be the most critical parameter determining function of the seal. Gap distance between 120 ⁇ m and 500 ⁇ m between the sealing plate 74 and the bottom surface 76 of the process chamber 22 was also found to be important.
- a minimum pressure differential between the seal exhaust ports, and the process chamber 22 was found to be -2 water column inches. Larger differential pressure values can be used and a practical upper limit is not known. Pressure differential between the process chamber and atmosphere should be at least -0.4 water column inches. This results in a seal exhaust to atmosphere pressure differential of at least -2.4 water column inches.
- Figures 4A - 4B show side and top views of the labyrinth seal 70 assembly in relationship to the chamber 22 and movement system 27. Vacuum channel sealing the traverse (R-axis) motion is shown along with the channel 79 sealing vertical (Z-axis) and rotary ( ⁇ -axis) motion components. Each vacuum channel is connected via tubing to an independently controlled vacuum generator or pump. Note that the labyrinth seal plate 74 is machined from 304 or 316 series stainless steel. Corrosion resistance is enhanced by a post machining metal finishing process consisting of electro-polishing and passivation.
- an embodiment of a substrate processing method 10 of the invention employs a combustion flame 12 formed of an ignited combustion of gaseous reactants 14 including hydrogen (H 2 ) and nitrogen trifluoride (NF 3 , as a non-oxygen "oxidizer") in an oxygen enhanced environment 13.
- gaseous reactants 14 including hydrogen (H 2 ) and nitrogen trifluoride (NF 3 , as a non-oxygen "oxidizer"
- NF 3 nitrogen trifluoride
- CDA oxygen containing gases are suitable.
- a mixture of gaseous reactants passes through a torch nozzle 45 before igniting into combustion flame 12. Combustion flame 12 impinges upon a substrate surface 18.
- HF gaseous hydrogen fluoride
- N 2 gaseous nitrogen
- this reaction is performed substantially at atmospheric pressure. This allows for use of viscous (rather than molecular) flow properties to precisely treat portions of the substrate surface 18 and minimize exposure of other substrate areas to the reactive process. Although a 3 : 2 molar ratio is described higher or lower ratios may be used depending on the desired result.
- this reaction is not induced by an ion producing field consistent with a plasma. It is believed that a plasma is a collection of charged particles where the long-range electromagnetic fields set up collectively by the charged particles have an important effect on the particles' behavior. It is also believed that the combustion flame 12 has substantially no ionic species present. As a result, there is no risk of ionic damage to the substrate.
- Substantial heat is generated from the exothermic chemical reaction of H 2 and NF 3 .
- This effect allows a small volume of highly reactive species in the form of HF to be generated due to the amount of energy represented by the resultant temperature. Elevated temperature in turn substantially increases reaction rates which results in higher etch rates. The result is higher process throughput.
- a silicon dioxide thin film can be etched by the gaseous hydrogen fluoride according to th ⁇ following overall reaction: 4HF (gas) + SiO 2 (solid) ⁇ SiF 4 (gas) + 2H 2 O (gas) Gaseous silicon tetrafluoride and water vapor leave the surface of the silicon dioxide thin film.
- this reaction provides for a change of silicon dioxide thin film from a solid to a gas byproduct that can be easily evacuated.
- gaseous tantalum pentafluoride and gaseous hydrogen leave the tantalum substrate surface.
- This reaction provides for a change of the tantalum on the substrate surface from a solid to a gas byproduct that can be evacuated.
- preheating of the wafer using an O 2 + H 2 flame is desirable to prevent the condensation of reaction products on the wafer.
- Organic and polymer films can also be removed using the above described chemistry however selectivity issues to Si and SiO 2 may in some instances make this less desirable.
- the above chemistry for example can be used to etch SiO 2 over Si where etching of oxide is desirable but Si is not. Passivation of exposed Si to the etch chemistry can be promoted by first exposing an etch field to a hydrogen rich flame with oxygen. The etch field is then exposed to the combustion flame of H 2 and NF 3 where the oxide is etched.
- Other desirable non-oxygen oxidizers for reaction with hydrogen in a combustion flame for substrate etching include fluoride (F 2 ), chlorine (Cl 2 ), and chlorine trifluoride (CIF 3 ). Hydrogen and fluoride react in a combustion flame as follows:
- the resultant hydrogen chloride reactive species can be advantageously used for etching when materials not readily etched by fluorine are present in the film stack.
- Chlorine trifluoride represents a hybrid etch chemistry where both fluorine and chlorine based etchant reactive species are produced. Often this compound is combined with another fluorine containing gas (such as NF 3 or CF 4 ) or with Cl 2 is used in varying ratios when multiple materials are present in the film stack, requiring both fluorine and chlorine based chemistry for removal.
- fluorine containing gas such as NF 3 or CF 4
- Cl 2 is used in varying ratios when multiple materials are present in the film stack, requiring both fluorine and chlorine based chemistry for removal.
- the chemical equations shown above are a simplified view of the real reactions taking place within the combustion flame and on the substrate surface. The reaction chemistries occurring are quite complex resulting in intermediate and final reaction products.
- a nozzle assembly 84 is held by a support member 46 over a wafer 26 retained on the substrate holder 82.
- Four nozzles 45 are disposed in the nozzle assembly 84.
- the nozzle assembly 84 is maintained at a distance of ⁇ 1.5 mm from the wafer top surface 30 during processing.
- a hydrogen gas source and nitrogen trifluoride gas source 55 are connected by a first gas line 48 and second gas line 53 through a first gas controller 102 and second gas controller 106 to a common mixing gas line 110 connected to the nozzle assembly 84 for combining and mixing H 2 and NF 3 .
- An exhaust scoop 116 is adjacent to the substrate holder 82 for exhausting gases and reactant byproducts.
- the exhaust scoop is connected by a plenum 67 to a blower device 124.
- the exhaust scoop 116 draws gases and reactant byproducts out of the processing chamber 22 through the blower device 124.
- an argon gas source 96 is connected by a third gas line 132 through a third gas controller 49 to the processing chamber 22.
- a CDA (clean dry air) or oxygen containing gas 72' is connected by the third gas line 132 through a third gas controller 49 to the process wafer.
- the argon or CDA gas source 131 is also connected by a fourth gas line 134 through a fourth gas controller 49 to the common mixing gas line 110.
- An igniter assembly 78 positioned close to the nozzle assembly 84 is connected by wires 83 to an igniter power supply 126.
- the robot unloads wafer from front opening unified pod (FOUP) and places the wafer on a pre-aligner 19.
- FOUP front opening unified pod
- the robot retrieves wafer from pre-aligner and places it into the chamber 22 on lift pins 16.
- Wafer chuck 28 moves up in z and lifts wafer 26 from lift pins 16 and rotates and positions the wafer edge to allow measurement using laser micrometer 15. Wafer center offset direction and magnitude is computed as described above. Wafer 26 is then rotated to align offset direction with the V axis. The chuck 28 then descends in 'z' axis to return wafer to lift pins 16.
- a heater 122 is positioned proximately to the area of the wafer 26 to be processed.
- the heater 122 (shown in Fig. 5) is an infrared (IR) or laser diode heater and is connected by a heater wire 87 to an IR heater power source 125.
- the heater 122 is a fiber optic coupled laser diode array.
- a fiber optic cable assembly can be used in place of the heater 122.
- the fiber optic cable can deliver high power illumination originating in a laser diode assembly located remotely. Such illumination can perform heating of the wafer 26 such as discussed in United States Patent Application Publication No. 2005/0189329, titled “Laser Thermal Processing with Laser Diode Radiation” and incorporated herein by reference.
- Figures 6A through 6F represent the nozzle 45, 49 positioning with respect the bevel edge of the wafer 26. By alternating the angles of the nozzles, proper coverage of the edge for particular region of the wafer edge can be accomplished. In this regard, depending upon the defects or films to be removed, various nozzle configurations are envisioned.
- a film such as deposited through chemical vapor deposition (CVD) or physical vapor deposition (PVD) extends as a thin film 129 over a wafer 26 such as a wafer.
- the thin film 129 extends from the top surface of the wafer 26 across a top bevel, crown and bottom bevel of the wafer 26.
- the above-described system 20 can be advantageously used to process the thin film 129 on the wafer 26 resulting in a wafer 26 profile as shown in Figure 8B.
- a full coverage thin film 128 extends from the top surface across the top bevel, crown and bottom bevel and onto the bottom surface of the wafer 26.
- Thin films having this profile can include for example thermal SiO 2 , and Si 3 N 4 .
- Embodiments of the above- described system 20 can be used to process the full coverage thin film 128 on the wafer 26 resulting in a wafer 26 profile as shown in Figure 8D.
- a backside polymer thin film 130 extends from at or near the top bevel to across at least a portion of the crown to the bottom bevel and onto the bottom surface of the wafer 26.
- Embodiments of the above-described system 20 can be used to process the backside polymer thin film 130 on the wafer 26 resulting in a wafer 26 profile as shown in Figure 8F.
- an alternative embodiment edge area processing system 20' (the "first alternative system") employ alternate first and second nozzles 45, 49.
- the second nozzle "bends" the reaction gasses from the first gas around the bevel edge.
- Figure 9A represents a 65°/140° nozzle configuration. This configuration allows the gases of the reaction to be induced around the wafer 26 bevel.
- Each of the four nozzles 45,49 is constructed of sapphire with a bore diameter of 0.254 mm and an aspect ratio of between 10:1 and 80:1 at the outlet end.
- Each of the four nozzles 45,49 is press fitted into the nozzle assembly 84.
- the nozzles are pressed into tightly toleranced bores cut into the stainless steel nozzle assembly 84.
- Nozzle diameter is 1.577 mm, +0.003 mm, -0.000 mm.
- Bore diameter in the nozzle assembly 84 for receiving the sapphire nozzle is 1.567 mm, +0.003 mm, -0.000 mm.
- a spoiler jet 89 is used to ensure the flame does not interact with the structure system 56. Additionally, the lower moat 51 ensures reactants do not pass the isolator so as to affect the back surface.
- Figure 9A shows that under some processing conditions, flame outputs may impinge on portions of the exhaust or isolator structures.
- moat 51 gasses generally can be used to prevent reaction gasses from flowing upstream, under certain processing conditions, the gasses may be forced toward the chuck 28.
- the use of a spoiler jet 89 can reduce or eliminate the reaction gas impingement. Additionally, the gas flow through the backside moat will eliminate the chance reaction products will migrate into the wafer back surface.
- NF 3 is used in the above embodiments as the non- oxygen oxidizer
- other non-oxygen oxidizers as previously discussed are suitable for use in the preferred embodiments.
- Removal of tantalum from the near-edge region of the substrate is carried out using an etch nozzle configuration similar to that detailed for dielectric removal.
- Total gas flow per nozzle is approximately 400 seem with an H 2 fraction in the range of 0.6 to 0.7.
- the primary tantalum etch product is TaF 5 which has a boiling point of ⁇ 230° C.
- Substrate surface temperatures in the etch region must be kept about this temperature to prevent condensation of the etch product. This is readily achieved using an additional combustion flame nozzle (not shown) positioned to impinge a flame on the substrate immediately prior to the impingement of the etch flame.
- This pre-heat nozzle discharges a flame of H 2 and O 2 preferably in the range of 0.5 to 0.8, H 2 fraction at a total flow of ⁇ 400 seem for a single nozzle.
- One configuration is optimized for EBR from spin-on films on the top surface and edge region of wafers.
- This configuration uses reactive gas generated by a combustion flame of H 2 and O 2 to remove the resist.
- the present disclosure defines an optimized process using a minor fraction of the non-oxygen oxidizer NF 3 in the gas mixture for photoresist EBR. This addition increases the combustion flame temperature and chemical reactivity.
- Undesirable dielectric films can be removed from the front surface of in process semiconductor wafers. These films can also flake and result in defects which cause yield loss. Concentric process application is critical in these processes where reactive gas application must be targeted to the edge region while not affecting the device area of the wafer.
- Tantalum removal is similar in configuration to the front side dielectric removal module. Differences exist in the use of a preheat nozzle to reach a higher surface temperature (>230 0 C target) to prevent TaF 5 condensation in the etch region. Surface temperature pre-heat target for typical film removal is -120 0 C and is primarily to prevent condensation of water vapor byproduct from the combustion reaction. [0119]
- the in-situ wafer centering sequence typically takes 8 to 15 seconds. This overhead can be overlapped with gas flow stabilization time or ignition sequence. Wafer 'z' plane displacement is measured during rotation and can be used to map out 'z' displacement due to wafer bow or warp.
- This process operation can be applied to backside polymer and edge bead removal.
- Backside polymer removal is accomplished by using four nozzles located in the isolator structure. As shown in Figure 9C, two nozzles are positioned at 45 degrees and two are at 105° relative to the wafer surface. The 45° nozzles are aimed at the back surface while the 105° nozzles are aimed at the bevel. In some cases, 2x 45 degree nozzles are directed at the back surface along with 2x65 degree nozzles directed at the bottom bevel. Using multiple nozzles in this fashion both increases throughput and widens the process window. Nozzle angle relative to the wafer surface is important as impingement angle affects flow attachment to the surface and consequently degree of delivery of reactive species to the surface.
- an optional spoiler jet 89 can ensure the 105° nozzle does not cause degradation of the exhaust structure. It should also be noted that in this configuration, gas from the moat 51 can be used to "spoil" the flow of the flame to ensure it does not interfere with the exhaust.
- the thickest polymer is located on the bevel region of the wafer. Consequently the NF 3 fraction in the 105° jets is higher than the 45° jets aimed at the thinner polymer on the back surface.
- the method process uses 210 seem H 2 , 80 seem O 2 , and 100 seem NF 3 in each 105° (high fraction) nozzle.
- Flows of 240 seem H 2 , 120 seem O 2 , and 20 seem NF 3 are used in each 45° (low fraction) nozzle.
- the nozzles are constructed from sapphire with an ID of approximately 254 ⁇ m and an aspect ratio of greater than or equal to 10:1. Rotational speeds using during process are typically in the 1 to 6 RPM range.
- Backside polymer removal approach differs from front side films in that a sharp transition to full film thickness at the edge exclusion boundary is not required.
- Multiple nozzles are used in a partially overlapping fashion to increase the process window and removal rate. Nozzles are angled at 45° and 65° relative to the wafer surface. These angles were determined by a combination of CFD modeling and experimental trials. Positioning of the 65° nozzles can be critical for flow attachment and consequently efficient removal of material from the bevel region. This angle can be optimized based on edge profile to maximize flow attachment.
- Figure 10 shows a schematic view of the centering process.
- the measurement window of the laser micrometer 15 is represented by a rectangle 200.
- the edge location of a properly centered wafer or circle of radius 150 mm is shown as 202.
- the target center position of the wafer is (Xc, Y 0 )-
- a misaligned wafer is shown in hidden line representation at two different angular positions.
- the pre-centered wafer has been rotated about the Z axis ⁇ 1 degrees.
- the center of the wafer is identified at (X 1 , Yi).
- a second wafer position, identified as 206 corresponds to the wafer being rotated an angle of ⁇ 2 degrees.
- the center of the wafer is now at (X 2 , Y 2 ).
- Figures 3 and 10 depict a "Z" axis, an "R" axis and ⁇ angles from a reference coordinate system having an origin at (X 0 , Y c ).
- the edge position measurement and offset calculation includes the following: 1. R-Z- ⁇ stage placed with ⁇ axis in known reference location; 2. Rotate ⁇ and measure radial position of wafer edge using laser micrometer 15; 3. Measured radii are fit to a circle; and 4. The difference in position between the known ⁇ axis and the center of the resultant fit circle is calculated and gives magnitude and angle of wafer offset.
- the centering routine measures and records ⁇ , T 1 , (1...n) and the laser micrometer 15 reading, Li, (1 ...n) which represents the edge position.
- n 50 in this application.
- the true radius of the wafer is assumed
- the objective is to minimize the sum of squares of the deviations given by
- the system 20 can include an optical system
- the optical system has at least one zoom lens 262 which is rotatably positionable about the wafer's edge.
- the zoom lens is configured to be able to take reflected light from the wafer's edge and collect it into a CCD camera. It is envisioned that the zoom lens will have a 2 ⁇ m resolution and will be able to detect defects on the wafer's edge as well as the effectiveness of the cleaning process.
- the system is capable of removing polymer from the top of the wafer, revealing a dielectric surface. Additionally, it is envisioned the system can use thin film spectroscopic reflectivity. Further, the optical system is disclosed in United States Patent Application Serial No. 11/417,297, filed on May 2, 2006 and titled "Substrate
- the wafer processing system 20 includes the wafer movement system 27 having a spindle 60 configured to move the wafer in three or four axes of movement.
- the wafer movement system 27 is configured to move the wafer within an isolated chamber 22 in xyz and ⁇ directions (motion occurs in r,z and theta directions).
- the isolated chamber 22 has a bottom wall 162 defining an aperture
- FIG. 13 represents and exploded view of a portion of the wafer processing assembly 20. Shown is a portion of the chamber 22, the labyrinth seal 70 and associated isolator assembly 25 components. As can be seen, the labyrinth assembly 70 is formed of a sealing plate 168 and support plate 169.
- the support plate 169 defines a vacuum gallery 173 which is fluidly coupled to the vacuum chamber 174 defined between the first and second bearing surfaces 160 and 170 of the chamber bottom wall 162 and sealing plate 168 bearing surface 170. Also shown is the relationship of the spindle 60 and the apertures 172 and 164 formed in the sealing plate 168 and the bottom wall 162. Also shown is the relationship of a loading position 181 and the second processing position 186.
- either the first or second bearing surfaces 166, 170 can define a groove 178.
- This groove 178 forms a portion of the first vacuum chamber 174 defined between the first and second bearing surfaces 166 and 170.
- This chamber 174 is movable with respect to the bottom wall 162 upon movement of the spindle 60 by the actuation mechanism.
- the sealing plate 168 Adjacent to the bore 172, the sealing plate 168 can define second groove 180.
- a second vacuum chamber 182 can be defined between the second groove 180 and the spindle 60. This second vacuum chamber 182 can be independently coupled to the vacuum source 176.
- the wafer movement system 27 comprises a wafer supporting chuck 28 that functions to fixably hold the wafer 26 through the movement system 27. This wafer movement system 27 is configured to move the wafer 26 from the loading position 181 to a second processing position 186.
- the processing position can be an alignment position or can be positioned adjacent to the nozzle assembly 84.
- the spindle 60 is configured to move the wafer 26 in a plurality of directions from the loading position 181 to the processing location 186.
- the isolated chamber 22 is disposed about at least a portion of the wafer movement system 27 in order to protect the mechanism of the wafer movement system 27 from the reactive gases generated during the processing of the wafers.
- the chamber 22 has bottom wall 162 defining an elongated bore 164 which allows the movement of the spindle 60 with respect to the chamber 22.
- the bottom wall 162 first bearing surface 166 can either be located on an exterior or an interior surface of the chamber 22.
- Figures 17A-17B represent an exploded sectional view of isolator 25.
- the isolator 25 has a nozzle plate 216 which provides the mechanism to couple the nozzle assembly 84 and moat 51 gas supply to the moat 51.
- the nozzle plate 216 defines a recess 218 which slidably accepts the nozzle of the nozzle assembly 84.
- the recess 218 further defines a second recess aperture 220 which accepts an optical interface for the heating element 122.
- the nozzle plate 216 allows for the configurations of the nozzle assembly 84 without the entire disassembly of the wafer processing apparatus 20.
- the nozzle plate 216 defines apertures and fixation pins which facilitate the alignment of the various components to the isolator 25.
- the nozzle assembly 84, heater 122 and moat 51 gas supply lines are precisely positioned.
- Figures 18A and 18B show a plurality of nozzles 45,49 coupled to a diffusion portion 221.
- the structure 221 forms a plenum when installed against the nozzle plate 216.
- the support member 221 fits within the recess 218 of the nozzle plate 216 to position the nozzles 45 in their proper orientation.
- the nozzles are coupled to the gas supply 55 through a plurality of welded stainless steel tubes 222.
- the gas supply 55 is controlled by controller 52.
- the nozzles have a stainless steel lead-in tube 224 having a very high aspect ratio. For example, for H 2 and O 2 gas mixture, an aspect ratio of greater than or equal to 10:1 is appropriate.
- a blowback flash suppressor device 226 Disposed immediately before the lead-in portion 224 of the nozzle 45 is a blowback flash suppressor device 226.
- This device 226 is a chamber 228 having a volume significantly larger than the volume of the lead-in portion 224.
- a porous stainless steel member 228 Disposed within the volume is a porous stainless steel member 228 which functions as an energy sink to prevent the flame front from traveling up through the nozzle 45,49 and into the gas supply in the event of a system failure.
- the nozzle 45 can vary depending on the fuel and oxidizer being used.
- the nozzle 45,49 has a stainless steel lead-in portion 224 having an aspect ratio of greater than 40:1 , and preferably 80:1.
- high purity nozzle tips 230 of sapphire are preferred.
- the nozzle 45 has a stainless steel body 225 with locator pin 227 which allows for the coupling of the nozzle 45 with nozzle support member 221.
- FIG. 21 A and 21 B represent an alternate method of coupling nozzles to the isolator 25. Shown is an aperture 232 defined into either the isolator 25 or the nozzle plate 216. Disposed within the aperture 232 are a plurality of nozzle subplates 234 which have individual nozzles 45.
- nozzles subplates 234 are movable with respect to each other in fore and aft directions to allow for relative positioning of the subplates within the isolator 25.
- the individual nozzle subplates 234 can be stacked immediately adjacent to each other to form a nozzle assembly 84.
- Figures 22A and 22B depict individual nozzle subplates 234. Disposed on the inner face surfaces 236 of the nozzle subplates 234 are grooves 238 which function as fluid chambers 240. These fluid chambers 240 are coupled to a vacuum or pressurized gas source (not shown) and function to divert reaction gas products which might leak from the processing chamber 22 during wafer processing. It is envisioned that inert or oxygen containing gas can be supplied to the nozzle plate, which will in turn flow into the isolator through the aperture 232.
- Figure 22B depicts a cross-sectional view of the nozzle plate 234 shown in Figure 22A.
- structures such as the high aspect ratio lead-in tube 224 and blowback flash suppressor device 226 can be machined therein. These features significantly reduce the cost of the assembly and increases the overall system reliability.
- fuel is provided to the nozzles 45, through the flash suppressor device 226 from the mass flow controller 52.
- the vacuum source draws a vacuum in the vacuum chamber 236 preventing corrosive reaction gases from leaking past the nozzle assembly 84.
- FIGs 23A and 23B represent an igniter assembly 78 which is configured to cleanly ignite the nozzles 45 and 49 of the nozzle assembly 84.
- the igniter assembly 78 has an optically clear or sapphire hot body igniter 242 defining an interior cavity 244.
- the hot body igniter 242 provides high chemical resistance, which is non-particle forming.
- a heating element 246 is disposed within the interior cavity 244. This heating element, which can be a Pt:Rh element, functions to quickly bring the hot body igniter to a predetermined temperature which will ignite a fuel oxidizer mixture when the fuel touches the igniter hot body 242.
- the ceramic hot body igniter 242 can be physically and optically coupled to a laser diode 252.
- the laser diode 252 is configured to produce photons which past through the interior cavity 244. These photons strike the heating element 246, thus producing a reliable ignition system.
- the hot body 242 can be coated on an interior or exterior surface with materials which increase photon absorbance at wavelengths of interest.
- the heating element 246 Disposed at a distal end of the elongated cavity 244 is the heating element 246.
- This heating element 246 can be electrically coupled to a power source which functions to provide electric current to heat the heating element. Alternatively, this element can be inductively heated.
- an igniter nozzle assembly 248 operably disposed between an igniter nozzle assembly 248 and the nozzle assembly 84 is an air knife 250.
- the Air knife 250 is fluidly coupled to a source of CDA or inert gas.
- the igniter nozzle assembly 248 is operably coupled to a fuel source 52 and can have a sapphire nozzle tip 252 as described above.
- the system for initiating a clean flame needed in the processing of the wafer 26, includes disposing the heating element 246 within an igniter assembly 78 and energizing the heating element 246 so as to bring the assembly 78 to a predetermined ignition temperature. Gas is then passed through an ignition nozzle assembly 248 at a first gas rate pass the igniter assembly 78 to ignite an initiation flame. The initiation flame is then passed by a plurality of nozzles of a nozzle assembly 84 to ignite a plurality of flames from the nozzles. After the plurality of nozzles of the nozzle assembly 84 have been lit, an air dam is passed in front of the initiation flame by actuating the air knife 250.
- a non-flammable gas is then passed through the initiator nozzle 248 at a second predetermined rate.
- a second predetermined rate can be greater than the rate of fuel passing through the nozzle. This prevents blow back into the ignition system to the equipment.
- the use of the air knife 250 allows for the extinguishment of the initiation flame without disruption of the processing flames.
- shown is an alternate clean ignition system. Similar to the system shown in Figures 23A and 23B, the ignition system includes a nozzle 248 for injecting pressurized fuel in proximity to the nozzle assembly 84. This nozzle 248 produces gas jet, which is temporally changed into a plasma and ignited by a very high intensity laser 256. It is envisioned that the ignition system can be disconnected by either shutting off the source of the plasma gas, or disengaging the laser 256.
- optical analysis electronics (not shown) are connected to a fiber optic coupler 210 disposed in the upper section 38 of the isolator 25 in position to receive photon emission from reactive processes.
- optical analysis electronics are used to observe and analyze reactive processes to determine presence of reactive species and/or relative concentration of reactive species.
- optical emission spectroscopy can be used to infer etch end points based on reactive species and/or etched products observed to be present in the region where the chemical reaction in taking place.
- Figure 2.7 represents a top view of a flame sense system for use in the wafer processing system according to Figure 1A. Shown is the nozzle plate 216 which supports the nozzle assembly 84 having processing nozzles 45 and 49. Directed to the nozzles 45 and 49 is a CCD spectral analyzer 260. The spectrometer is configured to receive emissions from the flames emitted from the nozzles 45 and 49.
- Figure 28 represents an intensity graph for a spectrum of particular interest.
- the graph depicts wavelength between 200 and 400 nm.
- the curve of wavelength between 302 and 324 nm varies depending on the number of flames initiated. It is envisioned that the system can determine the quality and quantity of the number of flames being produced by the system by analyzing the spectral output.
- the spectral region of interest used for flame sensing with H 2 and O2 dominated gas mixtures is between about 300 and 325 nm. Emissions around 309 nm is from an intermediate O-H species generated in the flame.
- the mass flow controller 52 of the present system can be coupled to the spectral analyzer 260. In this regard, it is envisioned that should the system determine that one or more nozzles has not be properly emitted, the system will signally fault and can shut the system down. As shown in Figure 29, varying the number of nozzles, varies the output of the system. This can be detected to determine if the system is functioning properly.
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
An edge area of the substrate processing device is disclosed. The edge area being processed is isolated from the remainder of the substrate by directing a flow of an inert gas through a plenum near the area to be processed thus forming a barrier while directing a flow of reactive species at an angle relative to the top surface of the substrate towards the substrate edge area thus processing the substrate edge area. A flow of oxygen containing gas into the processing chamber together with a negative exhaust pressure may contribute to the biasing of reactive species and other gases away from the non-processing areas of the substrate.
Description
METHOD AND APPARATUS FOR CLEANING AWAFER SUBSTRATE
CROSS-REFERENCE TO RELATED APPLICATIONS [0001] This application claims the benefit of U.S. Provisional Application No. 60/819,521 , filed on July 7, 2006. This application is a continuation-in-part of U.S. Patent Application No. 11/131 ,611 , filed on May 18, 2005, which is a divisional application of 10/401 ,074, filed on May 27, 2003, now U.S. Patent No. 6,936,546, issued August 30, 2005, which claims priority U.S. Provisional Application 60/376,154, filed April 26, 2002. This application is also a continuation-in-part of U.S. Patent Application No. 11/230,261 , filed September 19, 2005. This application is also a continuation-in-part of U.S. Patent Application No. 11/230,263, filed September 19, 2005. This application is also a continuation-in-part of U.S. Patent Application No. 11/417,297, filed May 2, 2006. The disclosure of the above applications are incorporated herein by reference.
FIELD
[0002] The present disclosure relates to a method and apparatus for processing of a substrate. More particularly, a method and apparatus for concentrically positioning a substrate relative to an apparatus for processing the edge of the substrate is disclosed. Furthermore, a seal arrangement for the alignment apparatus is also provided. In addition, processes for dry etching of a substrate with a combustion flame are disclosed.
BACKGROUND [0003] The statements in this section merely provide background information related to the present disclosure and may not constitute prior art.
[0004] During the manufacture of integrated circuits, silicon substrate wafers receive extensive processing including deposition and etching of dielectrics, metals, and other materials. At varying stages in the manufacturing process it is beneficial to "clean" the edge area of the wafer to remove unwanted films and contaminants including particles that develop as a result of the wafer processing.
[0005] This includes films and contaminants that develop on a near edge top surface (primary processed side), near edge back surface, and edge (including, top bevel, crown and bottom bevel) of the wafer (hereinafter "edge area" refers generally to the near edge top surface, near edge bottom surface, and edge in combination or individually). Removal of films and contaminants is desirable to prevent the potential of particulate migration into, the device portion of the wafer. Potential contaminant particles are generated during wafer handling, processing, and as a result of "pop-off" effect due to film stress.
[0006] It is a challenge to process and thus remove edge area thin films and contaminants in an efficient and cost effective manner without affecting the remainder of the wafer that contains in-process devices. This challenge is exacerbated by use of chemistries and processes that may adversely impact the in-process device portion of the wafer.
[0007] Many of the existing film removal techniques fail to properly remove polymers, edge beads, dielectric or tantalum, particularly from the edge area, as may be desired by the wafer manufacturer. Specifically, it is desirable to maximize the usable surface area of a wafer thus minimizing any unusable edge area with the objective of maximizing die yield. Reduction in functional die produced from the usable surface area is termed yield loss and is generally undesirable and has a negative cost impact. Accordingly, a need in the art exists for improved processing methods and apparatus to remove various front side, back side and edge area films and contaminants in a cost effective and efficient manner.
SUMMARY
[0008] In accordance with the present teachings, an edge area substrate processing method and apparatus provides advantages over the aforementioned processing methods and systems. An aspect of the present teachings is directed to a method and apparatus for dry chemical processing at atmospheric pressure, the edge area of a substrate in isolation from the remainder of the substrate. The substrate edge area processing apparatus has an isolator for isolating a portion of the substrate edge area to be processed. One or more
grooves in the isolator form a plenum for confining flow of a reactive species to the edge area of the substrate. One or more nozzles are disposed in the isolator with at least one of the one or more nozzles at an angle between perpendicular and horizontal to the top surface of the substrate. The one or more nozzles are for emitting a reactive species for reacting with a material on the substrate edge area. Pressure differentials bias the reactive species away from the area of the substrate outside of the isolator.
[0009] A substrate edge processing method is disclosed for isolating for isolating and processing a portion of a substrate. The portion to be processed extends from an edge of the substrate radially across the top surface of the substrate to another part of the edge of the substrate, thus isolating an edge area to be processed. A pressure differential barrier is formed between the portion of the substrate being processed and the remainder of the substrate. A reactive species is directed towards the processed portion of the substrate at an angle greater than parallel to the top surface of the substrate and less than vertical to the top surface of the substrate.
[0010] In other embodiments, an edge area of the substrate to be processed is isolated from the remainder of the substrate by directing a flow of an inert gas through a plenum near the area to be processed thus forming a barrier while directing a flow of reactive species at an angle relative to the top surface of the substrate towards the substrate edge area thus processing the substrate edge area. A flow of oxygen containing gas into the processing chamber together with a negative exhaust pressure may contribute to the biasing of reactive species and other gases away from the non-processing areas of the substrate.
[0011] The described method and apparatus allows for precise processing of portions of the substrate particularly the substrate edge area without allowing for encroachment in the excluded area. Flow control as a part of the apparatus isolator structure in combination with pressure differentials effectively limits movement of reactive species into the area excluded. Using directed flow of the reactive species to the edge area of the substrate allows for a high etch rate and resulting overall significant improvement of throughput of
processed substrates. In sum, the system provides for a clean, effective, and efficient method and apparatus for processing the edge area of substrates in a manner that is highly desired for achieving low contamination of the device portion of the substrate. [0012] The present disclosure further provides a method and apparatus for aligning a wafer in a highly concentric and precise fashion. Concentric process application has many benefits over existing technologies. It enables atmospheric pressure, gas phase removal of many undesirable films from the edge area of a semiconductor wafer. The concentric process application measures a radius of a wafer at various locations while the wafer is spinning on a chuck. A determination of a precise center of the wafer is calculated and the wafer is repositioned at the precise center for processing.
[0013] Also disclosed is a multi-axis motion seal (i.e. labyrinth) for sealing the processing chamber during processing of the wafer. The seal functions in association with a wafer chuck. The seal and processing chamber define a vacuum chamber connected to a vacuum that is movable in cooperation with the alignment system.
[0014] In addition, processes for combustion flame based processing of the wafer are disclosed. The disclosed chemistries react in a combustion flame to produce a reactive species for processing the wafer in a precise and efficient manner.
[0015] In another embodiment, a system is provided for dielectric film removal from near edge regions. These films are etched using H2 : NF3 dominant chemistries. Certain metal films can also be removed. Examples include tungsten and tantalum. Many metal oxide or nitride films can also be etched.
[0016] Further areas of applicability of the present invention will become apparent from the detailed description provided hereinafter. It should be understood that the detailed description and specific examples, while indicating the preferred embodiment of the invention, are intended for purposes of illustration only and are not intended to limit the scope of the invention.
DRAWINGS
[0017] The drawings described herein are for illustration purposes only and are not intended to limit the scope of the present disclosure in any way.
[0018] Figures 1A - 1C are cross-sectional schematics depicting a system for concentric wafer process application;
[0019] Figure 2 is a top schematic depicting exchange/centering and processing positions of a wafer within a process chamber;
[0020] Figure 3 is a side schematic depicting exchange/centering and processing positions of a wafer within a process chamber; [0021] Figure 4A depicts a side sectional view of a labyrinth seal assembly in relationship to a processing chamber and chuck assembly;
[0022] Figure 4B depicts a top sectional view of a labyrinth seal assembly in relationship to a processing chamber and chuck assembly;
[0023] Figure 5 represents a side sectional view of the isolator chamber shown in Figure 1A;
[0024] Figure 6A depicts a top view of a plurality of nozzle bodies relative to an edge of a wafer;
[0025] Figures 6B through 6F represent side views depicting bevel nozzles at a wafer bevel region; [0026] Figures 7 through 8G represent cross-sectional views of pre and post processed wafers;
[0027] Figures 9A - 9C represent side views depicting alternate nozzle configurations at a wafer bevel region;
[0028] Figure 10 depicts a schematic view of a misaligned wafer at two different rotational positions relative to an aligned position within the exchange/centering apparatus;
[0029] Figures 11 - 12B detail an optical inspection system of the present disclosure;
[0030] Figure 13 represents an exploded cross sectional view of a portion of the processing chamber and the isolator assembly shown in Figure 1 ;
[0031] Figures 14A and 14B are sectional views of the seating mechanism of the system shown in Figure 3;
[0032] Figure 15 represents a perspective sectional view of the sealing mechanism shown in Figures 14A and 14B;
[0033] Figures 16A and 16B represent cross sectional views of the system shown in Figure 3; [0034] Figures 17A-17C represent an exploded view of the isolator assembly shown in Figure 13;
[0035] Figures 18A and 18B represent perspective views of the nozzle assembly of Figure 17A;
[0036] Figures 19A and 19B represent a nozzle usable in the nozzle assembly of Figures 18A and 18B;
[0037] Figures 2OA and 2OB represent an alternate nozzle usable in the nozzle assembly of Figures 18A and 18B;
[0038] Figures 21 A and 21 B represent an alternate nozzle assembly;
[0039] Figures 22a and 22b represent nozzle subplates as shown in Figure 21 A and 21 B;
[0040] Figures 23A and 23B represent cross sectional views of an alternate igniter assembly according to the present teachings;
[0041] Figures 24 through 25B represent top and side views of the igniter and nozzle assemblies; [0042] Figure 26 represents a perspective view of an alternate clean ignition assembly;
[0043] Figure 27 represents a top view of a flame sense system for use in the wafer processing system according to Figure 1 A; and
[0044] Figures 28 and 29 represent responses detected by the fiame sense system.
DETAILED DESCRIPTION
[0045] The following description is merely exemplary in nature and is not intended to limit the present disclosure, application, or uses. [0046] Figures 1A and 1B represent a system level view of the components and methods required to achieve concentric process application utilizing a wafer processing system according to the teachings herein. One
example relates to selectively applying chemistry to the near edge region of a wafer. Other possibly applicable methods and apparatus are disclosed in U.S. Patent Application Nos. 11/230,261 and 11/417,297 which are both incorporated by reference. [0047] Central to the present disclosure's near edge film removal technology is the ability to apply reactive gas to a wafer in a highly concentric and precise fashion. Process application is typically sensitive to wafer or substrate eccentricity variation in the range of 50 to 100 μm. Multiple subsystems are required to achieve this type of process application. [0048] Figure 1A shows a system level schematic view of the overall system for concentric wafer process application. The process chamber 22 contains the isolator 25 and diffuser 24 for controlled application of reactive gas to the near edge wafer region. The R-Z-θ or xyz-θ wafer movement alignment module or system 27 is shown in the wafer load position where the laser micrometer 15 measures the trajectory of the wafer edge during the centering routine. Lift pins 16 are also shown.
[0049] The equipment front end module 17 contains a robot and the pre- aligner station 19. Wafers are processed from a front opening unified pod. The utility cabinet 20 contains control electronics, computer(s), endpoint equipment, gas delivery equipment and other facilities interconnects. Process gases 21 are connected to the module and flow regulated by appropriate mass flow controllers (MFC's) 52. Other facilities connections such as exhaust 56 and cooling water 58 are also connected.
[0050] Referring generally to Figures 1A - 9C, an embodiment of the wafer edge area processing system 20 (the "system") of the invention has a processing chamber 22 with an isolator 25 and wafer alignment module 27 with associated wafer chuck 28 disposed therein. A wafer 26 is retained on top of the wafer chuck 28 with the wafer 26 having a top surface 30, bottom surface 32, and edge area 33 (including edge and near edge as shown by lighter line proximal to edge) that surrounds the radial perimeter of the wafer 26. The isolator 25 has an upper section 38 extending over a portion of the top surface 30 of the wafer 26 and a lower section 39 extending over a portion of the bottom
surface 32 of the wafer 26. The inside of the isolator 25 has a processing area for processing the edge area 33 of the wafer 26. The processing area leads into an exhaust plenum 41 connected to an exhaust system 56 for exhausting gases, process byproducts, and condensation.
S [0051] Disposed within the upper section 38 of the isolator 25 are a first nozzle 45 and a second nozzle 49. Both nozzles are configured to emit a directed flow of reactive species towards the edge area 33 of the wafer 26. First nozzle 45 is offset from an axis perpendicular to a plane that is common with the top surface 30 of the wafer 26 (the "wafer plane"). First nozzle 45 is pointed0 towards the top surface 30 at an angle of 80° +/-5° relative to the wafer plane. Second nozzle 49 is offset by an angle of 45° +/- 5° to the wafer plane. Second nozzle 49 is also offset by -15° from a plane perpendicular to the wafer plane that runs through the center of the isolator 25 and center of the wafer 26.
[0052] First nozzle 45 is connected to a first channel 48 disposed in the5 upper section 38. First channel 48 leads to a gas line 47. Second nozzle 49 is connected to a second channel 53 disposed in the upper section 38. Second channel 53 leads to the gas line 47. First nozzle 45 and second nozzles 49 are connected via the gas line 47 to a reactive gas species source. Optionally, the first and second channels 48 and 53 can be coupled to sources having differing0 chemistry.
[0053] First nozzle 45 is positioned for bevel and crown processing at a distance of 0.1 to 0.5 mm from the edge of the wafer 26 and 1.3 to 1.8 mm distance from the top surface 30 of the wafer 26. Second nozzle 49 is positioned 0.5 to 3.0 mm in from the edge of the wafer 26 and 0.6 to 1.1 mm distance from5 the top surface 30 of the wafer 26. Radial position of the nozzles and distance from the wafer surface is dependent upon desired edge exclusion area and is also process and film dependent.
[0054] Reactive gas species source either provides a reactive gas species or component reactants for forming the reactive gas species. Reactive0 gas species can be generated via near atmospheric pressure techniques. This includes near atmospheric capacitively coupled plasma source (i.e., APJET), as described in United States Patent 5,961 ,772, incorporated herein by reference or
inductively coupled plasma discharge (i.e., ICP torch), as described in United States Patent 6,660,177, incorporated herein by reference or combustion flame.
[0055] Spontaneous etchants, for example F2, O3, or HF can also be used. Advantageously, none of these reactive species techniques produce ion bombardment characteristic of an ionic plasma thus minimizing surface and device damage potential. Further, although envisioned, none of these techniques requires a vacuum chamber together with associated equipment.
[0056] An upper purge plenum 88 disposed in the upper section 38 extends at or near the edge of the top surface of the wafer 26, above and across an area of the wafer to be processed to at or near another edge of the top surface 30 of the wafer 26. The upper purge plenum 88 is -3.0 mm wide and extends for a total path length of -37.5 mm. The upper purge plenum 88 is part of a tuned flow system which prevents reactive gas migration out of the processing area. [0057] The upper purge plenum 88 is connected to a first purge channel
92 that is connected to a purge gas source 96 via a purge gas line 94. The purge gas source 96 supplies an inert gas, for example, argon that is fed via the first purge channel 92 into the upper purge plenum 88. Alternatively, the upper purge plenum 88 can provide CDA or oxygen containing gas, which augments the reaction of the reactive gas.
[0058] The use of oxygen containing gas allows the reaction of un- reacted H2. This also compensates for extreme length limitations and allows for a higher volume fraction of NF3. The increased NF3 volume fraction leads to enhanced etched rates as well as an enhancement of throughput. Although one purge channel is seen disposed in the upper section 38 of the isolator 25, more than one channel may be present for directing a flow of purge gas into the upper purge plenum 88. Purge channels have an inside diameter of 2.00 mm. The flow of purge gas into the upper purge plenum 88 creates a pressure differential in the area of the top surface 30 surrounded by the upper purge plenum 88 resulting in a barrier between the top surface 30 and the edge area 33 of the wafer 26 being processed.
[0059] The upper purge plenum 88 is separated from the top surface 30 of the wafer 26 by an inside baffle 100. Inside baffle 100 follows along the inside perimeter of the upper purge plenum 88 and is separated from the wafer 26 by a gap of 0.30 to 0.80 mm. An outside baffle 104 follows along the outside perimeter of the upper purge plenum 88 and is separated from the wafer 26 by a gap of 0.50 to 1.10 mm. As seen, outside baffle 104 is wider and closer to the top surface 30 of the wafer 26 than the inside baffle 100. This facilitates forming a pressure induced barrier around the in-process portion of the wafer 26 by creating a pressure differential biasing a flow of a purge gas in a direction across inside baffle 100 into the processing area of the isolator 25.
[0060] A second purge channel 108 is disposed in the lower section 39 of the isolator 25. This is connected by the purge gas line 94 to the purge gas source 96. Second purge channel 108 is for feeding purge gas to a lower purge plenum 114. Similarly to the upper purge plenum 88, the lower purge plenum 114 extends from at or near the edge area 33 of the wafer 26 below and across the bottom surface 32 to at or near another location of the edge of the wafer 26. Similarly to the upper purge plenum 88, the lower purge plenum 114 is disposed between a lower inside baffle 112 and a lower outside baffle 118. The lower purge plenum 114 together with the lower inside baffle 112 and lower outside baffle 118 bias a flow of purge gas in a direction across the lower inside baffle 112 and across the bottom surface 32.
[0061] Wafer chuck 28 is movable in r-θ-z or xyz-θ directions, using module 27, for positioning the wafer 26 and rotating it within a slot of the isolator 25 defined between the upper section 38 and lower section 39. Alternatively, the isolator 25 structure can also be moved in r with the chuck moving in θ and z. Once in position the distance between each side of the wafer 26 and the upper section 38 or lower section 39 is 0.30 to 0.80 mm. The slot open area without a wafer 26 is 124.20 to 216.20 mm2. The slot open area with a wafer 26 present is 55.20 to 147.20 mm2. The exhaust slot width is 93.0 mm. [0062] A gas diffuser 24 extends into the processing chamber 22 providing a flow of inert or oxygen containing gas to the processing chamber 22.
The gas diffuser 24 is typically of the shower head type design and is connected via a diffuser 24 gas line 148 to the purge gas source 96.
[0063] The exhaust plenum 41 together with the exhaust system 56 are an additional part of the tuned flow system which prevent reactive gas migration out of the processing area. Exhaust system 56 creates a negative pressure in the exhaust plenum 41 that draws active species gases together with the inert gas, processed byproducts, and condensation away from the processing area and prevents migration of these gases into the device area of the wafer 26.
[0064] A heater element 122 is connected by a heater line to a heater power supply 126. The heater element 122 heats the isolator 25 and to a lesser extent, the wafer 26. Heating the isolator 25 is desirable to prevent condensation of gases that can be corrosive to the isolator 25 and potentially introduce contamination into the processing area.
[0065] The nozzles of the edge area processing system 20, including the first nozzle 45 and second nozzle 49 are made of sapphire. Sapphire is advantageously non-reactive to the chemistries used in substrate processing.
This is desirable since the processing of semiconductor substrates requires trace material contamination analysis at the parts per million level with acceptable addition to the substrate being less than approximately 1010 atoms/cm2. Further, particle additions to the substrate should be zero for sizes greater than approximately 0.1 micron.
[0066] It is also, in many situations, desirable to achieve a laminar gas flow from the nozzles. This requires setting the aspect ratio of the nozzle at greater than or equal to 10x length to diameter. With some reactive gases, aspect ratios of greater than 40:1 or preferably 80:1 are desirable. Nozzle inside diameters are around 0.254 to 0.279 mm which requires a uniform smooth nozzle bore length of approximately 2.50 mm.
[0067] The isolator 25 nozzles, including the first nozzle 45 and second nozzle 49, while described as angled relative to the wafer plane at -80 degrees and -45 degrees, respectively, can advantageously be angled in a different direction relative to the wafer plane in order to facilitate processing including etching or deposition of a thin film.
[0068] In operation, a wafer 26 is centered on the wafer chuck 28 and then the wafer chuck 28 positions the wafer 26 in the slot of the isolator 25 between the upper section 38 and the lower section 39 for processing. The movement system 27 rotates wafer chuck 28, and thus the wafer 26. [0069] Inert gas or CDA is allowed to flow into the upper purge plenum
88 and lower purge plenum 114 from the purge gas source 96. The inert gas or CDA flows into the upper purge plenum 88 and lower purge plenum 114 at a rate of 100 seem to 8,000 seem. Inert gas or CDA is also allowed to flow into the processing chamber 22 through the gas diffuser 24. This gas flows into the processing chamber 22 at a rate of 500 seem to 10,000 seem.
[0070] The exhaust system 56 is activated to draw gases and process byproducts including condensation through the exhaust plenum 41. Next, reactive species 130 emit from first nozzle 45 and second nozzle 49. The igniter power supply 126 energizes the clean igniter system 78 and the first gas line 93 and second gas line 98 are opened to allow a flow of hydrogen and nitrogen trifluoride gases into the nozzle assembly 84 and through the four nozzles 84. The gas mixture is frequently different during the ignition stage. The igniter nozzle uses H2 and O2 only at higher total flow rates than the processing nozzles 45, 49. Typically, the initiator nozzle uses approximately 800 seem H2 and 200 seem. The process nozzles typically ignite with a Lo NF3 fraction. Typically about 20 seem max. reactive species (or gases in the case of a combustion flame) flow through the nozzles at a rate of between 200 and 800 seem and preferably between 375 seem to 475 seem. The reactive species 130 impinge upon the edge area 33 of the wafer 26 as the wafer 26 rotates. The reactive species 130 react with a thin film or contaminant in the edge area 33 of the wafer 26 resulting in a reactant byproduct 66. Alternate nozzle configurations are envisioned. For example, referring briefly to Figures 9A - 9C, the position of the first processing nozzle 45 and second processing nozzle 42 includes the reactive species 130 to "wrap around" the top bevel, crown, bottom bevel of the wafer 26. [0071] Heater 122 is energized to heat the wafer top surface 30. This optional step is intended to prevent vapor produced as a byproduct of the chemical reaction, for example water vapor, from condensing on the wafer top
surface 30. Condensation can be prevented by heating the wafer top surface 30 to a temperature at or above the boiling point for the reactant byproducts, for example heating the wafer top surface 30 above 100° C to prevent the condensation of water. Alternatively, wafer 26 surface heating can be supplied via a heated substrate holder 82 or via infrared energy directed at the wafer perimeter, or via other heat sources such as a flame.
[0072] The reactive species 130 are prevented from passing out of the isolator 25 by the flow of inert gas working in concert with a pressure differential drawing gases into the exhaust plenum 41 and into the exhaust system 56. This inert gas forms a pressurized barrier in the upper purge plenum 88 and lower purge plenum 114 surrounding the in-process edge area of the wafer. The inside baffle member 61 in cooperation with the outside baffle member 63 biases the flow of insert gas towards the in-process area of the wafer 26. Reactant byproducts formed as a result of the reactive species 130 reacting with a thin film on the wafer 26 surface are drawn away from the in-process area of the wafer 26 into the exhaust plenum 41. Thus, advantageously, reactive species 130 and reactive byproducts 142 are confined to the edge area of the wafer 26 and prevented from migration into other areas of the wafer 26 that may damage wafer component devices. In addition, the pressure differential induced by the exhaust plenum 41 further biases gas flow away from the central portion of the wafer 26.
[0073] As the wafer 26 rotates either the wafer chuck 28 translates with respect to the nozzle assembly 84 and the combustion flame across the wafer top surface 30. As a result a desired section of the wafer top surface 30 is processed. Processing includes the removal of a thin film, for example, silicon dioxide or tantalum as described above in relation to the substrate processing method.
[0074] After the wafer is processed, the first gas controller 102 and second gas controller 106 are closed. Simultaneously, the fourth gas controller 49 is opened to allow a flow of argon gas or CDA into the edge-type nozzle assembly 84 and through the first and second nozzles 45, 49 to "blow out" the combustion flame. The controller 140 additionally allows blow off of the nozzles
if EMO or a power failure occurs. Additionally, the controller 52 can extinguish the flames upon low gas delivery pressure, if the enclosure is opened, or if there is a loss of control air. Also coupled to the controllers are a plurality of H2 sensors which will shut off the system or signal an alarm should the H2 level in the chamber 22 be above a predetermined level. The wafer 26 may be removed after the chamber 22 is evacuated of process gases and byproducts.
[0075] Processing of the edge area 33 of the entire wafer may be accomplished with a single rotation of the wafer 26. Alternatively, more than one rotation may occur and more than one process may be performed including deposition and etching. After the flow of reactive species is stopped a flow of the inert gas continues until the processing chamber 22 is sufficiently evacuated of other gases and condensations. Then, the heater element 122 is turned off and the flow of inert or CDA gas from the purge gas source 96 is stopped and the wafer 26 is removed and replaced with another wafer for processing. [0076] The described system 20 and associated method for using the system is suitable for etching of target thin films. This includes, but is not necessarily limited to, tantalum and tantalum nitride; inter-layer dielectrics; backside polymers; and photoresist edge bead.
[0077] Figure 2 represents a top view of the system shown in Figure 1A. Shown is the isolator 25 with associated nozzle assembly 84, Flame sense system 212, and heater 122. Also shown is the movement system 27 with labyrinth seal 70 and measuring micrometer 15. The wafer 26 is moved from the installation position 134 to the processing position 136 by translation of the chuck 28. [0078] Figure 3 shows exchange/centering 134 and processing 136 positions of the R-Z-θ stage. Relationship of the labyrinth seal 70 to the process chamber 22 and chuck spindle 60 are also shown. Vacuum for labyrinth seal 70 operation is supplied by a vacuum pump 31 or other appropriate vacuum generator. Computer control of the vacuum level can be integrated using a throttle valve, electronic mass flow, or pressure controller in conjunction with a venturi type vacuum generator. Vacuum for the wafer chuck clamping force is also supplied by a vacuum pump 31. Pressure differential was found to be the
most critical parameter determining function of the seal. Gap distance between 120 μm and 500 μm between the sealing plate 74 and the bottom surface 76 of the process chamber 22 was also found to be important.
[0079] The translational 'R-axis' gap and the "Z-θ axis1 gap are shown in Figure 3. When operated using proper conditions, the helium leak rate of the seal is <1.0 x 10'6 atm-cc/s. This leak rate is equivalent to that of an o-ring sealed interface. It must be noted that o-ring interfaces have been found to be unacceptable inasmuch as they generate undesirable particulate. Gap values in the range of 127 μm to 508 μm were tested and found functional provided the proper pressure differential was maintained. Mass flow magnitude increases dramatically with increasing gap placing a practical upper limit of 254 μm. Machining tolerances set the practical lower gap limit at 127 μm.
[0080] A minimum pressure differential between the seal exhaust ports, and the process chamber 22 was found to be -2 water column inches. Larger differential pressure values can be used and a practical upper limit is not known. Pressure differential between the process chamber and atmosphere should be at least -0.4 water column inches. This results in a seal exhaust to atmosphere pressure differential of at least -2.4 water column inches.
[0081 ] Figures 4A - 4B show side and top views of the labyrinth seal 70 assembly in relationship to the chamber 22 and movement system 27. Vacuum channel sealing the traverse (R-axis) motion is shown along with the channel 79 sealing vertical (Z-axis) and rotary (θ-axis) motion components. Each vacuum channel is connected via tubing to an independently controlled vacuum generator or pump. Note that the labyrinth seal plate 74 is machined from 304 or 316 series stainless steel. Corrosion resistance is enhanced by a post machining metal finishing process consisting of electro-polishing and passivation.
[0082] Referring again to Figures 1-9B, an embodiment of a substrate processing method 10 of the invention employs a combustion flame 12 formed of an ignited combustion of gaseous reactants 14 including hydrogen (H2) and nitrogen trifluoride (NF3, as a non-oxygen "oxidizer") in an oxygen enhanced environment 13. Although CDA is illustrated, other oxygen containing gases are
suitable. A mixture of gaseous reactants passes through a torch nozzle 45 before igniting into combustion flame 12. Combustion flame 12 impinges upon a substrate surface 18.
[0083] Gaseous reactants react in combustion flame to form gaseous hydrogen fluoride (HF) (a reactive species) and gaseous nitrogen (N2) effluents.
The following chemical equation describes the production of gaseous hydrogen fluoride and gaseous nitrogen from gaseous reactants based on a stoichiometric mixture (a 3 : 2 molar ratio):
3H2 (gas) + 2NF3 (gas) → 6HF (gas) + N2 (gas) [0084] Advantageously, this reaction is performed substantially at atmospheric pressure. This allows for use of viscous (rather than molecular) flow properties to precisely treat portions of the substrate surface 18 and minimize exposure of other substrate areas to the reactive process. Although a 3 : 2 molar ratio is described higher or lower ratios may be used depending on the desired result.
[0085] Further, this reaction is not induced by an ion producing field consistent with a plasma. It is believed that a plasma is a collection of charged particles where the long-range electromagnetic fields set up collectively by the charged particles have an important effect on the particles' behavior. It is also believed that the combustion flame 12 has substantially no ionic species present. As a result, there is no risk of ionic damage to the substrate.
[0086] Substantial heat is generated from the exothermic chemical reaction of H2 and NF3. This effect allows a small volume of highly reactive species in the form of HF to be generated due to the amount of energy represented by the resultant temperature. Elevated temperature in turn substantially increases reaction rates which results in higher etch rates. The result is higher process throughput.
[0087] A silicon dioxide thin film can be etched by the gaseous hydrogen fluoride according to thθ following overall reaction: 4HF (gas) + SiO2 (solid) → SiF4 (gas) + 2H2O (gas)
Gaseous silicon tetrafluoride and water vapor leave the surface of the silicon dioxide thin film. Advantageously, this reaction provides for a change of silicon dioxide thin film from a solid to a gas byproduct that can be easily evacuated.
[0088] Gaseous hydrogen fluoride will also etch a substrate surface of silicon. Silicon etching follows the following overall reaction:
4HF (gas) + Si (solid) → SiF4 (gas) + 2H2 (gas)
In this reaction, gaseous silicon tetrafluoride and gaseous hydrogen leave the silicon substrate surface. This reaction provides for a change of silicon on the substrate surface from a solid to a gas byproduct that can be evacuated. [0089] Similarly, etching of a tantalum thin film follows the following overall reaction:
10HF (gas) + 2Ta (solid) → 2TaF5 (gas) + 5H2 (gas)
In this reaction, gaseous tantalum pentafluoride and gaseous hydrogen leave the tantalum substrate surface. This reaction provides for a change of the tantalum on the substrate surface from a solid to a gas byproduct that can be evacuated. For this reaction, preheating of the wafer using an O2 + H2 flame is desirable to prevent the condensation of reaction products on the wafer.
[0090] Organic and polymer films can also be removed using the above described chemistry however selectivity issues to Si and SiO2 may in some instances make this less desirable. The above chemistry for example can be used to etch SiO2 over Si where etching of oxide is desirable but Si is not. Passivation of exposed Si to the etch chemistry can be promoted by first exposing an etch field to a hydrogen rich flame with oxygen. The etch field is then exposed to the combustion flame of H2 and NF3 where the oxide is etched. [0091] Other desirable non-oxygen oxidizers for reaction with hydrogen in a combustion flame for substrate etching include fluoride (F2), chlorine (Cl2), and chlorine trifluoride (CIF3). Hydrogen and fluoride react in a combustion flame as follows:
H2 (gas) + F2 (gas) → 2HF (gas) Similarly to the combustion flame of H2 and NF3 the resulting HF reactive species is a desirable etchant as described above.
[0092] Hydrogen and chlorine react in a combustion flame as follows:
H2 (gas) + Cl2 (gas) → 2HCI (gas)
[0093] Hydrogen and chlorine trifluoride react in a combustion flame as follows:
4H2 (gas) + 2CIF3 (gas) → 6HF (gas) + 2HCI (gas) [0094] In both the proceeding combustion flame reactions, the resultant hydrogen chloride reactive species can be advantageously used for etching when materials not readily etched by fluorine are present in the film stack. This includes a film stack comprising aluminum. Hydrogen chloride as a reactive species etches aluminum as follows: 2Al (solid) + 6HCI (gas) → 2AICI3 (gas) + 3H2 (gas)
[0095] Hydrogen chloride etches silicon as follows:
Si (solid) + 4HCI (gas) → SiCI4 (gas) + 2H2 (gas) [0096] Hydrogen chloride etches silicon oxide as follows:
SiO2 (solid) + 4HCI (gas) → SiCI4 (gas) + 2 H2O (vapor) [0097] Chlorine trifluoride represents a hybrid etch chemistry where both fluorine and chlorine based etchant reactive species are produced. Often this compound is combined with another fluorine containing gas (such as NF3 or CF4) or with Cl2 is used in varying ratios when multiple materials are present in the film stack, requiring both fluorine and chlorine based chemistry for removal. [0098] The chemical equations shown above are a simplified view of the real reactions taking place within the combustion flame and on the substrate surface. The reaction chemistries occurring are quite complex resulting in intermediate and final reaction products.
[0099] A nozzle assembly 84 is held by a support member 46 over a wafer 26 retained on the substrate holder 82. Four nozzles 45 are disposed in the nozzle assembly 84. The nozzle assembly 84 is maintained at a distance of ~1.5 mm from the wafer top surface 30 during processing.
[0100] A hydrogen gas source and nitrogen trifluoride gas source 55 are connected by a first gas line 48 and second gas line 53 through a first gas controller 102 and second gas controller 106 to a common mixing gas line 110 connected to the nozzle assembly 84 for combining and mixing H2 and NF3. An exhaust scoop 116 is adjacent to the substrate holder 82 for exhausting gases
and reactant byproducts. The exhaust scoop is connected by a plenum 67 to a blower device 124. The exhaust scoop 116 draws gases and reactant byproducts out of the processing chamber 22 through the blower device 124.
[0101] In one embodiment, an argon gas source 96 is connected by a third gas line 132 through a third gas controller 49 to the processing chamber 22. In another embodiment, a CDA (clean dry air) or oxygen containing gas 72' is connected by the third gas line 132 through a third gas controller 49 to the process wafer. The argon or CDA gas source 131 is also connected by a fourth gas line 134 through a fourth gas controller 49 to the common mixing gas line 110. An igniter assembly 78 positioned close to the nozzle assembly 84 is connected by wires 83 to an igniter power supply 126.
[0102] In operation, the robot unloads wafer from front opening unified pod (FOUP) and places the wafer on a pre-aligner 19. Once the pre-alignment routine is completed, the robot retrieves wafer from pre-aligner and places it into the chamber 22 on lift pins 16. Wafer chuck 28 moves up in z and lifts wafer 26 from lift pins 16 and rotates and positions the wafer edge to allow measurement using laser micrometer 15. Wafer center offset direction and magnitude is computed as described above. Wafer 26 is then rotated to align offset direction with the V axis. The chuck 28 then descends in 'z' axis to return wafer to lift pins 16. The wafer movement system 27 moves chuck assembly increments in V by the offset magnitude to center the chuck 28 with respect to the wafer 26. The movement system 27 then elevates in 'z' axis to lift wafer from lift pins 16. The chuck rotates and the edge position is re-measured to validate centering. The wafer is then ready for concentric process application as described above. [0103] A heater 122 is positioned proximately to the area of the wafer 26 to be processed. The heater 122 (shown in Fig. 5) is an infrared (IR) or laser diode heater and is connected by a heater wire 87 to an IR heater power source 125. In a preferred embodiment the heater 122 is a fiber optic coupled laser diode array. A fiber optic cable assembly can be used in place of the heater 122. The fiber optic cable can deliver high power illumination originating in a laser diode assembly located remotely. Such illumination can perform heating of the wafer 26 such as discussed in United States Patent Application Publication
No. 2005/0189329, titled "Laser Thermal Processing with Laser Diode Radiation" and incorporated herein by reference.
[0104] Figures 6A through 6F represent the nozzle 45, 49 positioning with respect the bevel edge of the wafer 26. By alternating the angles of the nozzles, proper coverage of the edge for particular region of the wafer edge can be accomplished. In this regard, depending upon the defects or films to be removed, various nozzle configurations are envisioned.
[0105] Referring to Figures 7 through 8G, a film such as deposited through chemical vapor deposition (CVD) or physical vapor deposition (PVD) extends as a thin film 129 over a wafer 26 such as a wafer. The thin film 129 extends from the top surface of the wafer 26 across a top bevel, crown and bottom bevel of the wafer 26. The above-described system 20 can be advantageously used to process the thin film 129 on the wafer 26 resulting in a wafer 26 profile as shown in Figure 8B. [0106] Referring to Figures 7 and 8C, a full coverage thin film 128 extends from the top surface across the top bevel, crown and bottom bevel and onto the bottom surface of the wafer 26. Thin films having this profile can include for example thermal SiO2, and Si3N4. Embodiments of the above- described system 20 can be used to process the full coverage thin film 128 on the wafer 26 resulting in a wafer 26 profile as shown in Figure 8D.
[0107] Referring to Figures 7 and 8E, a backside polymer thin film 130 extends from at or near the top bevel to across at least a portion of the crown to the bottom bevel and onto the bottom surface of the wafer 26. Embodiments of the above-described system 20 can be used to process the backside polymer thin film 130 on the wafer 26 resulting in a wafer 26 profile as shown in Figure 8F.
[0108] Now referring to Figures 9A - 9C, an alternative embodiment edge area processing system 20' (the "first alternative system") employ alternate first and second nozzles 45, 49. In the alternate nozzle configurations, the second nozzle "bends" the reaction gasses from the first gas around the bevel edge.
[0109] Figure 9A represents a 65°/140° nozzle configuration. This configuration allows the gases of the reaction to be induced around the wafer 26 bevel. Each of the four nozzles 45,49 is constructed of sapphire with a bore diameter of 0.254 mm and an aspect ratio of between 10:1 and 80:1 at the outlet end. Each of the four nozzles 45,49 is press fitted into the nozzle assembly 84. The nozzles are pressed into tightly toleranced bores cut into the stainless steel nozzle assembly 84. Nozzle diameter is 1.577 mm, +0.003 mm, -0.000 mm. Bore diameter in the nozzle assembly 84 for receiving the sapphire nozzle is 1.567 mm, +0.003 mm, -0.000 mm. This gives an interference fit in the range of 0.007 mm to 0.013 mm. Tolerance of this fit is important as interference in this range allows a hermetic seal while only inducing elastic deformation in the stainless steel nozzle assembly 84. This allows a good seal without causing particulate generation during processing. In this configuration, a spoiler jet 89 is used to ensure the flame does not interact with the structure system 56. Additionally, the lower moat 51 ensures reactants do not pass the isolator so as to affect the back surface.
[0110] Figure 9A shows that under some processing conditions, flame outputs may impinge on portions of the exhaust or isolator structures. Although moat 51 gasses generally can be used to prevent reaction gasses from flowing upstream, under certain processing conditions, the gasses may be forced toward the chuck 28. As seen in figure 9B, the use of a spoiler jet 89 can reduce or eliminate the reaction gas impingement. Additionally, the gas flow through the backside moat will eliminate the chance reaction products will migrate into the wafer back surface. [0111] Although NF3 is used in the above embodiments as the non- oxygen oxidizer other non-oxygen oxidizers as previously discussed are suitable for use in the preferred embodiments. Further, additional embodiments for isolating and processing a wafer according to the above-described method are disclosed in United States Patent Application Serial No. 11/230,263, filed on September 19, 2005 and titled "Method and Apparatus for Isolative Substrate Edge Area Processing." The disclosure of this application is incorporated herein by reference.
[0112] Removal of dielectric thin films such as silicon oxide from substrates using H2 and NF3 gas mixtures is performed with a hydrogen fraction in the range of 0.5 to 0.7. For example, if the total flow is 800 seem, H2 flow will be in the range of 400 seem to 560 seem with NF3 flow in the range of 400 seem to 240 seem. IR preheat is used in cases where ambient oxygen is present to discourage combustion products from condensing on the substrate.
[0113] Removal of tantalum from the near-edge region of the substrate is carried out using an etch nozzle configuration similar to that detailed for dielectric removal. Total gas flow per nozzle is approximately 400 seem with an H2 fraction in the range of 0.6 to 0.7. The primary tantalum etch product is TaF5 which has a boiling point of ~ 230° C. Substrate surface temperatures in the etch region must be kept about this temperature to prevent condensation of the etch product. This is readily achieved using an additional combustion flame nozzle (not shown) positioned to impinge a flame on the substrate immediately prior to the impingement of the etch flame. This pre-heat nozzle discharges a flame of H2 and O2 preferably in the range of 0.5 to 0.8, H2 fraction at a total flow of ~ 400 seem for a single nozzle.
[0114] A rate of etching of the edge portion of the wafer 26 can be calculated based on consideration of exposure width, wafer circumference and rotational speed. For example, consider a 200 mm circumferential wafer with 2,000 Λ of SiO2 that is rotated at 2 rpm and the SiO2 thin film on the edge area is completely removed in one rotation. Assuming a conservative exposure width of 5 mm of the combustion flame effluent on the wafer edge (using a .256 mm nozzle bore) an exposure fraction can be calculated as 5 mm / (628 mm x 2 rev/min) = 0.004 min/rev. The etch rate can then be approximated by dividing the 2,000 A/rev removal by the exposure fraction. That is 2,000 A/rev / 0.004 min/rev = 500,000 A/min SiO2 removal. If a smaller 2 mm exposure width is assumed then the removal rate becomes 1 ,256,000 A/min. Based on these considerations and assumptions a poly-silicon thin film would be etched at an approximate rate of 3 x 106 A/min; a photoresist thin film would be etched at an approximate rate of 4.6 x 106 A/min; and a tantalum thin film would be etched at
an approximate rate of 1 x 106 A/min. This is a significantly high rate of etching resulting in a high rate of processing throughput of wafers.
[0115] One configuration is optimized for EBR from spin-on films on the top surface and edge region of wafers. This configuration uses reactive gas generated by a combustion flame of H2 and O2 to remove the resist. The present disclosure defines an optimized process using a minor fraction of the non-oxygen oxidizer NF3 in the gas mixture for photoresist EBR. This addition increases the combustion flame temperature and chemical reactivity. These modifications to the combustion flame mixture substantially enhance sharpness of the etch interface and increase slope of the transition to full film thickness, both highly desirable enhancements.
[0116] For spin on films with low or minimal etch rate in the hfe: O2 dominant chemistry such as organosilicates, inorganic polymers, and spin on glass materials, increasing amounts of fluorine containing gases such as NF3 can be added to further increase etch rate. In this embodiment reactive gas application to the near edge area of the wafer is achieved using the invention disclosed in "Method and Apparatus for Isolative Substrate Edge Area Processing," previously incorporated by reference.
[0117] Undesirable dielectric films can be removed from the front surface of in process semiconductor wafers. These films can also flake and result in defects which cause yield loss. Concentric process application is critical in these processes where reactive gas application must be targeted to the edge region while not affecting the device area of the wafer.
[0118] Tantalum removal is similar in configuration to the front side dielectric removal module. Differences exist in the use of a preheat nozzle to reach a higher surface temperature (>230 0C target) to prevent TaF5 condensation in the etch region. Surface temperature pre-heat target for typical film removal is -120 0C and is primarily to prevent condensation of water vapor byproduct from the combustion reaction. [0119] The in-situ wafer centering sequence typically takes 8 to 15 seconds. This overhead can be overlapped with gas flow stabilization time or
ignition sequence. Wafer 'z' plane displacement is measured during rotation and can be used to map out 'z' displacement due to wafer bow or warp.
[0120] Process operation and details for Ta and dielectrics is discussed at length in the "Substrate Processing Method and Apparatus Using a Combustion Flame" patent application, previously incorporated by reference.
This process operation can be applied to backside polymer and edge bead removal.
[0121] Backside polymer removal according to the principles of the present disclosure is accomplished by using four nozzles located in the isolator structure. As shown in Figure 9C, two nozzles are positioned at 45 degrees and two are at 105° relative to the wafer surface. The 45° nozzles are aimed at the back surface while the 105° nozzles are aimed at the bevel. In some cases, 2x 45 degree nozzles are directed at the back surface along with 2x65 degree nozzles directed at the bottom bevel. Using multiple nozzles in this fashion both increases throughput and widens the process window. Nozzle angle relative to the wafer surface is important as impingement angle affects flow attachment to the surface and consequently degree of delivery of reactive species to the surface. As previously mentioned, an optional spoiler jet 89 can ensure the 105° nozzle does not cause degradation of the exhaust structure. It should also be noted that in this configuration, gas from the moat 51 can be used to "spoil" the flow of the flame to ensure it does not interfere with the exhaust.
[0122] Typically, the thickest polymer is located on the bevel region of the wafer. Consequently the NF3 fraction in the 105° jets is higher than the 45° jets aimed at the thinner polymer on the back surface. Currently the method process uses 210 seem H2, 80 seem O2, and 100 seem NF3 in each 105° (high fraction) nozzle. Flows of 240 seem H2, 120 seem O2, and 20 seem NF3 are used in each 45° (low fraction) nozzle. The nozzles are constructed from sapphire with an ID of approximately 254 μm and an aspect ratio of greater than or equal to 10:1. Rotational speeds using during process are typically in the 1 to 6 RPM range. Surface heating for condensation prevention (>100 0C target) is done using a fiber coupled laser diode array.
[0123] Chemistry used for EBR depends on the film being removed. For photoresist removal 240 seem H2, 120 seem O2, and 20 seem NF3 performs well. Rotation rate to remove 15,000 Angstroms of resist is typically 1 to 3 RPM. Two nozzles are used for the photoresist EBR process, one at 45° and one at 65°. In cases where minimum edge exclusion is desired (-0.5 mm) only the 65° jet is used. Films with low removal rate, typically silicon containing films, require higher NF3 fraction. The high fraction process used for backside polymer is an example (25% NF3) although higher fractions can be used, frequently without oxygen addition, to -50%. [0124] Nozzle aiming for backside polymer removal is shown in Figure
9C. Backside polymer removal approach differs from front side films in that a sharp transition to full film thickness at the edge exclusion boundary is not required. Multiple nozzles are used in a partially overlapping fashion to increase the process window and removal rate. Nozzles are angled at 45° and 65° relative to the wafer surface. These angles were determined by a combination of CFD modeling and experimental trials. Positioning of the 65° nozzles can be critical for flow attachment and consequently efficient removal of material from the bevel region. This angle can be optimized based on edge profile to maximize flow attachment. [0125] Figure 10 shows a schematic view of the centering process. The measurement window of the laser micrometer 15 is represented by a rectangle 200. The edge location of a properly centered wafer or circle of radius 150 mm is shown as 202. The target center position of the wafer is (Xc, Y0)- A misaligned wafer is shown in hidden line representation at two different angular positions. At a first position identified as 204, the pre-centered wafer has been rotated about the Z axis Θ1 degrees. The center of the wafer is identified at (X1, Yi). A second wafer position, identified as 206, corresponds to the wafer being rotated an angle of Θ2 degrees. The center of the wafer is now at (X2, Y2).
[0126] Figures 3 and 10 depict a "Z" axis, an "R" axis and θ angles from a reference coordinate system having an origin at (X0, Yc). The edge position measurement and offset calculation includes the following: 1. R-Z-θ stage placed with θ axis in known reference location; 2. Rotate θ and measure radial position
of wafer edge using laser micrometer 15; 3. Measured radii are fit to a circle; and 4. The difference in position between the known θ axis and the center of the resultant fit circle is calculated and gives magnitude and angle of wafer offset.
[0127] The centering routine measures and records θ, T1, (1...n) and the laser micrometer 15 reading, Li, (1 ...n) which represents the edge position.
Typically n = 50 in this application. The true radius of the wafer is assumed
(100mm or 150mm). Theta is referenced using the wafer notch position. The following values are computed for each data point:
[0128] The objective is to minimize the sum of squares of the deviations given by
Di = (Xi - Xc)2 + (Yi - Yc)2 - Rc2 2 where X0 is the x-axis center point, Y0 is the y-axis center point and R0 is the assumed radius. The Gauss-Newton method is used to solve the set of nonlinear equations. An example of this method is given in "Least-Squares Fitting of Circles and Ellipses" by Gander, et. al. published in BjT, vol. 34, 1994, pp. 558 - 578. [0129] As best in Figure 11, the system 20 can include an optical system
264 inspecting the wafer's edge. In this regard, the optical system has at least one zoom lens 262 which is rotatably positionable about the wafer's edge. The zoom lens is configured to be able to take reflected light from the wafer's edge and collect it into a CCD camera. It is envisioned that the zoom lens will have a 2 μm resolution and will be able to detect defects on the wafer's edge as well as the effectiveness of the cleaning process.
[0130] As shown in Figure 12A, the system 20 described above remove
TA on the bottom level of the edge. Further, as shown in Figure 12B, the system is capable of removing polymer from the top of the wafer, revealing a dielectric surface. Additionally, it is envisioned the system can use thin film spectroscopic reflectivity. Further, the optical system is disclosed in United States Patent
Application Serial No. 11/417,297, filed on May 2, 2006 and titled "Substrate
Illumination and Inspection System," previously incorporated by reference above.
[0131] As can be seen in Figures 13 through 16B, the wafer processing system 20 includes the wafer movement system 27 having a spindle 60 configured to move the wafer in three or four axes of movement. In this regard, the wafer movement system 27 is configured to move the wafer within an isolated chamber 22 in xyz and θ directions (motion occurs in r,z and theta directions). The isolated chamber 22 has a bottom wall 162 defining an aperture
164 and having a first exterior bearing surface 166. The labyrinth seal 70 has a sealing plate 168 having a second bearing surface 170 is slidably positioned against the first bearing surface 166. The sealing plate 168 further defines a bore 172 which is annularly disposed about the spindle 60. A first vacuum chamber 174 is defined between the first and second bearing surfaces 160, 170. Additionally, a vacuum source is coupled to the first vacuum chamber 174. [0132] Figure 13 represents and exploded view of a portion of the wafer processing assembly 20. Shown is a portion of the chamber 22, the labyrinth seal 70 and associated isolator assembly 25 components. As can be seen, the labyrinth assembly 70 is formed of a sealing plate 168 and support plate 169. The support plate 169 defines a vacuum gallery 173 which is fluidly coupled to the vacuum chamber 174 defined between the first and second bearing surfaces 160 and 170 of the chamber bottom wall 162 and sealing plate 168 bearing surface 170. Also shown is the relationship of the spindle 60 and the apertures 172 and 164 formed in the sealing plate 168 and the bottom wall 162. Also shown is the relationship of a loading position 181 and the second processing position 186.
[0133] As best seen in Figures 14A-B and 15, either the first or second bearing surfaces 166, 170 can define a groove 178. This groove 178 forms a portion of the first vacuum chamber 174 defined between the first and second bearing surfaces 166 and 170. This chamber 174 is movable with respect to the bottom wall 162 upon movement of the spindle 60 by the actuation mechanism.
[0134] Adjacent to the bore 172, the sealing plate 168 can define second groove 180. A second vacuum chamber 182 can be defined between the
second groove 180 and the spindle 60. This second vacuum chamber 182 can be independently coupled to the vacuum source 176. As best seen in Figure 15, the wafer movement system 27 comprises a wafer supporting chuck 28 that functions to fixably hold the wafer 26 through the movement system 27. This wafer movement system 27 is configured to move the wafer 26 from the loading position 181 to a second processing position 186. In this regard, the processing position can be an alignment position or can be positioned adjacent to the nozzle assembly 84.
[0135] With reference to Figures 16A and 16B, the operation of the wafer movement system 27 is disclosed. The spindle 60 is configured to move the wafer 26 in a plurality of directions from the loading position 181 to the processing location 186. The isolated chamber 22 is disposed about at least a portion of the wafer movement system 27 in order to protect the mechanism of the wafer movement system 27 from the reactive gases generated during the processing of the wafers. The chamber 22 has bottom wall 162 defining an elongated bore 164 which allows the movement of the spindle 60 with respect to the chamber 22. The bottom wall 162 first bearing surface 166 can either be located on an exterior or an interior surface of the chamber 22.
[0136] Figures 17A-17B represent an exploded sectional view of isolator 25. The isolator 25 has a nozzle plate 216 which provides the mechanism to couple the nozzle assembly 84 and moat 51 gas supply to the moat 51. The nozzle plate 216 defines a recess 218 which slidably accepts the nozzle of the nozzle assembly 84. The recess 218 further defines a second recess aperture 220 which accepts an optical interface for the heating element 122. The nozzle plate 216 allows for the configurations of the nozzle assembly 84 without the entire disassembly of the wafer processing apparatus 20. As shown in Figures 17B and 17C, the nozzle plate 216 defines apertures and fixation pins which facilitate the alignment of the various components to the isolator 25. In this regard, the nozzle assembly 84, heater 122 and moat 51 gas supply lines are precisely positioned.
[0137] Figures 18A and 18B show a plurality of nozzles 45,49 coupled to a diffusion portion 221. The structure 221 forms a plenum when installed against
the nozzle plate 216. The support member 221 fits within the recess 218 of the nozzle plate 216 to position the nozzles 45 in their proper orientation.
[0138] As shown in Figures 19A and 19B, the nozzles are coupled to the gas supply 55 through a plurality of welded stainless steel tubes 222. To maintain flame stability, the gas supply 55 is controlled by controller 52. As previously disclosed, the nozzles have a stainless steel lead-in tube 224 having a very high aspect ratio. For example, for H2 and O2 gas mixture, an aspect ratio of greater than or equal to 10:1 is appropriate.
[0139] Disposed immediately before the lead-in portion 224 of the nozzle 45 is a blowback flash suppressor device 226. This device 226 is a chamber 228 having a volume significantly larger than the volume of the lead-in portion 224. Disposed within the volume is a porous stainless steel member 228 which functions as an energy sink to prevent the flame front from traveling up through the nozzle 45,49 and into the gas supply in the event of a system failure. [0140] As shown in Figures 2OA and 2OB, the aspect ratio of the nozzles
45 can vary depending on the fuel and oxidizer being used. In this regard, in situations where a high percentage of NF3 is being used as an oxidizer, the nozzle 45,49 has a stainless steel lead-in portion 224 having an aspect ratio of greater than 40:1 , and preferably 80:1. As with the other nozzles, high purity nozzle tips 230 of sapphire are preferred. The nozzle 45 has a stainless steel body 225 with locator pin 227 which allows for the coupling of the nozzle 45 with nozzle support member 221.
[0141] Disposed within the mass flow controller 52 is a normally open valve {not shown) which functions to dump CDA into the fuel supply source should the power be interrupted. Additionally, should the system 20 desire to shut off the processing nozzles 45,49 the normally opened valve is actuated and allows CDA at a pressure higher than the pressure of the fuel source to flow into the processing nozzles 45, effectively extinguishing the flames without the risk of a system explosion. [0142] Figures 21 A and 21 B represent an alternate method of coupling nozzles to the isolator 25. Shown is an aperture 232 defined into either the isolator 25 or the nozzle plate 216. Disposed within the aperture 232 are a
plurality of nozzle subplates 234 which have individual nozzles 45. These nozzles subplates 234 are movable with respect to each other in fore and aft directions to allow for relative positioning of the subplates within the isolator 25. The individual nozzle subplates 234 can be stacked immediately adjacent to each other to form a nozzle assembly 84.
[0143] Figures 22A and 22B depict individual nozzle subplates 234. Disposed on the inner face surfaces 236 of the nozzle subplates 234 are grooves 238 which function as fluid chambers 240. These fluid chambers 240 are coupled to a vacuum or pressurized gas source (not shown) and function to divert reaction gas products which might leak from the processing chamber 22 during wafer processing. It is envisioned that inert or oxygen containing gas can be supplied to the nozzle plate, which will in turn flow into the isolator through the aperture 232.
[0144] Figure 22B depicts a cross-sectional view of the nozzle plate 234 shown in Figure 22A. As can be seen, structures such as the high aspect ratio lead-in tube 224 and blowback flash suppressor device 226 can be machined therein. These features significantly reduce the cost of the assembly and increases the overall system reliability.
[0145] In operation, fuel is provided to the nozzles 45, through the flash suppressor device 226 from the mass flow controller 52. The vacuum source draws a vacuum in the vacuum chamber 236 preventing corrosive reaction gases from leaking past the nozzle assembly 84.
[0146] Figures 23A and 23B, represent an igniter assembly 78 which is configured to cleanly ignite the nozzles 45 and 49 of the nozzle assembly 84. The igniter assembly 78 has an optically clear or sapphire hot body igniter 242 defining an interior cavity 244. The hot body igniter 242 provides high chemical resistance, which is non-particle forming. A heating element 246 is disposed within the interior cavity 244. This heating element, which can be a Pt:Rh element, functions to quickly bring the hot body igniter to a predetermined temperature which will ignite a fuel oxidizer mixture when the fuel touches the igniter hot body 242.
[0147] As seen in Figure 23B, the ceramic hot body igniter 242 can be physically and optically coupled to a laser diode 252. In this configuration, the laser diode 252 is configured to produce photons which past through the interior cavity 244. These photons strike the heating element 246, thus producing a reliable ignition system. Alternatively, the hot body 242 can be coated on an interior or exterior surface with materials which increase photon absorbance at wavelengths of interest.
[0148] Disposed at a distal end of the elongated cavity 244 is the heating element 246. This heating element 246 can be electrically coupled to a power source which functions to provide electric current to heat the heating element. Alternatively, this element can be inductively heated.
[0149] As shown in Figures 24 and 25B, operably disposed between an igniter nozzle assembly 248 and the nozzle assembly 84 is an air knife 250. The Air knife 250 is fluidly coupled to a source of CDA or inert gas. The igniter nozzle assembly 248 is operably coupled to a fuel source 52 and can have a sapphire nozzle tip 252 as described above.
[0150] In operation, the system for initiating a clean flame, needed in the processing of the wafer 26, includes disposing the heating element 246 within an igniter assembly 78 and energizing the heating element 246 so as to bring the assembly 78 to a predetermined ignition temperature. Gas is then passed through an ignition nozzle assembly 248 at a first gas rate pass the igniter assembly 78 to ignite an initiation flame. The initiation flame is then passed by a plurality of nozzles of a nozzle assembly 84 to ignite a plurality of flames from the nozzles. After the plurality of nozzles of the nozzle assembly 84 have been lit, an air dam is passed in front of the initiation flame by actuating the air knife 250. A non-flammable gas is then passed through the initiator nozzle 248 at a second predetermined rate. In this regard, a second predetermined rate can be greater than the rate of fuel passing through the nozzle. This prevents blow back into the ignition system to the equipment. The use of the air knife 250 allows for the extinguishment of the initiation flame without disruption of the processing flames.
[0151] With reference to Figure 26, shown is an alternate clean ignition system. Similar to the system shown in Figures 23A and 23B, the ignition system includes a nozzle 248 for injecting pressurized fuel in proximity to the nozzle assembly 84. This nozzle 248 produces gas jet, which is temporally changed into a plasma and ignited by a very high intensity laser 256. It is envisioned that the ignition system can be disconnected by either shutting off the source of the plasma gas, or disengaging the laser 256.
[0152] As shown in Figure 27, optical analysis electronics (not shown) are connected to a fiber optic coupler 210 disposed in the upper section 38 of the isolator 25 in position to receive photon emission from reactive processes.
The optical analysis electronics are used to observe and analyze reactive processes to determine presence of reactive species and/or relative concentration of reactive species. In another alternative mode of this feature, optical emission spectroscopy can be used to infer etch end points based on reactive species and/or etched products observed to be present in the region where the chemical reaction in taking place.
[0153] Figure 2.7 represents a top view of a flame sense system for use in the wafer processing system according to Figure 1A. Shown is the nozzle plate 216 which supports the nozzle assembly 84 having processing nozzles 45 and 49. Directed to the nozzles 45 and 49 is a CCD spectral analyzer 260. The spectrometer is configured to receive emissions from the flames emitted from the nozzles 45 and 49.
[0154] Figure 28 represents an intensity graph for a spectrum of particular interest. In this regard, the graph depicts wavelength between 200 and 400 nm. As can be seen, under the curve of wavelength between 302 and 324 nm varies depending on the number of flames initiated. It is envisioned that the system can determine the quality and quantity of the number of flames being produced by the system by analyzing the spectral output.
[0155] The spectral region of interest used for flame sensing with H2 and O2 dominated gas mixtures is between about 300 and 325 nm. Emissions around 309 nm is from an intermediate O-H species generated in the flame.
[0156] It is envisioned that the mass flow controller 52 of the present system can be coupled to the spectral analyzer 260. In this regard, it is envisioned that should the system determine that one or more nozzles has not be properly emitted, the system will signally fault and can shut the system down. As shown in Figure 29, varying the number of nozzles, varies the output of the system. This can be detected to determine if the system is functioning properly.
[0157] The foregoing discussion discloses and describes exemplary embodiments of the present invention. One skilled in the art will readily recognize from such a discussion, and from the accompanying drawings and claims that various changes, modifications, and variations can be made therein without departing from the spirit and scope of the invention.
Claims
1. A system for processing the wafer comprising: a multi-axis wafer support structure; a processing chamber surrounding a portion of the support structure, said processing chamber having an oxygen containing atmosphere; a plurality of reactive gas nozzles disposed within the chamber; and a reactive gas source coupled to the nozzles, said reactive gas source having an automatic flow shutoff system.
2. The system according to Claim 1 further comprising a clean flame ignition system.
3. The system according to Claim 1 further comprising a flame quality control system which monitors the output of the flame.
4. The system according to Claim 3 wherein the flame quality control system comprises a spectral fingerprint system which inspects the plurality of reactive gas nozzles.
5. The system according to Claim 4 wherein the spectral fingerprint system determines if the energy output of the flame at a predetermined frequency is within a tolerance limits.
6. A substrate edge processing apparatus, comprising: an isolator member comprising a nozzle manifold and an exhaust plenum, wherein the nozzle manifold covers a portion of an edge of the substrate and the exhaust plenum extends away from the substrate; a movable support structure configured to move the substrate in at least three axes of direction; a processing chamber disposed about the substrate and a portion of the movable support structure, said chamber containing an oxygen containing atmosphere at atmospheric pressure; and a seal disposed between the movable support structure and the processing chamber.
7. The system according to Claim 6 wherein the seal comprises a plate defining a groove fluidly coupled to a vacuum source.
8. The system according to Claim 7 wherein the plate further defines an aperture surrounding a portion of the movable support structure, said aperture defining a second groove coupled to the vacuum source.
9. A system for processing the wafer comprising: a processing chamber comprising an oxygen containing atmosphere at atmospheric pressure; a multi-axis wafer support structure; a plurality of reactive gas nozzles configured to direct flame onto only the edge portion of a wafer; a reactive gas source coupled to the nozzles, said reactive gas source having an automatic flow shutoff system; and a clean flame ignition system.
10. The system according to Claim 9 further comprising a flame quality control system which monitors the output of the flame.
11. The system according to Claim 10 wherein the flame quality control system comprises a spectral analysis system.
12. The system according to Claim 11 wherein the spectral analysis system calculates a spectral output value for emissions from the flames.
13. The system according to Claim 12 further comprising a controller configured to determine if the flames are ignited based on the spectral output value.
14. The system according to Claim 13 wherein the controller provides a signal to the automatic flow shutoff system when the spectral output is below a predetermined value.
15. The system according to Claim 9 wherein automatic flow shutoff system provides nonflammable gas to the reactive gas nozzles.
16. A wafer edge processing apparatus, comprising: a movable wafer support structure; a processing chamber disposed about a portion of the wafer support structure, said processing chamber comprising an oxygen containing atmosphere; an isolator member coupled to the processing chamber, said isolator member comprising a nozzle manifold; a clean flame ignition system which ignites reaction gas from the nozzle manifold to produce a reaction flame; and a gas flow control system configured to regulate the flow of reactive gas to the nozzle manifold.
17. The apparatus of Claim 16 wherein a movable support structure is configured to move the wafer in r, z and θ directions.
18. The wafer edge processing system of Claim 16 wherein the oxygen containing atmosphere is CDA.
19. The apparatus of Claim 16 wherein the clean igniter system comprises a ceramic hot body igniter.
20. The apparatus according to Claim 16 further comprising a spectral analysis system for monitoring the spectrum of the reaction flame during the processing of a wafer.
21. The system according to Claim 20 wherein the spectral analysis system calculates the area of a spectral output between a pair of predetermined wavelengths.
22. The system according to Claim 21 wherein the nozzle manifold comprises a plurality of nozzles, each nozzle being feed with a different reactive gas.
23. The system according to Claim 21 wherein the gas flow system provides an oxygen rich atmosphere into the processing chamber.
24. The system according to Claim 23 wherein the gas flow system provides blocking flow gases.
25. The system according to Claim 16 further comprising an exhaust system.
26. The system according to Claim 16 further comprising a wafer inspection system configured to inspect the edge of the wafer.
27. The system according to Claim 26 wherein the wafer inspection system utilizes thin film spectroscopic reflectivity.
28. The system according to Claim 16 further comprising a labyrinth seal coupled to the movable wafer support structure to seal the processing chamber.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US81952106P | 2006-07-07 | 2006-07-07 | |
US60/819,521 | 2006-07-07 |
Publications (2)
Publication Number | Publication Date |
---|---|
WO2008005540A2 true WO2008005540A2 (en) | 2008-01-10 |
WO2008005540A3 WO2008005540A3 (en) | 2008-02-21 |
Family
ID=38894891
Family Applications (5)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2007/015556 WO2008005521A1 (en) | 2006-07-07 | 2007-07-06 | Wafer processing apparatus and method |
PCT/US2007/015589 WO2008005541A2 (en) | 2006-07-07 | 2007-07-06 | Clean ignition system for wafer substrate processing |
PCT/US2007/015588 WO2008005540A2 (en) | 2006-07-07 | 2007-07-06 | Method and apparatus for cleaning a wafer substrate |
PCT/US2007/015586 WO2008005539A2 (en) | 2006-07-07 | 2007-07-06 | Apparatus for cleaning a wafer substrate |
PCT/US2007/015551 WO2008005517A1 (en) | 2006-07-07 | 2007-07-06 | Processing chamber having labyrinth seal |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2007/015556 WO2008005521A1 (en) | 2006-07-07 | 2007-07-06 | Wafer processing apparatus and method |
PCT/US2007/015589 WO2008005541A2 (en) | 2006-07-07 | 2007-07-06 | Clean ignition system for wafer substrate processing |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2007/015586 WO2008005539A2 (en) | 2006-07-07 | 2007-07-06 | Apparatus for cleaning a wafer substrate |
PCT/US2007/015551 WO2008005517A1 (en) | 2006-07-07 | 2007-07-06 | Processing chamber having labyrinth seal |
Country Status (2)
Country | Link |
---|---|
TW (1) | TW200807522A (en) |
WO (5) | WO2008005521A1 (en) |
Families Citing this family (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP2274763B1 (en) * | 2008-04-22 | 2018-10-03 | Oerlikon Surface Solutions AG, Pfäffikon | Method for manufacturing workpieces with ion-etched surface |
JP4672073B2 (en) * | 2008-08-22 | 2011-04-20 | 株式会社日立国際電気 | Substrate processing apparatus, semiconductor device manufacturing method, and substrate processing apparatus operation method |
DE112011102142T8 (en) * | 2010-06-25 | 2013-06-13 | Ulvac, Inc. | A film forming apparatus and method for maintaining a film forming apparatus |
KR101590661B1 (en) * | 2010-09-13 | 2016-02-01 | 도쿄엘렉트론가부시키가이샤 | Liquid processing apparatus, liquid processing method and storage medium |
CN113725131B (en) * | 2021-11-02 | 2022-02-08 | 西安奕斯伟材料科技有限公司 | Wafer pretreatment device and wafer defect detection method |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001127054A (en) * | 1999-10-29 | 2001-05-11 | Applied Materials Inc | Equipment and method of forming thin film |
KR20050116813A (en) * | 2003-03-07 | 2005-12-13 | 알에이피티 인더스트리즈 인코포레이티드 | Apparatus and method for non-contact cleaning of a surface |
JP2006073590A (en) * | 2004-08-31 | 2006-03-16 | Toppan Printing Co Ltd | Surface cleaning method and apparatus |
Family Cites Families (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2954059B2 (en) * | 1997-01-09 | 1999-09-27 | 山形日本電気株式会社 | Edge rinse mechanism |
US6230651B1 (en) * | 1998-12-30 | 2001-05-15 | Lam Research Corporation | Gas injection system for plasma processing |
JP3395696B2 (en) * | 1999-03-15 | 2003-04-14 | 日本電気株式会社 | Wafer processing apparatus and wafer processing method |
JP3581292B2 (en) * | 2000-03-22 | 2004-10-27 | 東京エレクトロン株式会社 | Processing device and processing method |
US6827814B2 (en) * | 2000-05-08 | 2004-12-07 | Tokyo Electron Limited | Processing apparatus, processing system and processing method |
US6327517B1 (en) * | 2000-07-27 | 2001-12-04 | Applied Materials, Inc. | Apparatus for on-the-fly center finding and notch aligning for wafer handling robots |
KR20030090057A (en) * | 2002-05-21 | 2003-11-28 | 삼성전자주식회사 | Expose unit having alignment function and align method thereof |
WO2004100247A1 (en) * | 2003-05-12 | 2004-11-18 | Sosul Co., Ltd. | Plasma etching chamber and plasma etching system using same |
KR100593740B1 (en) * | 2004-09-16 | 2006-06-28 | 삼성전자주식회사 | Method of removing native oxide film |
KR20060057111A (en) * | 2004-11-23 | 2006-05-26 | 삼성전자주식회사 | Edge exposure apparatus for fabricating semiconductor device |
KR100568873B1 (en) * | 2004-11-30 | 2006-04-10 | 삼성전자주식회사 | Nozzle apparatus for stripping edge bead of wafer |
-
2007
- 2007-07-06 WO PCT/US2007/015556 patent/WO2008005521A1/en active Application Filing
- 2007-07-06 WO PCT/US2007/015589 patent/WO2008005541A2/en active Application Filing
- 2007-07-06 WO PCT/US2007/015588 patent/WO2008005540A2/en active Application Filing
- 2007-07-06 TW TW96124758A patent/TW200807522A/en unknown
- 2007-07-06 WO PCT/US2007/015586 patent/WO2008005539A2/en active Application Filing
- 2007-07-06 WO PCT/US2007/015551 patent/WO2008005517A1/en active Application Filing
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001127054A (en) * | 1999-10-29 | 2001-05-11 | Applied Materials Inc | Equipment and method of forming thin film |
KR20050116813A (en) * | 2003-03-07 | 2005-12-13 | 알에이피티 인더스트리즈 인코포레이티드 | Apparatus and method for non-contact cleaning of a surface |
JP2006073590A (en) * | 2004-08-31 | 2006-03-16 | Toppan Printing Co Ltd | Surface cleaning method and apparatus |
Also Published As
Publication number | Publication date |
---|---|
WO2008005541A2 (en) | 2008-01-10 |
TW200807522A (en) | 2008-02-01 |
WO2008005540A3 (en) | 2008-02-21 |
WO2008005517A1 (en) | 2008-01-10 |
WO2008005541A3 (en) | 2008-02-21 |
WO2008005539A2 (en) | 2008-01-10 |
WO2008005521A1 (en) | 2008-01-10 |
WO2008005539A3 (en) | 2008-03-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US20080010845A1 (en) | Apparatus for cleaning a wafer substrate | |
US20080011332A1 (en) | Method and apparatus for cleaning a wafer substrate | |
US20070062647A1 (en) | Method and apparatus for isolative substrate edge area processing | |
US5383984A (en) | Plasma processing apparatus etching tunnel-type | |
US7534469B2 (en) | Semiconductor-processing apparatus provided with self-cleaning device | |
US7585686B2 (en) | Method and apparatus for processing a wafer | |
US7159599B2 (en) | Method and apparatus for processing a wafer | |
JP4780411B2 (en) | Plasma processing apparatus and processing method | |
EP0299247B1 (en) | Processing apparatus and method | |
US20080190558A1 (en) | Wafer processing apparatus and method | |
US20080011421A1 (en) | Processing chamber having labyrinth seal | |
WO2002004691A9 (en) | Systems and methods for remote plasma clean | |
WO2008005540A2 (en) | Method and apparatus for cleaning a wafer substrate | |
KR20080037565A (en) | Integrated method for removal of halogen residues from etched substrates by thermal process | |
US20190393047A1 (en) | Method of achieving high selectivity for high aspect ratio dielectric etch | |
US20080017316A1 (en) | Clean ignition system for wafer substrate processing | |
US20080090310A1 (en) | Substrate processing apparatus and substrate processing termination detection method | |
JPH09203704A (en) | Particle detector | |
JP2023523677A (en) | Sidewall Notch Reduction for High Aspect Ratio 3D NAND Etch | |
EP0299244B1 (en) | Processing apparatus and method | |
TWI847038B (en) | Workpiece processing apparatus with plasma and thermal processing systems | |
US20230290653A1 (en) | Etching method and etching apparatus | |
WO2024049699A1 (en) | Nitride thermal atomic layer etch | |
US20230274949A1 (en) | Etching of indium gallium zinc oxide | |
JP2008141146A (en) | Device for processing base material, and method |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
NENP | Non-entry into the national phase in: |
Ref country code: DE |
|
NENP | Non-entry into the national phase in: |
Ref country code: RU |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 07796725 Country of ref document: EP Kind code of ref document: A2 |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 07796725 Country of ref document: EP Kind code of ref document: A2 |