TW200807522A - Wafer processing apparatus and method - Google Patents

Wafer processing apparatus and method Download PDF

Info

Publication number
TW200807522A
TW200807522A TW96124758A TW96124758A TW200807522A TW 200807522 A TW200807522 A TW 200807522A TW 96124758 A TW96124758 A TW 96124758A TW 96124758 A TW96124758 A TW 96124758A TW 200807522 A TW200807522 A TW 200807522A
Authority
TW
Taiwan
Prior art keywords
wafer
processing
substrate
nozzle
gas
Prior art date
Application number
TW96124758A
Other languages
Chinese (zh)
Inventor
Joel Brad Bailey
Huret Jean-Michel Claude
Paul F Forderhase
Satish Sadam
Scott Allen Stratton
Michael D Robbins
Original Assignee
Accretech Usa Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Accretech Usa Inc filed Critical Accretech Usa Inc
Publication of TW200807522A publication Critical patent/TW200807522A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

An edge area of the substrate processing device is disclosed. The edge area being processed is isolated from the remainder of the substrate by directing a flow of an inert gas through a plenum near the area to be processed thus forming a barrier while directing a flow of reactive species at an angle relative to the top surface of the substrate towards the substrate edge area thus processing the substrate edge area. A flow of oxygen containing gas into the processing chamber together with a negative exhaust pressure may contribute to the biasing of reactive species and other gases away from the non-processing areas of the substrate.

Description

200807522 九、發明說明: 相關申請案參照 本發明主張2006年7月7日申請之美國申請案第 60/819,521號的優先權,且前述申請案之内容在此加入作為 5 參考。。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。

I:發明所屬之技術領城:J 領域 本發明係有關於一種用以處理一基板之方法及裝置’ 特別是有關於一種用以將一基板相對一用以處理該基板邊 ίο緣之裝置定位成同心狀態的方法及裝置。又’本發明提供 一種對齊裝置用之密封結構。此外,本發明揭露用以利用 一燃燒火焰對一基板進行乾式蝕刻之製程。 I:先前技術3 背景 15 在這段說明中僅提供有關本發明之背景資訊而不構成 先前技術。 在製造積體電路時,矽基板晶圓會受到包括沈積與蝕 刻介電體、金屬及其他材料等多種處理。在製造過程中的 許多階段,最好要“清潔,,該晶圓之邊緣區域,以去除會由 20於晶圓處理而產生之不需要膜與包括顆粒之污染物。 這包括在該晶圓之靠近邊緣頂表面(主要處理側)、靠近 邊緣背面、及邊緣(包括頂斜面、冠部及底斜面)上產生之膜 與污染物(以下,靠近邊緣頂表面、靠近邊緣背面、及邊緣 組合地或個別地被稱為“邊緣區域,,)。去除膜與污染物對於 5 200807522 防止微粒移入該晶圓之元件部份是必要的,且可能造成污 染之顆粒係在晶圓加工、處理時產生,且會因膜應力所產 生之“瞬動(p0p-0ff),,效應而產生。 以-有效且符合成本效益之方式且在不影響含有處理 5中讀之晶圓的其餘部份之情形下,處理及去除邊緣區域 薄膜與污染物是—大挑戰,而這挑戰將因利用會不利地影 響該晶圓之處理中元件部份的化學物與製程而變得更為嚴 峻。 許多現有膜去除技術無法適當地去除聚合物、邊緣球 10狀物、介電體或钽,特別是由該邊緣區域上去除,而這也 是晶圓製造者所希望達成的。詳而言之,需要使一晶圓之 可用表面積達到最大並藉此使不可使用邊緣區域縮減至最 小,以達成最大晶片產率目標。由可使用表面積產生功能 性晶片之減少被稱為產率損失且通常是不需要的並且會對 15成本產生負面衝擊,因此,在發明所屬技術領域中需要一 種以符合成本效益且有效之方式去除各種前側、背側及範 圍膜與污染物之改良處理方法及裝置。 【明内3 概要 ;〇 依據本發明,一邊緣區域基板處理方法及裝置提供多 數優於別述處理方法及系統之優點。本發明之一方面係有 關於一種用以在大氣壓下乾式化學處理之方法及裝置,且 一基板之邊緣區域與該基板之其餘部份隔離。該基板邊緣 區域處理裝置具有一用以隔離欲處理之基板邊緣區域一部 6 200807522 份的隔離器,且一或多個在該隔離器中之溝槽形成一用以 限制到達該基板之邊緣區域之反應性物種流的空間。一或 多個噴嘴設置在該隔離器中,且前述一或多個喷嘴之至少 一個相對於該基板之頂表面於垂直與水平之間呈一角度。 5前述一或多個喷嘴係用以射出一用以與一在該基板邊緣區 域上之材料反應的反應性物種,且壓力差將該反應性物種 偏壓遠離在該隔離器外之基板區域。 本發明亦揭露一種用以隔離與處理一基板之一部份的 基板邊緣處理方法,且欲處理之部份由該基板之邊緣徑向 10延伸通過該基板之頂表面而到達該基板之邊緣的另一部 份。一壓力差障壁形成在欲處理之基板與該基板之其餘部 份之間,且使一反應性物種以一大於平行於該基板頂表面 且】、於垂直於該基板頂表面之角度,流向該基板之處理部 份。 在其他實施例中,欲處理基板之邊緣區域藉由使一惰 氣體/现過罪近欲處理區域之空間而與該基板之其餘部份 隔離,以形成一障壁,並且使一反應性物種相對該基板頂 表面呈角度地朝該基板邊緣流動,以處理該基板邊緣區 加又 卩边著一負排氣壓力一起流入該處理室之含氧氣 可用以將該等反應性物種與其他氣體偏壓遠離該基板之 非處理區域。 曰上珂述方法及裝置可精確地處理該基板之一部份,特別 二,:邊緣區域,且不會在除外區域中侵入。作為與壓 、'σ &之4裝置隔離器結構一部份的流動控制有效地限 7 200807522 制反應性物種移入除外區域中。利用使該反應性物種流至 該基板之邊緣區域可容許高蝕刻速度且得到處理基板之處 理量的整體明顯改善。總之,該系統提供一清潔、有效且 有效率並可以達成該基板之元件部份之低污染極為需要的 5 方式處理基板邊緣區域之方法及裝置。I. The technology of the invention belongs to: J. The present invention relates to a method and a device for processing a substrate. In particular, it relates to a device for positioning a substrate relative to a device for processing the edge of the substrate. Method and apparatus for concentric state. Further, the present invention provides a sealing structure for an alignment device. Furthermore, the present invention discloses a process for dry etching a substrate using a combustion flame. I: Prior Art 3 Background 15 In this description, only background information related to the present invention is provided and does not constitute prior art. In the fabrication of integrated circuits, germanium substrate wafers are subjected to a variety of processes including deposition and etching of dielectrics, metals, and other materials. At many stages of the manufacturing process, it is best to "clean," the edge regions of the wafer to remove unwanted films and particles including particles that are processed by the wafer. This is included in the wafer. Membrane and contaminant produced on the top surface of the edge (mainly treated side), near the back of the edge, and on the edge (including the top bevel, crown and bottom bevel) (below, close to the edge top surface, near the edge back, and edge combination) Ground or individually referred to as "edge area,". Removal of membranes and contaminants for 5 200807522 It is necessary to prevent particles from moving into the component parts of the wafer, and the particles that may cause contamination are generated during wafer processing, processing, and "momentary motion" due to membrane stress ( P0p-0ff), the effect is produced. In an effective and cost-effective manner and without affecting the rest of the wafer containing the process read 5, the processing and removal of the edge region film and contaminants is - The big challenge, which will be exacerbated by the use of chemicals and processes that can adversely affect the component parts of the wafer's processing. Many existing membrane removal techniques fail to properly remove the polymer, the edge ball 10 The material, dielectric or germanium, in particular removed from the edge region, is what the wafer manufacturer wishes to achieve. In detail, it is necessary to maximize the available surface area of a wafer and thereby make it unusable. The edge area is reduced to a minimum to achieve the maximum wafer yield target. The reduction in functional wafers from the usable surface area is known as yield loss and is generally undesirable and will be 15% There is a negative impact, and therefore, there is a need in the art to improve a method and apparatus for removing various front, back, and range films and contaminants in a cost effective and efficient manner. [Mingine 3 Summary; According to the invention, an edge region substrate processing method and apparatus provide many advantages over other processing methods and systems. One aspect of the invention relates to a method and apparatus for dry chemical processing at atmospheric pressure, and an edge of a substrate The region is isolated from the rest of the substrate. The substrate edge region processing device has an isolator for isolating a portion of the edge region of the substrate to be processed 6 200807522, and one or more trenches are formed in the spacer a space for restricting the flow of reactive species to the edge region of the substrate. One or more nozzles are disposed in the separator, and at least one of the one or more nozzles is perpendicular to a top surface of the substrate An angle between the levels. 5 one or more of the nozzles are used to project one for and on an edge region of the substrate a reactive species reacted by the material, and the pressure difference biases the reactive species away from the substrate region outside the isolator. The present invention also discloses a substrate edge processing method for isolating and processing a portion of a substrate, and The portion to be processed extends from the edge 10 of the substrate through the top surface of the substrate to another portion of the edge of the substrate. A pressure differential barrier is formed on the substrate to be processed and the rest of the substrate And passing a reactive species to a treated portion of the substrate at an angle greater than parallel to the top surface of the substrate and perpendicular to the top surface of the substrate. In other embodiments, the edge regions of the substrate are to be processed. Separating the rest of the substrate from an inert gas/current sin that is adjacent to the remainder of the substrate to form a barrier and causing a reactive species to flow toward the edge of the substrate at an angle relative to the top surface of the substrate Oxygen gas flowing into the processing chamber plus a negative exhaust pressure along the edge region of the substrate can be used to bias the reactive species away from other gases. The non-treated area of the substrate. The method and apparatus described above can accurately process a portion of the substrate, particularly the edge region, without invading the exclusion region. As part of the pressure, 'σ & 4 device isolator structure, flow control is effectively limited to the 2008 20082222 reactive species migration into the exclusion zone. The use of the reactive species to flow to the edge regions of the substrate allows for a high etch rate and provides a significant overall improvement in the processing substrate. In summary, the system provides a method and apparatus for processing the edge regions of a substrate in a clean, efficient, and efficient manner that is highly desirable for achieving low contamination of the component parts of the substrate.

本發明更提供一種用以以一高同心與精確方式對齊一 晶圓之方法及裝置,且同心處理操作具有優於現有技術的 許多好處。它容許在大氣壓下,由一半導體晶圓之邊緣區 域以氣相移除許多不必之膜。該同心處理操作係於該晶圓 10在一夾具上旋轉時,在多數位置處測量一晶圓之半徑。接 著計异出該晶圓之一精確中心且將該晶圓再定位在該精確 中心以進行處理。 在此亦揭露一種用以在處理該晶圓時密封該處理室之 多軸移動密封(即,迷宮式密封),且該密封與一晶圓夾具共 15 同作用。該密封與該處理室界定出一真空室,且該真空室 連接於一可配合該對齊系統移動之真空。 此外,在此揭露以燃燒火焰為基礎處理該晶圓之製 程。所揭露之化學物在一燃燒火焰中反應並產生一可以一 精確且有效率之方式處理該晶圓的反應性物種。 2〇 在另一實施例中,提供一種用以由靠近邊緣區域去除 絕緣膜之系統,且這些膜係利用υ2: NFs主要化學物來進行 蝕刻。某些金屬膜亦可去除,其例子包括鎢及钽。又,亦 可蝕刻許多金屬氧化物或氮化物膜。 本發明之其他應用領域將可由以下所提供之詳細說明 200807522 了解,且在此應了解的是雖然該詳細說明及特定例子是本 發明之較佳實施例,但是它們僅是用以說明且不是要用來 限制本發明之範圍。 圖式 5 在此所述之圖式係僅用以說明且無論如何均不是要用 來限制本發明之範圍。 第1A-1C圖是顯示用以同心晶圓處理操作之系統的橫 截面圖; ® 第2圖是顯示一晶圓在一處理室内之交換/居中及處理 10 位置的俯視示意圖; 第3圖是顯示一晶圓在一處理室内之交換/居中及處理 位置的側視示意圖; 第4A圖顯示一迷宮式密封總成與一處理室及夾具總成 之關係的侧視截面圖; 15 第4B圖顯示一迷宮式密封總成與一處理室及夾具總成 之關係的俯視截面圖; 藝 &quot; 第5圖是第1A圖所示之隔離室的側視截面圖; _ 第6A圖顯示相對一晶圓之邊緣之多數喷嘴本體的俯視 圖;The present invention further provides a method and apparatus for aligning a wafer in a high concentric and precise manner, and the concentric processing operation has many advantages over the prior art. It allows for the removal of many unnecessary films in the gas phase from the edge regions of a semiconductor wafer at atmospheric pressure. The concentric processing operation measures the radius of a wafer at a plurality of locations as the wafer 10 is rotated on a jig. A precise center of the wafer is then counted and the wafer is repositioned at the precise center for processing. Also disclosed herein is a multi-axis moving seal (i.e., a labyrinth seal) for sealing the process chamber during processing of the wafer, and the seal functions in conjunction with a wafer holder. The seal defines a vacuum chamber with the processing chamber and the vacuum chamber is coupled to a vacuum that is movable to engage the alignment system. In addition, a process for treating the wafer based on a combustion flame is disclosed herein. The disclosed chemical reacts in a combustion flame and produces a reactive species that can process the wafer in a precise and efficient manner. 2 In another embodiment, a system for removing an insulating film from an edge region is provided, and these films are etched using a 化学2: NFs main chemical. Some metal films can also be removed, examples of which include tungsten and tantalum. Also, many metal oxide or nitride films can be etched. Other areas of applicability of the present invention will be apparent from the following detailed description of the <RTI ID=0.0> </ RTI> </ RTI> </ RTI> </ RTI> </ RTI> <RTIgt; It is intended to limit the scope of the invention. The drawings are intended to be illustrative only and are not intended to limit the scope of the invention in any way. 1A-1C is a cross-sectional view showing a system for concentric wafer processing operations; ® Figure 2 is a top plan view showing the exchange/centering and processing 10 position of a wafer in a processing chamber; A side view showing the exchange/centering and processing position of a wafer in a processing chamber; Figure 4A is a side cross-sectional view showing the relationship between a labyrinth sealing assembly and a processing chamber and fixture assembly; 15 Figure 4B A top cross-sectional view showing the relationship between a labyrinth seal assembly and a process chamber and a clamp assembly; Art &quot; Fig. 5 is a side cross-sectional view of the isolation chamber shown in Fig. 1A; _ Figure 6A shows a relative a top view of a plurality of nozzle bodies at the edge of the wafer;

V 20 第6B至6F圖是顯示在一晶圓斜面區域處之傾斜喷嘴的 側視圖, 第7至8G圖是預處理與後處理晶圓之橫截面圖; 第9A-9C圖是顯示在一晶圓斜面區域處之另一喷嘴結 構之侧視圖; 9 200807522 第ίο圖顯示在交換/居中裝置内相對於一對齊位置位 於兩不同轉動位置處之未對齊晶圓的示意圖; 第11-12B圖詳細顯示本發明之光學檢視系統; 第13圖是一分解橫截面圖,顯示第1圖所示之處理室與 5 隔離總成之一部份; 第14A與14B圖是第3圖所示之系統之密封機構的截面 圖, 第15圖是第14A與14B圖所示之密封機構之立體截面 圖, 10 第16A與16B圖是第3圖所示之系統的橫截面圖; 第17A-17C圖是第13圖所示之隔離總成之分解圖; 第18A與18B圖是第17A圖之喷嘴總成之立體圖; 第19A與19B圖顯示可在第18A與18B圖之喷嘴總成中 使用之噴嘴; 15 第20A與20B圖顯示可在第18A與18B圖之喷嘴總成中 使用之另一喷嘴; 第21A與21B圖顯示另一喷嘴總成; 第22A與22B圖顯示第21A與21B圖所示之喷嘴副板; 第23A與23B圖顯示本發明另一點火總成之橫截面圖; 20 第24至25B圖顯示該點火與噴嘴總成之俯視與側視圖; 第26圖是另一清潔點火總成之立體圖; 第27圖是用於第1A圖之晶圓處理系統中之火焰感測系 統的俯視圖;及 第28與29圖顯示由該火焰感測系統所檢出之回應。 10 200807522 t實施方式2 詳細說明 以下說明僅用以舉例且不是要限制本發明之揭露、操 作或用途。 μ 5 第1Α與1Β®顯示湘在此教示之日日日Β1處理系統達成 ‘ 肖心處理操作所需之組件與方法系統平面圖,且一例子係 w 有關於對一晶圓之靠近邊緣區域選擇性地進行化學操作。 其他可應用方法及裝置係揭露在均加入作為參考之美國申 請案第 11/230,261 與 11/417,297號中。 10 纟發明之靠近邊緣膜去除技術的中心是以高同心與精 確方式對曰曰圓使用反應性氣體。處理操作通常對於晶圓 或基板偏心度在50$1〇〇μη4Ι圍内之變化是敏感的,且需 要多數副系統來達成這種處理操作。 第1Α圖顯示用於同心晶圓處理操作之整個系統的系統 μ平面示意圖,且該處理室22包含用以控制將反應性氣體供 _ 應至該靠近邊緣晶»區域之隔離肪與擴制24。所示之 R-ζ-θ或xyz_e晶圓移動對齊模組或系統27係位在晶圓裝載 * 彳线’且在此位置時,雷㈣微計⑽量該晶圓邊緣在居 , 中路線時之軌跡。又,在此亦顯示多數頂銷16。 該设備别端模組17包含一機械手臂與預對齊器站19, 且多數晶圓由一前開口統一標準容器開始進行處理。該置 勿櫃2〇包含控制電子裝置、電腦、端點設備、氣體輸送設 及其他ax備連接部。處理氣體21與該模組連接且其流動 將雙適當質量流控制器(MFC)52調節,且亦可連接如排氣部 11 200807522 56與冷卻水58等其他設備連接部。V 20 6B to 6F are side views showing inclined nozzles at a wafer bevel area, and FIGS. 7 to 8G are cross-sectional views of preprocessed and post processed wafers; FIGS. 9A-9C are shown in FIG. Side view of another nozzle structure at the bevel area of the wafer; 9 200807522 FIG. 28 is a schematic view showing unaligned wafers at two different rotational positions relative to an aligned position within the exchange/centering device; FIGS. 11-12B The optical inspection system of the present invention is shown in detail; FIG. 13 is an exploded cross-sectional view showing a portion of the processing chamber and the 5 isolation assembly shown in FIG. 1; FIGS. 14A and 14B are diagrams shown in FIG. Sectional view of the sealing mechanism of the system, Fig. 15 is a perspective sectional view of the sealing mechanism shown in Figs. 14A and 14B, and Figs. 16A and 16B are cross-sectional views of the system shown in Fig. 3; 17A-17C Figure 13 is an exploded view of the isolation assembly shown in Figure 13; Figures 18A and 18B are perspective views of the nozzle assembly of Figure 17A; and Figures 19A and 19B show the use of the nozzle assembly of Figures 18A and 18B. Nozzles; 15 Figures 20A and 20B show the nozzle assemblies in Figures 18A and 18B Another nozzle is used; 21A and 21B show another nozzle assembly; 22A and 22B show the nozzle sub-plates shown in Figs. 21A and 21B; and 23A and 23B show another ignition assembly of the present invention. Cross-sectional view; 20 Figures 24 to 25B show top and side views of the ignition and nozzle assembly; Figure 26 is a perspective view of another cleaning ignition assembly; Figure 27 is a wafer processing system for Figure 1A A top view of the flame sensing system in the middle; and panels 28 and 29 show the responses detected by the flame sensing system. 10 200807522 t Embodiment 2 Detailed Description The following description is by way of example only and is not intended to limit the disclosure, μ 5 1Α and 1Β® show the plan of the component and method system required for the processing of the Xiaoxin processing operation on the day of the day of the teaching, and an example is related to the selection of the near edge region of a wafer. Chemically perform chemical operations. Other applicable methods and devices are disclosed in U.S. Application Serial Nos. 11/230,261 and 11/417,297, each of which is incorporated by reference. The center of the near-edge film removal technology of the invention is the use of reactive gases for rounding in a high concentric and precise manner. Processing operations are typically sensitive to variations in wafer or substrate eccentricity within 50$1〇〇μη4, and most subsystems are required to achieve this processing operation. Figure 1 shows a schematic diagram of a system μ plane for the entire system of concentric wafer processing operations, and the processing chamber 22 includes means for controlling the supply of reactive gases to the isolation and expansion of the region near the edge region. . The R-ζ-θ or xyz_e wafer movement alignment module or system 27 is shown in the wafer loading *彳 line' and at this position, the thunder (four) micrometer (10) is the wafer edge in the middle, the middle route The trajectory of time. Also, a plurality of top pins 16 are also shown here. The device end module 17 includes a robotic arm and pre-aligner station 19, and a plurality of wafers are processed by a front opening unified standard container. The cabinet 2 includes control electronics, computers, endpoint equipment, gas delivery equipment, and other ax connections. The process gas 21 is connected to the module and its flow is regulated by a dual appropriate mass flow controller (MFC) 52, and may also be connected to other equipment connections such as the exhaust section 11 200807522 56 and the cooling water 58.

請參閱第1A-9C圖,本發明之晶圓邊緣區域處理系統 2〇(“系統”)的實施例包含一具有一隔離器25之處理室22及 具有相關晶圓夾具28設置於其上之晶圓對齊模組27。一晶 5圓26被扣持在晶圓夾具28頂部,且該晶圓26具有一頂表面 30、底表面32、及邊緣區域33(包括邊緣及以靠近邊緣之較 淡線顯示之靠近邊緣),並且該邊緣區域33環繞該晶圓26之 徑向周緣。該隔離器25具有一延伸在該晶圓26之頂表面30 一部份上之上段38、及一延伸在該晶圓26之底表面32—部 10份上之下段39。該隔離器25之内侧具有一用以處理該晶圓 26之邊緣區域33的處理區域,且該處理區域延伸入一排氣 空間41,並且該排氣空間41與一用以排出氣體、處理副產 物及冷凝液之排氣系統56連接。 設置在該隔離器25之上段38内的是一第一喷嘴45及一 15第二喷嘴49,且兩噴嘴均構成為可朝該晶圓%之邊緣區域 33直接發射反應性物種流。第一噴嘴45偏離一垂直於一與 該晶圓26之頂表面30(該“晶圓平面,,)共平面之平面,且第一 喷嘴45以相對該晶圓平面呈8〇。+/_5。之角度指向該頂表面 30。第二喷嘴49相對該晶圓平面偏離45。+/_5。之角度,且第 20二喷嘴49亦相對一垂直於該晶圓平面且通過該隔離器^ 心與該晶圓26中心之平面偏離〜15。。 第 喷嘴45連接於—設置在該上段%中之第 通道 48 ’且第,通祕延伸至—氣體管線47。第二噴嘴的連接 於一設置在滅上#又38中之第二通道μ,且該 第二通道53延 12 200807522 伸至一氣體管線47。第一喷嘴45與第二喷嘴49透過該氣體 管線47連接至一反應性氣體物種源,或者,該等第一與第 二通道48與53可以與具有不同化學性質之反應性物種源連 結。 5 10 15 20 第一噴嘴45定位成可在距離該晶圓26之邊緣0.1至 0.5mm且距離該晶圓26之頂表面30有1·3至1.8mm處進行斜 面與冠部加工,且第二喷嘴49定位成可在距離該晶圓26之 邊緣0.5至3.0mm且距離該晶圓26之頂表面30有0·6至1.1mm 處進行斜面與冠部加工。該等喷嘴之徑向位置與距離該晶 圓平面之距離係依所需邊緣去除區域決定,且亦與加工及 膜有關。 反應性氣體物種源可提供一反應性氣體物種或用以形 成該反應性氣體物種之成分反應物,且反應性氣體物種可 以透過接近大氣壓力技術產生。這包括如在此加入作為參 考且揭露於美國專利5,961,772中之接近大氣壓之電容輕合 式電漿源(即,APJET)、如在此加入作為參考且揭露於美國 專利6,660,177中之感應耦合式電漿放電(即,ICP火炬)、或 燃燒火焰。 此外,亦可使用如F2、Ο;或HF等自發蝕刻劑,且這些 反應性物種最好均不會產生離子性電漿之離子轟炸特性, 並藉此減少表面與元件破壞之可能性。又,這些技術最好 均不需要一真空室以及相關之設備。 一設置在該上段38中之上吹洗空間88延伸至或靠近該 晶圓26之頂表面邊緣,且在欲處理晶圓之一區域上方並通 13 200807522 過該區域到達在或靠近該晶圓26之頂表面30的另一邊緣。 該上吹洗空間88係〜3.0mm寬且延伸〜37.5mm之全路徑長 度,且該上吹洗空間88是一可防止反應性氣體移出該處理 區域之調整流動系統的一部份。 5 該上吹洗空間88連接於一第一吹洗通道92,且該第一 吹洗通道92透過一吹洗氣體管線94連接至一吹洗氣體源 96。該吹洗氣體源96供應一例如氬等惰性氣體,且該惰性 氣體係經由該第一吹洗通道92送入該上吹洗空間88。或 者’該上吹洗空間88可提供增強該反應性氣體之反應性的 10 CDA或含氧氣體。 使用含氧氣體可與未反應H2反應,且這亦可補償極端 長度限制且可有較高體積百分比之NF3。較高之NF3體積百 分比可產生較高之蝕刻速度及較大之處理量,且圖中所見 的是該隔離器25之上段38中設置有一吹洗通道,但是亦可 15 是用以將吹洗氣體流導入該上吹洗空間88中之一個以上的 通道。吹洗通道流入該上吹洗空間88在被該上吹洗空間88 包囤之頂表面30區域中產生一壓力差,且因此在該頂表面 30與欲處理晶圓26邊緣區域33之間產生一障壁。 該上吹洗空間88與該晶圓26之頂表面30被一内側擋板 20 100分開,且内側擂板100沿著該上吹洗空間88之内周緣延 伸並與該晶圓26分開0.30至0.80mm之間隙。一外側擋板104 沿著該上吹洗空間88之外周緣延伸,且與該晶圓26分開 0·50至1.10mm之間隙。如圖所示,相較於該内側播板1〇〇, 外侧檔板10 4更寬且更靠近該晶圓2 6之頂表面3 〇。這有助於 14 200807522 藉由在通過該内側擋板100產生一將吹洗氣體流偏壓入該 隔離器25之處理區域中的壓力差,在該晶圓26之處理中部 份四週形成一壓力引發障壁。 一第二吹洗通道108設置在該隔離器25之下段39中,且 5利用該吹洗氣體管線94連接至該吹洗氣體源96。第二吹洗 通道108係用以將吹洗氣體送至一下吹洗空間114,且類似 於上吹洗空間88,該下吹洗空間114由在或靠近該晶圓26之 邊緣區域33處延伸在該底表面32下方且延伸通過該底表面 32而到達在或靠近該晶圓26邊緣之另一位置處。類似於該 10上吹洗空間88,遺下吹洗空間114設置在該下内側擋板η2 與一下外侧擋板118之間。又,該下吹洗空間ι14與該下内 侧擋板112及下外側擋板118 —起將吹洗氣體流朝一通過該 下内側擔板112與通過底表面32之方向偏履。 晶圓夾具28可利用模組27在r-θ-ζ或xyz_e方向上移 I5 動’以定位該晶圓26並使它在形成於該上段38與下段39間 之隔離器25槽孔内轉動。或者,隔離器25結構亦可朝r移動 且夾具朝Θ與z移動。一旦定位後,在該晶圓26各側與該上 段38或下段39之間的距離是0.30至0.80mm。這沒有晶圓之 槽孔開口區域是124.20至216.20mm2,且具有一晶圓26之槽 2〇 孔開口區域147.20mm2,並且排氣槽孔寬度是93.〇mm。 一氣體擴散器24延伸入該處理室22,並提供一惰性氣 體或含氧氣體流至該處理室22中,該氣體擴散器24通常為 蓮蓬頭型結構且經由一擴散器24氣體管線148連接至該吹 洗氣體源96。 15 200807522 該排氣空間4i以及該排氣部%是防止反應性物種移出 該處理區域之該調整流動系統的另一部份,且排氣部%在 該排氣空間41中產生一負壓,並抽吸反應性物種氣體與該 惰性氣體、處理副產物、及冷凝液遠離該處理區域,並且 5防止這些氣體移入該晶圓26之元件區域。 一加熱元件122利用一加熱線連接至一加熱電源126, 且該加熱元件122加熱該隔離器25並且以較小之程度加熱 該晶圓26,而加熱該隔離器25對於防止會腐蝕該隔離器25 且可能將污染物引入該處理區域之氣體冷凝液是必要的。 10 包括該第一嘴嘴45與第二喷嘴49之邊緣區域處理系統 20的噴嘴係由藍寶石製成,且藍寶石之優點在於對在基板 處理中所使之化學物是不具反應性的。這是必要的,因為 處理半導體基板需要以每百萬分之一份進行微量材料污染 分析,且對該基板之可接受添加值係小於大約l〇1G原子 15 /cm2。此外,對尺寸大於大約0.1微米者而言,對該基板之 粒子添加值應為零。 在許多種狀況中,亦需要達成一來自該等噴嘴之層流 氣體流動,而這需要設定該喷嘴之縱橫比為大於或等於l〇x 長度對直徑比。對某些反應性氣體而言,需要大於40 : 1或 20 最好80 : 1之縱橫比。喷嘴内徑大約是0.254至0.279,且需 要一大約2.50mm之均一平滑喷嘴内孔長度。 雖然包括該第一喷嘴45與第二噴嘴49之隔離器25喷嘴 係如前述般相對該晶圓平面分別呈〜80度與〜45度之角度, 但是最好相對該晶圓平面朝向不同方向,以便進行包括蝕 16 200807522 刻或沈積一薄膜之處理。 在操作時,使一晶圓26在該晶圓夾具28上居中,接著 該晶圓夾具28將該晶圓26定位在該隔離器25之槽孔中且位 在該上段38與該下段39之間,以進行處理。然後,該移動 5系統27使該晶圓夹具28轉動,並使該晶圓26轉動。 接著,讓惰性氣體或CDA由該吹洗氣體源96流入該上 吹洗空間88與下吹洗空間114。該惰性氣體或Cda以 lOOsccm至SOOOsccm之速度流入該上吹洗空間88與下吹洗 空間114,且亦可讓惰性氣體或CDA經由該氣體擴散器24 10流入該處理室22,並且這氣體以500sccm至lOOOOsccm之速 度流入該處理室22。 然後,致動加熱元件122以加熱該晶圓頂表面30。這選 擇性步驟係欲防止成為該化學反應之副產物的蒸氣,如水 蒸氣,在該晶圓頂表面3〇上凝結。凝結可以利用加熱該晶 15圓頂表面30至一等於或大於該反應物副產物沸點之溫度來 防止,例如將該晶圓頂表面3〇加熱到超過i〇〇〇c,以防止水 之凝結。或者,晶圓26表面加熱可以透過一加熱基板固持 器82、透過朝向該晶圓周緣之紅外線能量、或透過如火焰 等其他熱源來提供。 2〇 接著,致動該排氣系統56,以經由排氣空間41抽出氣 體及包括冷凝液之處理副產物。然後,反應性物種丨3〇由第 一喷嘴45與第二噴嘴49射出,且點火電源126致動該清潔點 火系統78 ’並且該第一氣體管線93與第二氣體管線98開啟 以便讓氫氣與三氟化氮氣體流入該喷嘴總成84並通過四個 17 200807522 噴嘴84。反應性物種(或若為一燃燒火焰時之氣體)以 375sccm至475sccm之速度流經該等喷嘴,且該等反應性物 種130在該晶圓26轉動時衝擊至該晶圓26之邊緣區域33 上。該等反應性物種13 0與一在該晶圓2 6邊緣區域3 3中之薄 5 膜或污染物反應,產生一反應副產物66。又,亦可使用其 他喷嘴構造,例如,請參閱第9A-9C圖,該第一處理噴嘴45 與第二處理喷嘴49之位置包括該等反應性物種130可“環 繞”該晶圓26頂斜面、冠部、底斜面者。 利用與將氣體抽入該排氣空間41且抽入該排氣系統56 10 之壓力差同時作用之惰性氣體流動,可該等反應性物種130 通過離開該隔離器、25。這惰性氣體在上吹洗空間88與下吹 洗空間114中形成一環繞該晶圓之處理中邊緣區域之加壓 障壁’且與該外側擋板構件63共同作用之内側擋板構件61 將惰性氣體流偏壓向該晶圓26之處理中區域。由於該等反 15 應性物種130與在該晶圓26表面上之薄膜反應而產生之反 應副產物將被抽離該晶圓26之處理中區域並進入該排氣空 間41。如此,反應性物種130與反應副產物142可有利地被 限制在該晶圓26之邊緣區域並被防止移入該晶圓26之其他 區域中而損壞晶圓組成元件。此外,由該排氣空間41所產 20生之負壓再將氣流偏壓遠離該晶圓26之中心部份。 當該晶圓26轉動時,該晶圓夾具28相對該喷嘴總成84 與該燃燒火焰移動通過該晶圓頂表面3〇。因此,可處理該 頂表面30之所需部份。處理包括如先前對於基板處理方法 所述般地去除一薄膜,例如,二氧化砍或钽。 18 200807522 在晶圓經過處理後,將該第一氣體控制器102與第二氣 體控制器106關閉。同時,該第三氣體控制器49開啟,讓氬 氣或CDA入δ亥邊緣型嘴嘴總成84且通過該等第一鱼第二 噴嘴45、49,以“吹滅,,該燃燒火焰。此外,如果εμ〇或電 5力中斷,該控制器140亦可使該等喷嘴停止吹氣。又,如果 封蓋開啟,或如果發生控制空氣損失,則該控制器52可因 低氣體傳送壓力而使該火焰媳滅。另外,多數η2感測器與 该等控制器耗合,且若在該室22中之Η2值超過一預定值, 則該等%感測器將切斷該系統或發出一警報訊號。在該處 10理室22排空處理氣體與副產物後,取下該晶圓26。 整個晶圓之邊緣區域33的處理可利用該晶圓26之單次 轉動來完成,或者,可產生一次以上之轉動且可進行包括 沈積與蝕刻等一種以上之處理。在反應性物種停止流動 後,該惰性氣體持續流動直到該處理室22充分抽出其他氣 15 體與冷凝液為止。接著,關閉該加熱元件122且來自該吹洗 氣體源96之惰性氣體或CDA氣體停止流動,並且取下該晶 圓26並以另一晶圓取代·,以進行處理。 前述系統20與使用該系統之相關方法適於蝕刻一目標 薄膜,這包括,但不一定限於鈕與氮化钽;中間層介電體; 20 背側聚合物;及光阻邊緣球狀物。 第2圖是第1Α圖所示之系統的俯視圖’其中顯示隔離器 25以及相關之喷嘴總成84、FTIR系統212與加熱元件122 之。圖中亦顯示該移動系統27以及迷宮式密封70與测微計 15,且該晶圓26利用該晶圓夾具28之移動而由該安裝位置 19 200807522 134移動至該處理位置136。 第3圖顯示該R-Ζ-θ階段之交換/居中位置丨34與處理位 置136,且其中亦顯示該迷宮式密封7〇相對於該處理室^及 夾具心軸60。迷宮式密封70操作之真空係由一真空泵31或 5其他適當真空產生器提供,且真空度之電腦控制可以利用 . 一節流閥、電子質量流、或壓力控制器與一文氏管式真空 產生器連結在一起。晶圓夾具夾持力用之真空亦由一真空 泵31提供,且目前已發現壓力差是密封之最重要參數決定 ® 函數。又,在該密封板74與該處理室22之底表面76間之 10 120μηι與500μπι間的間距也很重要。 該移動’R軸’間距與該2-Θ軸,間距。當利用適當條件操 作’ δ亥岔封之氣戌漏速度&lt;i 〇xi〇_6atm_cc/s,且這;戈漏速 度等於一Ο環密封介面之洩漏速度。在此應注意的是目前已 發現該0環介面是無法被接受的,因為它們會產生不必要之 15微粒。接著,對在127μιη至508μιη之範圍内的間隙值進行測 φ 试且發現可維持適當壓力差。由於質量流大小會隨著間隙 支曰加而大幅增加,故設定一254{im之實際上限值,而車製 , 公差使該實際間隙下限設定為127μιη。 , 吾人發現在該密封排氣孔與該處理室22之間的最小壓 2〇力差為水柱英吋,且亦可使用更大之壓力差並且實際上 限是未知的。在該處理室與大氣之間的壓力差應至少為_〇·4 水柱英对’且這使一密封排氣相對大氣之壓力差至少為-2.4 水柱英α寸。 第4Α-4Β圖顯示該迷宮式密封7〇與該處理室22及移動 20 200807522 系統27之關係的側視與俯視圖,其中顯示真空通道密封該 橫向(R軸)移動以及該通道79密封垂直_)及轉動 動分量。各真空通道透過管路連接至一獨立控制真空產生 器或泵’且請注意迷宮式密封板74係由綱或316系列不鑛 5鋼車製而成,並且耐腐触性可利用由電拋光與純化所構成 之後車製金屬精加工處理來增強。 ^ 請再參閱第1_96圖,本發明之基板處理方法1〇之實施 _ 例在一高氧環境13中使用一由包含氫田2)與三a化氮 (NF3,作為一非氧“氧化劑”)之點火燃燒氣態反應物形成的 10燃燒火焰12。雖然所顯示的是CDA,但亦可使用其他含氧 •氣體。一氣態反應物之混合物在點燃成為燃燒火焰12之前 通過火炬喷嘴45,且燃燒火焰12衝擊至一基板表面18上。 氣態反應物在燃燒火焰中反應並形成氣態氟化氫 (HF)(一反應性物種)與氣態氮(N2)射出物,且以下化學方程 15式說明由氣態反應物依化學計量混合物(3: 2莫耳比)產生氣 ^ 態氟化氫與氣態氮: 3 %(氣體)+2NF3(氣體)~&gt;6HF(氣體)+N2(氣體) • 有利地,這反應實質上係在大氣壓力下進行。如此, 可使用黏性(而非分子)流性質,以精確地處理該基板表面18 %、 20 之某些部份並減少其他基板區域暴露於該反應性處理。雖 然前述說明的是3 : 2之莫耳比,但亦可依據所需之結果使 用較局或較低之比例。 此外,這反應並非由一與電漿相容之離子產生場所產 生者,吾人相信一電漿是一放電粒子之集合,且其中由該 21 200807522 等放電粒子產生之長距離電磁場對於粒子之行為且有 影響。同時,吾人相信該燃燒火焰12實質上沒有離子物種 存在,因此,不會有對該基板產生離子破壞的危險。 H2與剛之放熱化學反應會產生大量的熱,且這效果 5使少量高反應性物種之HF可以因為由所產生溫度呈現之能 量而產生出來,接著,高溫會實質上增加反應速度而產生匕 較高之侧速度,因此,可得到較高之處理量。 依據以下全反應並利用該氟化氫可姓刻一二氧化石夕薄 膜: 1〇 4HF(氣體)+2Sl02(固體)4跡4(氣體)+2H2〇(蒸氣) 氣悲四氟化矽與水蒸氣離開該二氧化矽薄膜,且這反 應有利地使二氧化石夕由一固體變成一可以輕易被抽出之氣 體副產物。 氣態氟化氫亦將蝕刻矽之基板表面,且矽蝕刻依循以 15 下全反應: 4HF(氣體)+Si(固體)—SiF4(氣體)+2H2(氣體) 在這反應中,氣態四氟化矽與氣態氫離開該矽基板表 面,且這反應使在該基板表面上之石夕由一固體變成一可以 輕易被抽出之氣體副產物。 20 類似地,可依以下全反應蝕刻一鈕薄膜: 10HF(氣體)+2Ta(固體)-&gt;2TaF5(氣體)+5H2(氣體) 在這反應中,氣態五氟化鈕與氣態氫離開該钽基板表 面’且這反應使在該基板表面上之钽由一固體變成一可以 輕易被抽出之氣體副產物。對此反應而言,使用一〇2+H2 22 200807522 火焰來預熱水對於防止在晶圓上凝結出反應產物是必 的。 有機與聚合物膜亦可利用前述化學方式去除,但是, 在某些情形中,由於可對Si與Si〇2進行選擇,故這變得較不 5需要。例如,當必須蝕刻氧化物而不需蝕刻Si時,可利用 前述化學方式蝕刻Si〇2而非Si。利用先將一蝕刻範圍暴露於 含氧之富含氫火焰,可增強暴露^相對於蝕刻化學法之鈍 化性。接著,將該蝕刻範圍暴露於H2與NF3之燃燒火焰, 以钱刻氧化物。 10 與在燃燒火焰中反應以進行基板蝕刻所需之其他必要 非氧氧化物包括氟(F2)、氯(C!2)、及三氟化氯(C1F3)。氫與 氟在一燃燒火焰中之反應如下: %(氣體)+F2(氣體)—2HF(氣體) 類似於H2與NF3之燃燒火焰,所得到之hf反應性物種 15 是一如前述之必要鍅刻劑。 氫與氯在一燃燒火焰中之反應如下: Η!(氣體)+Cl2(氣體)-»2HCl(氣體) 氫與三氟化氯在一燃燒火焰中之反應如下: 4¾(氣體)+2ClF3(氣體)~&gt;6HF(氣體)+2HCl(氣體) 2〇 在兩前述燃燒火焰反應中,當無法利用氟輕易蝕刻之 材料存在於膜積層中時,可以有利地使用所得之氯化氫反 應性物種來進行姓刻。這包括一含有鋁之膜積層,且作為 一反應性物種之氯化氫如下地蝕刻鋁: 2A1(固體)+6HCl(氣體)-&gt;2AlCl3(氣體)+3¾(氣體) 23 200807522 氯化氫如下地蝕刻矽:Referring to Figures 1A-9C, an embodiment of the wafer edge region processing system 2 ("system") of the present invention includes a processing chamber 22 having an isolator 25 and associated wafer holders 28 disposed thereon. Wafer alignment module 27. A crystal 5 circle 26 is held on top of the wafer holder 28, and the wafer 26 has a top surface 30, a bottom surface 32, and an edge region 33 (including edges and near edges of the lighter lines near the edges) And the edge region 33 surrounds the radial periphery of the wafer 26. The isolator 25 has a segment 38 extending over a portion of the top surface 30 of the wafer 26 and a lower portion 39 extending over the bottom surface 32 of the wafer 26. The inside of the isolator 25 has a processing area for processing the edge region 33 of the wafer 26, and the processing region extends into an exhaust space 41, and the exhaust space 41 and a device for exhausting gas and processing The product and condensate exhaust system 56 are connected. Disposed within the upper portion 38 of the isolator 25 are a first nozzle 45 and a 15 second nozzle 49, and both nozzles are configured to directly emit a flow of reactive species toward the edge region 33 of the wafer. The first nozzle 45 is offset from a plane perpendicular to a top surface 30 of the wafer 26 (the "wafer plane,"), and the first nozzle 45 is 8 相对 opposite the wafer plane. +/_5 The angle is directed to the top surface 30. The second nozzle 49 is offset from the wafer plane by an angle of 45. + / _ 5 , and the 20th nozzle 49 is also perpendicular to the plane of the wafer and passes through the isolator Deviated from the plane of the center of the wafer 26 by ~15. The first nozzle 45 is connected to the first passage 48' disposed in the upper section % and the passage is extended to the gas line 47. The second nozzle is connected to a setting The second channel μ of #38 is eliminated, and the second channel 53 extends 12 200807522 to a gas line 47. The first nozzle 45 and the second nozzle 49 are connected to a reactive gas species through the gas line 47. The source, or the first and second channels 48 and 53 may be coupled to a source of reactive species having different chemical properties. 5 10 15 20 The first nozzle 45 is positioned to be 0.1 to 0.5 from the edge of the wafer 26. Mm and from 1 to 3 mm from the top surface 30 of the wafer 26 for bevel and crown The second nozzle 49 is positioned to perform bevel and crown processing at a distance of 0.5 to 3.0 mm from the edge of the wafer 26 and from 0.6 to 1.1 mm from the top surface 30 of the wafer 26. The radial position and distance from the wafer plane are determined by the desired edge removal area and are also related to processing and film. The reactive gas species source can provide a reactive gas species or form the reactive gas species. The constituent reactants, and the reactive gas species can be produced by a near atmospheric pressure technique. This includes a near-atmospheric capacitive light-weight plasma source (ie, APJET) as disclosed in U.S. Patent No. 5,961,772. An inductively coupled plasma discharge (ie, an ICP torch), or a combustion flame, as disclosed in U.S. Patent No. 6,660,177, the disclosure of which is incorporated herein by reference. And these reactive species preferably do not produce the ion bombardment characteristics of ionic plasma, and thereby reduce the possibility of surface and component damage. Moreover, these techniques do not need a true a chamber and associated equipment. A purge space 88 disposed above the upper portion 38 extends to or near the top surface edge of the wafer 26 and is over a region of the wafer to be processed and passes through the region 2008 200807522 At or near the other edge of the top surface 30 of the wafer 26. The upper purge space 88 is ~3.0 mm wide and extends over a full path length of ~37.5 mm, and the upper purge space 88 is a reactive The gas is removed from a portion of the conditioning flow system of the processing zone. 5 The upper purge space 88 is coupled to a first purge channel 92, and the first purge channel 92 is coupled to a blow through a purge gas line 94. A gas source 96 is scrubbed. The purge gas source 96 supplies an inert gas such as argon, and the inert gas system is sent to the upper purge space 88 via the first purge passage 92. Or the upper purge space 88 can provide 10 CDA or oxygen-containing gas that enhances the reactivity of the reactive gas. The use of an oxygen-containing gas can react with unreacted H2, and this can also compensate for extreme length limitations and can have a higher volume percentage of NF3. A higher NF3 volume percentage results in a higher etch rate and a larger throughput, and it is seen that a purge channel is provided in the upper section 38 of the isolator 25, but may also be used to purge A gas stream is introduced into more than one of the upper purge spaces 88. The purge passage flows into the upper purge space 88 to create a pressure differential in the region of the top surface 30 that is wrapped by the upper purge space 88, and thus between the top surface 30 and the edge region 33 of the wafer 26 to be processed. A barrier. The upper purge space 88 and the top surface 30 of the wafer 26 are separated by an inner baffle 20 100, and the inner sill 100 extends along the inner periphery of the upper purge space 88 and is separated from the wafer 26 by 0.30. A gap of 0.80 mm. An outer baffle 104 extends along the outer periphery of the upper purge space 88 and is separated from the wafer 26 by a gap of 0.50 to 1.10 mm. As shown, the outer baffle 104 is wider and closer to the top surface 3 of the wafer 26 than the inner deck. This facilitates 14 200807522 by forming a pressure around the inner baffle 100 to bias a flow of purge gas into the processing region of the isolator 25 to form a portion of the wafer 26 during processing. Pressure causes the barrier. A second purge passage 108 is disposed in the lower section 39 of the isolator 25 and is coupled to the purge gas source 96 by the purge gas line 94. The second purge passage 108 is for delivering purge gas to the lower purge space 114 and is similar to the upper purge space 88 which extends from or near the edge region 33 of the wafer 26. Below the bottom surface 32 and extending through the bottom surface 32 to another location at or near the edge of the wafer 26. Similar to the 10 upper purge space 88, the remaining purge space 114 is disposed between the lower inner baffle η2 and the lower outer baffle 118. Further, the lower purge space ι14, together with the lower inner side fence 112 and the lower outer side fence 118, deflects the purge gas flow in a direction passing through the lower inner side plate 112 and passing through the bottom surface 32. The wafer holder 28 can be moved by the module 27 in the r-θ-ζ or xyz_e direction to position the wafer 26 and rotate it in the slot of the spacer 25 formed between the upper portion 38 and the lower portion 39. . Alternatively, the isolator 25 structure can also be moved towards r and the clamp moves toward the jaws and z. Once positioned, the distance between each side of the wafer 26 and the upper segment 38 or lower segment 39 is 0.30 to 0.80 mm. The slot opening area of the wafer is 124.20 to 216.20 mm2, and has a groove 26 of the wafer 26, a hole opening area of 147.20 mm2, and a vent hole width of 93.〇mm. A gas diffuser 24 extends into the processing chamber 22 and provides an inert gas or oxygen-containing gas stream to the processing chamber 22, which is typically a showerhead structure and is coupled via a diffuser 24 gas line 148 to The purge gas source 96. 15 200807522 The exhaust space 4i and the exhaust portion % are another part of the adjustment flow system for preventing the reactive species from moving out of the treatment region, and the exhaust portion % generates a negative pressure in the exhaust space 41, The reactive species gas and the inert gas, process byproducts, and condensate are pumped away from the processing zone and 5 is prevented from moving into the component regions of the wafer 26. A heating element 122 is coupled to a heating power source 126 by a heater wire, and the heating element 122 heats the isolator 25 and heats the wafer 26 to a lesser extent, while heating the isolator 25 to prevent corrosion of the isolator 25 It is necessary to introduce contaminants into the gas condensate of the treatment zone. The nozzles of the edge region processing system 20 including the first nozzle 45 and the second nozzle 49 are made of sapphire, and the sapphire has the advantage of being non-reactive with chemicals made in the substrate processing. This is necessary because the processing of the semiconductor substrate requires microbial contamination analysis in parts per million and the acceptable added value for the substrate is less than about 1 〇 1 G atom 15 / cm 2 . In addition, for those larger than about 0.1 microns, the particle addition value to the substrate should be zero. In many cases, it is also desirable to achieve a laminar gas flow from the nozzles, which requires setting the nozzle aspect ratio to be greater than or equal to l〇x length to diameter ratio. For some reactive gases, an aspect ratio greater than 40: 1 or 20, preferably 80: 1 is required. The inner diameter of the nozzle is approximately 0.254 to 0.279 and requires a uniform smooth nozzle bore length of approximately 2.50 mm. Although the nozzles of the separator 25 including the first nozzle 45 and the second nozzle 49 are at an angle of ~80 degrees and ~45 degrees with respect to the wafer plane as described above, it is preferable to face the wafer plane in different directions. In order to carry out the treatment including etch 16 200807522 engraving or depositing a film. In operation, a wafer 26 is centered on the wafer holder 28, and then the wafer holder 28 positions the wafer 26 in the slot of the isolator 25 and is located in the upper segment 38 and the lower segment 39. Between, for processing. The mobile 5 system 27 then rotates the wafer holder 28 and rotates the wafer 26. Next, an inert gas or CDA is allowed to flow from the purge gas source 96 into the upper purge space 88 and the lower purge space 114. The inert gas or Cda flows into the upper purge space 88 and the lower purge space 114 at a rate of 100 sccm to SOOOsccm, and may also allow an inert gas or CDA to flow into the processing chamber 22 via the gas diffuser 24 10, and the gas is A flow rate of 500 sccm to 1000 sccm flows into the processing chamber 22. Heating element 122 is then actuated to heat the wafer top surface 30. This optional step is to prevent vapors, such as water vapor, which are by-products of the chemical reaction from condensing on the top surface 3 of the wafer. Coagulation can be prevented by heating the dome surface 30 of the crystal 15 to a temperature equal to or greater than the boiling point of the by-product of the reactant, for example, heating the top surface 3 of the wafer to more than i〇〇〇c to prevent condensation of water. . Alternatively, surface heating of wafer 26 may be provided by a heated substrate holder 82, by infrared energy directed toward the periphery of the wafer, or by other sources of heat such as flame. 2〇 Next, the exhaust system 56 is actuated to extract gas and process by-products including condensate via the exhaust space 41. Then, the reactive species 射3〇 is emitted by the first nozzle 45 and the second nozzle 49, and the ignition power source 126 activates the cleaning ignition system 78' and the first gas line 93 and the second gas line 98 are opened to allow hydrogen gas to Nitrogen trifluoride gas flows into the nozzle assembly 84 and passes through four 17 200807522 nozzles 84. The reactive species (or gas if a flame is burned) flows through the nozzles at a rate of 375 sccm to 475 sccm, and the reactive species 130 impinge on the edge region 33 of the wafer 26 as the wafer 26 rotates. on. The reactive species 130 reacts with a thin film or contaminant in the edge region 3 of the wafer 26 to produce a reaction byproduct 66. Also, other nozzle configurations can be used. For example, see Figures 9A-9C. The locations of the first processing nozzle 45 and the second processing nozzle 49 include the reactive species 130 to "surround" the top bevel of the wafer 26. , crown, bottom bevel. The reactive species 130 can exit the separator, 25 by utilizing an inert gas flow that acts simultaneously with drawing a gas into the exhaust space 41 and drawing a pressure differential into the exhaust system 56 10 . The inert gas forms a press barrier wall surrounding the processed edge region of the wafer in the upper purge space 88 and the lower purge space 114 and the inner baffle member 61 cooperating with the outer baffle member 63 will be inert The gas stream is biased toward the processed region of the wafer 26. Reaction by-products resulting from the reaction of the reactive species 130 with the film on the surface of the wafer 26 will be drawn away from the treated region of the wafer 26 and into the exhaust space 41. As such, reactive species 130 and reaction byproducts 142 can advantageously be confined to the edge regions of the wafer 26 and prevented from moving into other regions of the wafer 26 to damage the wafer constituent elements. In addition, the negative pressure generated by the venting space 41 biases the airflow away from the central portion of the wafer 26. As the wafer 26 rotates, the wafer holder 28 moves relative to the nozzle assembly 84 and the combustion flame through the top surface 3 of the wafer. Therefore, the desired portion of the top surface 30 can be treated. Processing includes removing a film as previously described for the substrate processing method, for example, dioxide chopping or ruthenium. 18 200807522 After the wafer has been processed, the first gas controller 102 and the second gas controller 106 are turned off. At the same time, the third gas controller 49 is opened to allow argon or CDA to enter the delta edge nozzle assembly 84 and pass through the first fish second nozzles 45, 49 to "blow out" the combustion flame. In addition, if the εμ〇 or electric 5 force is interrupted, the controller 140 can also stop the nozzles from blowing. Also, if the cover is opened, or if control air loss occurs, the controller 52 can transmit pressure due to low gas. And annihilating the flame. In addition, most of the η2 sensors are consuming with the controllers, and if the value of Η2 in the chamber 22 exceeds a predetermined value, the % sensor will cut the system or An alarm signal is issued. After the process chamber 22 evacuates the process gas and by-products, the wafer 26 is removed. The processing of the edge region 33 of the entire wafer can be accomplished by a single rotation of the wafer 26. Alternatively, more than one rotation may be produced and more than one treatment including deposition and etching may be performed. After the reactive species ceases to flow, the inert gas continues to flow until the processing chamber 22 sufficiently withdraws the other gas 15 and the condensate. Next, the heating element 12 is turned off. 2 and the inert gas or CDA gas from the purge gas source 96 ceases to flow, and the wafer 26 is removed and replaced with another wafer for processing. The foregoing system 20 is adapted to the method of using the system. Etching a target film, including, but not necessarily limited to, a button and tantalum nitride; an interlayer dielectric; 20 a backside polymer; and a photoresist edge ball. Figure 2 is a system of the first embodiment The top view 'shows the isolator 25 and associated nozzle assembly 84, FTIR system 212 and heating element 122. The mobile system 27 and labyrinth seal 70 and micrometer 15 are also shown, and the wafer 26 utilizes the The movement of the wafer holder 28 is moved by the mounting position 19 200807522 134 to the processing position 136. Figure 3 shows the exchange/centering position 丨 34 and the processing position 136 of the R-Ζ-θ stage, and the maze is also displayed therein. The seal 7 is opposite to the processing chamber and the clamp mandrel 60. The vacuum of the labyrinth seal 70 is provided by a vacuum pump 31 or 5 other suitable vacuum generators, and the computer control of the vacuum can be utilized. Electronic mass flow Or the pressure controller is coupled to a venturi vacuum generator. The vacuum for the wafer clamp clamping force is also provided by a vacuum pump 31, and it has been found that the pressure differential is the most important parameter determining function of the seal. A spacing between 10 120 μm and 500 μm between the sealing plate 74 and the bottom surface 76 of the processing chamber 22 is also important. The spacing of the moving 'R-axis' is the spacing from the 2-axis. When operating with appropriate conditions 'δ The air leakage rate of the sea seal is &lt;i 〇xi〇_6atm_cc/s, and this; the leak rate is equal to the leak rate of the ring seal interface. It should be noted that the 0 ring interface is currently not found. Accepted because they produce unwanted 15 particles. Next, the gap value in the range of 127 μm to 508 μm was measured and found to maintain an appropriate pressure difference. Since the mass flow size is greatly increased as the gap is increased, a practical limit of 254 {im is set, and the tolerance of the vehicle is set to 127 μm. I have found that the minimum pressure difference between the sealed vent and the process chamber 22 is water column, and a larger pressure difference can be used and the limit is practically unknown. The pressure difference between the process chamber and the atmosphere should be at least _〇·4 water column pairs and this causes the pressure difference of a sealed exhaust gas to the atmosphere to be at least -2.4 water column alpha. Figure 4Α-4 shows a side and top view of the labyrinth seal 7〇 in relation to the process chamber 22 and the movement 20 200807522 system 27, wherein the vacuum channel seals the lateral (R-axis) movement and the passage 79 seals vertically _ ) and rotate the moving component. Each vacuum channel is connected to an independent control vacuum generator or pump through a pipeline. Please note that the labyrinth seal plate 74 is made of Gang or 316 series non-mine 5 steel, and the corrosion resistance is available by electropolishing. After finishing with the purification, the metal finishing process is enhanced. ^ Please refer to FIG. 1_96 again, the substrate processing method of the present invention is implemented in an example of a high oxygen environment 13 comprising a hydrogen field 2) and a nitrogen atom (NF3 as a non-oxygen "oxidant". The ignition ignites a 10 combustion flame 12 formed by the gaseous reactants. Although CDA is shown, other oxygen-containing gases can also be used. A mixture of gaseous reactants passes through the torch nozzle 45 before being ignited into the combustion flame 12, and the combustion flame 12 impinges on a substrate surface 18. The gaseous reactants react in a combustion flame and form gaseous hydrogen fluoride (HF) (a reactive species) and gaseous nitrogen (N2) emitters, and the following chemical equation 15 illustrates a stoichiometric mixture of gaseous reactants (3: 2 Mo Ear ratio) produces hydrogen fluoride and gaseous nitrogen: 3 % (gas) + 2 NF 3 (gas) ~ &gt; 6 HF (gas) + N 2 (gas) • Advantageously, this reaction is carried out essentially at atmospheric pressure. As such, viscous (rather than molecular) flow properties can be used to accurately treat portions of the substrate surface 18%, 20 and reduce exposure of other substrate regions to the reactive treatment. Although the foregoing description is a molar ratio of 3:2, it is also possible to use a lower or lower ratio depending on the desired result. In addition, this reaction is not produced by a plasma-producing ion-generating place. We believe that a plasma is a collection of discharge particles, and the long-distance electromagnetic field generated by the discharge particles such as 21 200807522 acts on the particles and influential. At the same time, it is believed that the combustion flame 12 is substantially free of ionic species and, therefore, there is no risk of ion damage to the substrate. H2 and the just exothermic chemical reaction will generate a large amount of heat, and this effect 5 makes the HF of a small amount of highly reactive species can be generated by the energy exhibited by the generated temperature, and then the high temperature will substantially increase the reaction rate to produce enthalpy. The high side speed, therefore, can result in a higher throughput. According to the following full reaction and using the hydrogen fluoride, a magnet dioxide film can be surnamed: 1〇4HF (gas) + 2Sl02 (solid) 4 traces 4 (gas) + 2H2 〇 (vapour) sorrow ruthenium tetrafluoride and water vapor The cerium oxide film is removed, and this reaction advantageously changes the dioxide from a solid to a gaseous by-product that can be easily withdrawn. Gaseous hydrogen fluoride will also etch the surface of the substrate, and the ruthenium etching follows the total reaction of 15: 4HF (gas) + Si (solid) - SiF4 (gas) + 2H2 (gas) In this reaction, gaseous tetradymium tetrafluoride Gaseous hydrogen leaves the surface of the crucible substrate, and this reaction causes the stone on the surface of the substrate to change from a solid to a gas by-product that can be easily withdrawn. 20 Similarly, a button film can be etched by the following full reaction: 10HF (gas) + 2Ta (solid) - &gt; 2TaF5 (gas) + 5H2 (gas) In this reaction, the gaseous pentafluoride button and gaseous hydrogen leave the The surface of the crucible is 'and this reaction causes the crucible on the surface of the substrate to change from a solid to a gaseous by-product that can be easily withdrawn. For this reaction, the use of a 〇2+H2 22 200807522 flame to preheat water is necessary to prevent condensation of the reaction product on the wafer. The organic and polymeric films can also be removed by the aforementioned chemical means, but in some cases this becomes less desirable because of the choice of Si and Si〇2. For example, when it is necessary to etch an oxide without etching Si, Si 〇 2 may be etched instead of Si by the aforementioned chemical method. By first exposing an etched range to an oxygen-rich hydrogen-rich flame, the passivation of the exposure relative to the etching chemistry can be enhanced. Next, the etched range is exposed to the combustion flame of H2 and NF3 to engrave the oxide. 10 Other necessary non-oxygen oxides required for reaction with the combustion flame for substrate etching include fluorine (F2), chlorine (C!2), and chlorine trifluoride (C1F3). The reaction of hydrogen and fluorine in a combustion flame is as follows: % (gas) + F2 (gas) - 2HF (gas) Similar to the combustion flame of H2 and NF3, the obtained hf reactive species 15 is as necessary as described above. Engraving agent. The reaction of hydrogen and chlorine in a combustion flame is as follows: Η! (gas) + Cl2 (gas) - » 2 HCl (gas) The reaction of hydrogen with chlorine trifluoride in a combustion flame is as follows: 43⁄4 (gas) + 2 ClF3 ( Gas)~&gt;6HF(gas)+2HCl(gas) 2〇In the above-mentioned combustion flame reaction, when a material which cannot be easily etched by fluorine is present in the film layer, the obtained hydrogen chloride-reactive species can be advantageously used. Carry the last name. This includes a film layer containing aluminum, and hydrogen chloride as a reactive species is etched as follows: 2A1 (solid) + 6 HCl (gas) - &gt; 2 AlCl 3 (gas) + 33⁄4 (gas) 23 200807522 Hydrogen chloride is etched as follows 矽:

Si(固體)+4HCl(氣體)3Sicl4(氣體)+2¾(氣體) 氯化氫如下地蝕刻二氧化石夕:Si (solid) + 4 HCl (gas) 3 SiCl 4 (gas) + 23⁄4 (gas) Hydrogen chloride etches the dioxide as follows:

Si〇2(固體)+4HCl(氣體)^SiCl4(氣體)+2H20(蒸氣) 5 三氟化氯表示有一混合蝕刻化學方式,其中所產生的 是兩氟與氯系蝕刻劑反應性物種。通常,當該膜積層中存 在有多數材料時這化合物與另一含氟氣體(如NF3或CF4)結 合或以不同比例與CL一起使用,因此需要兩氟與氯系化學 物進行去除處理。 10 以上所示之化學方程式是在該燃燒火焰内與在該基板 表面上發生之真正反應的簡化觀念,且發生之反應化學相 當複雜,並且會產生多數中間產物與最終反應產物。 一噴嘴總成84係被一支持構件46固持在一晶圓26上 方且5亥晶圓26被扣持在該基板固持器82上。四個噴嘴45 15設置在該喷嘴總成84中,且在處理時,該喷嘴總成84保持 與該晶圓頂表面30分開〜1.5mm之距離。 一氫氣源與三氟化氮氣體源55利用一第一氣體管線48 與第二氣體管線53並透過一第一氣體控制器1〇2及第二氣 體控制器106連接至一共通混合氣體管線11〇,且該共通混 20合氣體管線110連接至該噴嘴總成84以組合與混合!!2與 NR。一排氣穴116位在靠近該基板固持器82處以排出氣體 與反應副產物,且該排氣穴利用一空間67連接於一吹風裝 置124 ’並且該排氣穴116透過該吹風裝置124將氣體與反應 副產物抽出該處理室22。 24 200807522 並透在施财,—氬氣源96利用1三氣體管線m 、,過帛二耽體控制斋49連接至該處理㈣。在另—奋 施例中,-CDA(清潔乾空氣)或含氧氣體72,利用 ^ 體管線132並透過—第三氣體控制⑽連接至該處= 該氬或氣體源131亦利用—第四氣體管線m並透 過一第三氣難㈣懈接至共觀合氣體管線⑽,且一Si〇2 (solid) + 4 HCl (gas) ^ SiCl4 (gas) + 2H20 (vapor) 5 The chlorine trifluoride represents a mixed etching chemistry in which a fluorine- and chlorine-based etchant-reactive species is produced. Generally, when a plurality of materials are present in the film layer, the compound is combined with another fluorine-containing gas (e.g., NF3 or CF4) or used in a different ratio with CL, and thus a removal treatment of the fluorine-containing and chlorine-based chemicals is required. The chemical equation shown above is a simplified concept of the true reaction occurring within the combustion flame with the surface of the substrate, and the reaction chemistry that occurs is quite complex and produces most intermediates and final reaction products. A nozzle assembly 84 is held by a support member 46 over a wafer 26 and the 5 wafers 26 are held on the substrate holder 82. Four nozzles 45 15 are disposed in the nozzle assembly 84, and the nozzle assembly 84 remains at a distance of ~1.5 mm from the wafer top surface 30 during processing. A hydrogen source and a nitrogen trifluoride gas source 55 are connected to a common mixed gas line 11 by a first gas line 48 and a second gas line 53 and through a first gas controller 1〇2 and a second gas controller 106. That is, and the common mixed 20 gas line 110 is connected to the nozzle assembly 84 to combine and mix!! 2 with NR. A vent 116 is located adjacent the substrate holder 82 to vent gas and reaction byproducts, and the vent is connected to a blower 124' by a space 67 and the gas is passed through the blower 124 The processing chamber 22 is withdrawn from the reaction by-product. 24 200807522 And through the application of wealth, the argon source 96 is connected to the treatment (4) by using a 13 gas line m, and a sputum control unit 49. In another embodiment, -CDA (clean dry air) or oxygen-containing gas 72 is connected to the gas line 132 through the third gas control (10) = the argon or gas source 131 is also utilized - fourth The gas line m is loosened to a co-observation gas line (10) through a third gas (4), and one

定位在靠近該噴嘴總成84處之點火系統78姻電細連接 至一點火電源126。 在操作時,機械手臂由前方開口統一標準容器(F0UP) 10卸載晶圓且將晶圓放在-預對齊器(圖未示)上。—旦該預對 齊路控完成後,該機械手臂由該預對齊器取回晶圓且將它 放入該處理室22中並放在多數頂銷16上。晶圓失具2_z轴 向上移動且由頂銷16將晶圓26升起並定位該晶圓邊緣,以 利用雷射測微計15進行測量。接著,如上述般計算晶圓中 15心偏移方向與偏移量,再轉動晶圓26以將偏移方向齊該V 軸。然後,使晶圓夾具28沿,z,軸下降而使晶圓返回頂銷16 上。該晶圓移動系統27使該夾具總成以偏移量之增量於,r, 軸上移動,以相對該晶圓26將該晶圓夾具28居中。接著, 該移動系統27沿,z’轴上升,使晶圓由頂銷16升高。然後, 20重新測量該邊緣位置以達成居中之目的,如此,該晶圓便 可如前述般進行同心處理操作。 一加熱元件122定位在靠近欲處理晶圓之區域處,且該 加熱元件122(如第5圖所示)是一紅外線(IR)或雷射二極體 加熱器,並且利用一加熱線87連接至一讯加熱電源〗25。在 25 200807522 一較佳實施例中,該加熱元件122是一光纖耗合雷射二極體 陣列,且該光纖電纟覽可以傳送在一位於遠處之雷射二極體 總成發出之高功率光。這光可加熱該晶圓26,如在名稱為 “Laser Thermal Processing with Laser Diode Radiation”之美 5國專利申請案第2005/0189329號中所揭露者,且該美國專 利申請案在此加入作為參考。 第6A至6F圖顯示該等喷嘴45、49相對於該晶圓26之斜 面邊緣的位置。藉由使該等噴嘴之角度交錯,可以適當涵 蓋該晶圓邊緣之特殊區域的邊緣。依此,依據欲去除之缺 10 陷或塗層,可以使用各種喷嘴構形。 請參閱第7至8G圖,一如透過化學蒸氣沈積(CVD)或物 理蒸氣沈積(PVD)沈積之膜延伸在一如一晶圓26上成為一 薄膜129。該薄膜129由該晶圓26之頂表面延伸通過該晶圓 26之頂斜面、冠部及底斜面,且前述系統2〇可以有利地用 15 來處理在晶圓26上之薄膜129,並得到如第8B圖所示之晶圓 26截面形狀。 請參閱第7與8C圖,一完全覆蓋薄膜128由該頂表面延 伸通過該晶圓26之頂斜面、冠部及底斜面,且具有這截面 形狀之薄膜可包括例如熱Si02與Si3N4。前述系統20之實施 2 0 例可用以處理在該晶圓2 6上之完全覆蓋薄膜12 8,並得到第 8D圖所示之晶圓26截面形狀。 請參閱第7與8E圖,一背侧聚合物薄膜130在或靠近該 頂斜面處延伸通過該冠部之至少一部份而到達底斜面且在 該晶圓26之底表面上。前述系統20之實施例可用以處理在 26 200807522 該晶圓26上之背側聚合物薄膜13〇,並得到第gF圖所示之晶 圓26截面形狀。 以下请參閱弟9A-9C圖’邊緣區域處理系統2〇,之另一 實施例(“第一另外系統,,)使用另外的第一與第二喷嘴45、 5 49。在另外的喷嘴構形中,該第二噴嘴使來自第一氣體之 反應氣體“彎曲”環繞該斜面邊緣。 第9A圖顯示一 657140。噴嘴構形,且這構形使欲產生之 反應氣體%繞該晶圓26斜面。四個喷嘴45、49之各喷嘴係 由藍寶石構成,且具有一〇.254mm内徑及在出口端處1〇 :工 10與80 : 1之間的縱橫比。四個喷嘴45、49之各喷嘴係被壓入 欲合於該喷嘴總成84中,且該等噴嘴被壓入多數切入不鐘 鋼喷嘴總成84中之緊密配合公差内孔。喷嘴直捏是 、+_mm、__咖,且在噴嘴總細中用以 納該藍寶石喷嘴之内孔直徑是1 576mm、刊刪随、 15 -0侧丽。這篏合之公差是重要的,因為在這範圍之後合 可於僅在該不鏽鋼嘴嘴總成84產生彈性變形之情形下達成 氣密密封。如此,可在不會於處理時產生微粒之情形下達 成良好密封。在這構形中,使用—破壞喷射流89來確使該 火焰不會與該排氣系統56互相作用。此外,一下槽^可確 20使反應物不會通過該隔離器而影響背面。 第9A圖顯示在某些處理條件下,火焰輸出會衝擊至該 排氣或隔離器結構之某些部份上。雖然下槽51氣體通常可 用以防止反應性氣體朝上游流動,但是,在某些處理條件 下,該等氣體仍會被迫流向該晶圓失⑽。如第糊所示, 27 200807522 利用一破壞喷射流89可減少或消除反應性氣體衝擊。此 外’通過該背側面之氣流使反應產物不會移動至該晶圓背 面0 雖然在前述實施例中使用NF3作為氧氣氧化劑,但是前 5 述非氧氧化劑亦適用於較佳實施例中。此外,用以依據前 述方法隔離與處理一晶圓的其他實施例係揭露在2〇〇5年9 月 19 日名稱為“Method and Apparatus for Isolative Substrate Edge Area Processing”之美國專利申請案第11/23〇,263號 中’且运申請案之内容在此加入作為參考。 10 利用H2與NF3氣體混合物而由基板上去除如氧化矽等 介電薄膜係以氫分量在0.6至0.7之範圍内來實施,例如,如 果總流量之800sccm,則%流將在480sccm至560sccm之範圍 内,且NF3流在320sccm至240sccm之範圍内。當周圍存在氧 時’可使用IR預熱來避免燃燒產物凝結在該基板上。 15 由該基板之靠近邊緣區域去除钽係利用類似於介電體 去除之詳細内容者之蝕刻喷嘴構造來實施,且總氣體流大 約是800sccm,而Η:分量在0.6至0.7之範圍内。該主要鈕蝕 刻產物是具有〜230°C沸點之TaF5,且在蝕刻區域中之基板 表面溫度必須保持在大約這溫度,以防止該鍅刻產物凝 20結。這可利用另一定位成可緊接衝擊該蝕刻火焰之前便將 一火焰衝擊在該基板上燃燒火焰噴嘴(圖未示)而輕易地達 成,且這預熱喷嘴釋放出最好範圍在〇·5至〇·8内之出與仏 火焰,並且相對總流量之單一噴嘴之氏分量為〜4〇〇sccm。 钱刻該晶圓26之邊緣區域係利用前述基板邊緣處理裝 28 200807522 5 • 10 置loo與絲板處理方法以_加速之速度進行,該晶圓26之 邊緣部份的侧速度可以依據考慮暴露寬度、晶圓周緣與 轉動速度來計算。例如,考慮_具有之2,_Asi〇2且以2啊 轉動之200mm周長的晶圓,並且在該邊緣區域上之义〇2薄 膜要在一次轉動後完全去除。若在晶圓邊緣上射出之燃燒 火焰(使用一 0.256mm噴嘴内徑)具有5mm之保守暴露寬 度’則可計算出暴露比率為 5mm/(628mmx2rev/min)=0.004min/rev。接著可藉由將該 2,00〇A/rev去除除以該暴露比率來估算該姓刻速度,即, 2,000人/rev/0.0O4min/rev=500,000A/miiiSiO2去除。如果假設 為一較小之2mm暴露寬度,則該去除速度將變成 l,256,00〇A/min。依據這些考慮與假設,可以大約為 3xl06A/min之速度蝕刻一多晶矽薄膜;以大約為 4.6xl06A/min之速度蝕刻一光阻薄膜;且以大約為 15 lxl06A/min之速度姓刻一钽薄膜。這是一相當高之餘刻速 度,因此可以高速度處理晶圓通過量。 一組態適用於來自在晶圓頂表面與邊緣區域上之旋塗 膜的EBR,且這組態使用由H2與〇2之燃燒火焰所產生的反 應性氣體,以去除該光阻。本發明所揭露的是一在用於光 20 阻EBR之氣體混合物中使用小比例之非氧氧化劑NF3的最 適當方法,且這添加將增加該燃燒火焰溫度與化學反應 性。這些對燃燒火焰混合物之修改實質上改善了钱刻介面 之清晰度且增加轉變至全膜厚度之斜率,兩者均是極必要 之改良。 29 200807522 對於在如有機矽化物、無機聚合物、及旋塗玻璃材料 專H2 · 〇2為主之化學物具有低或極小餘刻速度之旋塗膜而 言,可添加更多量之wNF3之含氟氣體,以再增加蝕刻速 度。在足實施例中,使反應性氣體供應至該晶圓之靠近邊 5緣區域係利用揭露在加入作為參考之“Meth〇d andThe ignition system 78 positioned adjacent to the nozzle assembly 84 is finely coupled to an ignition source 126. In operation, the robot arm unloads the wafer from the front opening unified standard container (F0UP) 10 and places the wafer on a pre-aligner (not shown). Once the pre-alignment is completed, the robot arm retrieves the wafer from the pre-aligner and places it into the processing chamber 22 and places it on the plurality of top pins 16. The wafer break 2_z axis moves up and the wafer 26 is lifted by the top pin 16 and the wafer edge is positioned for measurement using the laser micrometer 15. Next, the 15 center shift direction and the offset amount in the wafer are calculated as described above, and the wafer 26 is rotated to align the offset direction with the V axis. The wafer holder 28 is then lowered along the z, axis to return the wafer to the top pin 16. The wafer moving system 27 moves the fixture assembly in increments of an offset, r, on the axis to center the wafer holder 28 relative to the wafer 26. Next, the moving system 27 is raised along the z' axis to raise the wafer from the top pin 16. Then, 20 re-measures the edge position to achieve centering, so that the wafer can be concentrically processed as described above. A heating element 122 is positioned adjacent the area of the wafer to be processed, and the heating element 122 (shown in FIG. 5) is an infrared (IR) or laser diode heater and is connected by a heater wire 87. To a message heating power 〖25. In a preferred embodiment of 25 200807522, the heating element 122 is a fiber-optic consumable laser diode array, and the fiber optic cable can be transmitted at a remote laser diode assembly. Power light. This light can be used to heat the wafers 26 as disclosed in U.S. Patent Application Serial No. 2005/0189329, the disclosure of which is incorporated herein by reference. Figures 6A through 6F show the position of the nozzles 45, 49 relative to the beveled edge of the wafer 26. By staggering the angles of the nozzles, the edges of the particular area of the edge of the wafer can be suitably covered. Accordingly, various nozzle configurations can be used depending on the missing or coating to be removed. Referring to Figures 7 through 8G, a film deposited by chemical vapor deposition (CVD) or physical vapor deposition (PVD) is stretched onto a wafer 26 as a film 129. The film 129 extends from the top surface of the wafer 26 through the top bevel, crown and bottom slope of the wafer 26, and the system 2 can advantageously treat the film 129 on the wafer 26 with 15 and obtain The cross-sectional shape of the wafer 26 as shown in Fig. 8B. Referring to Figures 7 and 8C, a full cover film 128 extends from the top surface through the top bevel, crown and bottom bevel of the wafer 26, and the film having the cross-sectional shape may comprise, for example, thermal SiO 2 and Si 3 N 4 . The implementation of the foregoing system 20 can be used to process the fully covered film 12 8 on the wafer 26 and to obtain the cross-sectional shape of the wafer 26 as shown in Fig. 8D. Referring to Figures 7 and 8E, a backside polymer film 130 extends through or near at least a portion of the crown to the bottom slope and on the bottom surface of the wafer 26. Embodiments of the foregoing system 20 can be used to process the backside polymer film 13A on the wafer 26 at 26 200807522 and to obtain the cross-sectional shape of the crystal 26 as shown in the gF. Please refer to the following section 9A-9C 'Edge Area Processing System 2', another embodiment ("first additional system,") using additional first and second nozzles 45, 5 49. In another nozzle configuration The second nozzle "bends" the reaction gas from the first gas around the bevel edge. Figure 9A shows a 657140. The nozzle configuration, and this configuration causes the reactive gas to be produced to be around the wafer 26 bevel Each of the four nozzles 45, 49 is made of sapphire and has an inner diameter of 〇.254 mm and an aspect ratio at the outlet end: between 10 and 80: 1. Four nozzles 45, 49 Each nozzle is pressed into the nozzle assembly 84, and the nozzles are pressed into the tight fit tolerance bores of the majority of the cut steel nozzle assemblies 84. The nozzles are pinched, +_mm, __ The coffee, and the diameter of the inner hole of the sapphire nozzle used in the total fineness of the nozzle is 1 576 mm, and the tolerance of the sapphire is 15 -0. This tolerance is important because it is only after this range. A hermetic seal is achieved in the event that the stainless steel nozzle assembly 84 is elastically deformed. A good seal is achieved in the event that particles are produced during processing. In this configuration, the use-breaking jet 89 is used to ensure that the flame does not interact with the exhaust system 56. Further, the lower groove can be made 20 The reactant does not affect the back side through the separator. Figure 9A shows that under certain processing conditions, the flame output will impinge on some portion of the exhaust or isolator structure. Although the lower tank 51 gas is typically used Prevent reactive gases from flowing upstream, but under certain processing conditions, the gases will still be forced to flow to the wafer (10). As indicated by the paste, 27 200807522 can reduce or eliminate the reaction by using a disrupted jet 89 Sexual gas impact. In addition, the reaction product does not move to the back side of the wafer through the gas flow on the back side. Although NF3 is used as the oxygen oxidant in the foregoing embodiment, the first five non-oxygen oxidants are also suitable for the preferred embodiment. In addition, other embodiments for isolating and processing a wafer in accordance with the foregoing method are disclosed on September 19, 2005, entitled "Method and Apparatus for Isolative Substrate E. U.S. Patent Application Serial No. 11/23, filed on Jun. No. No. No. No. No. No. No. No. No. No. No. No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No No The hydrogen component is carried out in the range of 0.6 to 0.7, for example, if the total flow rate is 800 sccm, the % flow will be in the range of 480 sccm to 560 sccm, and the NF3 flow will be in the range of 320 sccm to 240 sccm. 'IR preheating can be used to prevent combustion products from condensing on the substrate. The removal of the tantalum from the near edge region of the substrate is carried out using an etch nozzle configuration similar to that of the dielectric removal, with a total gas flow of about 800 sccm and a Η: component in the range of 0.6 to 0.7. The primary etch product is TaF5 having a boiling point of -230 ° C, and the substrate surface temperature in the etched region must be maintained at about this temperature to prevent the etched product from condensing. This can be easily achieved by another burner positioned to burn a flame nozzle (not shown) on the substrate immediately before impacting the etching flame, and the preheating nozzle releases the best range. 5 to 仏·8 out and 仏 flame, and the total nozzle flow relative to the total flow of the component is ~4 〇〇 sccm. The edge region of the wafer 26 is processed by the substrate edge processing device 28 200807522 5 • 10 loo and the wire processing method is performed at an accelerated speed, and the side velocity of the edge portion of the wafer 26 can be exposed according to consideration. Width, wafer perimeter and rotational speed are calculated. For example, consider a wafer having a circumference of 200 mm that has 2, _Asi 〇 2 and rotated by 2, and the film of the 〇 2 on the edge region is completely removed after one rotation. If the combustion flame exiting the edge of the wafer (using a 0.256 mm nozzle inner diameter) has a conservative exposure width of 5 mm, the exposure ratio can be calculated to be 5 mm / (628 mm x 2 rev / min) = 0.004 min / rev. The surname velocity can then be estimated by dividing the 2,00 A/rev removal by the exposure ratio, i.e., 2,000 person/rev/0.0O4min/rev = 500,000 A/miii SiO2 removal. If assumed to be a smaller 2 mm exposure width, the removal rate will become 1,256,00 A/min. Based on these considerations and assumptions, a polysilicon film can be etched at a rate of about 3 x 106 A/min; a photoresist film is etched at a rate of about 4.6 x 106 A/min; and a film is etched at a speed of about 15 lx 106 A/min. This is a fairly high speed, so wafer throughput can be processed at high speed. A configuration is applied to the EBR from the spin-on film on the top and edge regions of the wafer, and this configuration uses a reactive gas generated by the combustion flames of H2 and 〇2 to remove the photoresist. Disclosed herein is a suitable method for using a small proportion of non-oxygen oxidant NF3 in a gas mixture for a light-blocking EBR, and this addition will increase the combustion flame temperature and chemical reactivity. These modifications to the combustion flame mixture substantially improve the clarity of the money interface and increase the slope of the transition to full film thickness, both of which are highly desirable improvements. 29 200807522 For spin coatings with low or very low residual speeds for chemicals such as organic tellurides, inorganic polymers, and spin-on glass materials, HNF can be added in larger quantities. Fluorine-containing gas to increase the etching rate. In a preferred embodiment, a reactive gas is supplied to the vicinity of the wafer. The edge region is disclosed in the "Meth〇d and

Apparatus for Isolative Substrate Edge Area Processing” 的發 明來達成。 不需要的介電膜可以由處理中之半導體晶圓的前表面 上去除,且這些膜亦會片狀地剝落並產生導致產率下降之 10缺陷。又,同心處理操作在其中反應性氣體供應必須對準 目標邊緣區域且不會影響該晶圓之元件區域的這些處理中 是重要的。 纽去除之組態類似於前側介電去除模組,但不同點在 於利用一預熱噴嘴到達一較高表面溫度(&gt;230〇c目標),以防 15止TaF5在蝕刻區域中凝結。典型膜去除用之表面溫度預熱 目標是〜120°C且主要是防止來自該燃燒反應之水蒸氣副產 物凝結。 現場使晶圓居中程序通常要花費8至15秒,且這輔助操 作可與氣體流動穩定時間或點火程序重疊。晶圓,z,平面位 20移係在轉動時測得且可以用來標出由於晶圓彎曲或翹曲之 ’z’位移。The invention for Isolative Substrate Edge Area Processing was achieved. Unneeded dielectric films can be removed from the front surface of the semiconductor wafer being processed, and these films will also flake off in a sheet form and cause a drop in yield. Further, the concentric processing operation is important in these processes where the reactive gas supply must be aligned with the target edge region and does not affect the component area of the wafer. The configuration of the neon removal is similar to the front dielectric removal module. , but the difference is that a preheating nozzle is used to reach a higher surface temperature (&gt;230〇c target) to prevent the condensing of TaF5 in the etched area. The surface temperature preheating target for typical film removal is ~120°. C and primarily to prevent condensation of water vapor by-products from the combustion reaction. It takes typically 8 to 15 seconds for the wafer to be centered in the field, and this auxiliary operation can overlap with the gas flow stabilization time or ignition sequence. Wafer, z, The plane position 20 is measured as it is rotated and can be used to mark the 'z' displacement due to wafer bending or warping.

Ta與介電體之處理操作與細節係詳細揭露於在此加入 作為參考之 “Substrate Processing Method and Apparatus Using a Combustion Flame”專利申請案中,且這處理操作可 30 200807522 應用於移除背側聚合物與邊緣球狀物。 依據本發明之原理去除背侧聚合物可以利用四個位在 该隔離結構中之喷嘴來達成,如第9C圖所示,兩喷嘴係定 位成相對該晶圓表面呈45度且另兩個呈1〇5。。該等45。喷嘴 5瞄準背面,而該等1〇5。喷嘴則瞄準斜面。依此方式利用多 . 數噴嘴可增加處理量並且使處理窗口(process window)加 大,且相對該晶圓表面之喷嘴角度是重要的,因為衝擊角 度會影響對表面之流動附著並因此影響反應性物種對表面 _ 之傳送角度。如前所述,一選擇性破壞喷射流89可確使該 10 1〇5°噴嘴不會破壞該排氣結構。在此亦應注意的是在這組 態中,來自該下槽51之氣體可用以“破壞,,該火焰之流動, 以確使它不會與該排氣發生干涉。 通常’隶厚之聚合物位在該晶圓之斜面區域上,因此, 在該105。喷射流中之NF3比例高於瞄準在背面上之較薄聚 15合物的45°喷射流。目前,該方法在各105°(高比例)喷嘴中 使用 210sccmH2、80sccmO2、及 100sccmNF3,且在各45。(低 比例)喷嘴中使用 240sccmH2、12〇SCCm02、及2〇SCCmNF3。 ^ 該等喷嘴係由具有一大約254μηι之ID的藍寶石構成,且具 有大於或等於10 : 1之縱橫比。在處理時使用之轉動速度通 2〇常在1至6RpM之範圍内,且用以防止凝結之表面加熱 (&gt;100°C目標)係利用一光纖耦合雷射二極體陣列達成。 EBR用之化學物依據欲去除之膜來決定,且對去除光 阻而言,可使用 240sccmH2、120sccmO2、及20sccmNF3。 用以去除15,000埃之轉動速度通常是1至3RPM,且該光阻 31 200807522 5 • 10 EBR處理使用兩喷嘴,一者呈45。且另一者呈幻。。當需要杳 除最小邊緣(〜0.5mm)時,僅使用65。噴射流。具有低去除速 度之膜,通常是含矽膜,需要較高爾3比例。用於背側聚合 物之高比例處理是一例(25%NF3),但亦可經常在不添加氧 之情形下使用更高比例至〜50%。 用於去除背側聚合物之喷嘴瞄準係顯示於第9C圖中, 背侧聚合物去除方法與前側膜不同處在於在該邊緣去除邊 界處不需要至到達全膜厚度時之劇烈轉變。多數喷嘴以一 部份重疊之方式使用,以增加處理窗口與去除速度。喷嘴 相對該晶圓表面之角度為45。與65°,且這些角度係利用CFD 模型與實驗值之組合來決定。對於流動附著且因此對於由 該斜面區域有效地移除材料,該等65°喷嘴之位置是重要 的,且這角度可依據邊緣截面形狀而加以最適當化,以達 成最大流動附著。 15 第10圖顯示該居中程序之示意圖,且該雷射測微計15 • u. 之測量窗係由一矩形200表示。一半控150πππ之適當居中晶 圓或圓的邊緣位置係以202顯示,且該晶圓之目標居中位置 是(XC,YC) 〇在兩不同角位置處以虚線顯示一未對齊晶圓, 且在一以204表示之第一位置處,該預居中晶圓已以該Z軸 20 轉動了 Θ1角度,且該晶圓之中心係以(XhYD表示。一以206 表示之第二晶圓位置對應於轉動Θ2角度之晶圓’且該晶圓 之中心係以(X2, 丫2)表示。 第3與10圖顯示來自一具有原點在(xc,Yc)處之參考座 標系統的“Z”軸、“R”軸及Θ角,該邊緣位置測量值與偏移計 32 200807522 算包括以下者·· ι·將θ軸放置在一已知參考位置之R-Ζ-θ階 段;2·轉動Θ且使用雷射測微計15測量晶圓邊緣之徑向位 置;3·測出之半徑配上一圓;4·計算在已知Θ軸與所得配合 圓之中心之間的位置差且產生晶圓偏移之量與角度。 5 該居中例行程序測量並記錄表示邊緣位置之0,Ti,(l...n) 及該雷射測微計15讀數Lb(1···η),且在這應用中,通常 η=50。該晶圓之真正半徑是假設的(1 〇〇mm或i5〇mm),且 利用晶圓缺口位置對Θ加上參考符號。接著,對各資料點計 算以下數值: 1〇 Xi=(R+Li) · cos(Ti) laThe processing and details of the processing of the Ta and the dielectric are disclosed in detail in the "Substrate Processing Method and Apparatus Using a Combustion Flame" patent application, which is hereby incorporated by reference. Object and edge ball. Removal of the backside polymer in accordance with the principles of the present invention can be accomplished by using four nozzles positioned in the isolation structure. As shown in Figure 9C, the two nozzle systems are positioned 45 degrees relative to the wafer surface and the other two are 1〇5. . These 45. The nozzle 5 is aimed at the back, and the 1〇5. The nozzle is aimed at the bevel. Utilizing multiple nozzles in this manner increases the throughput and increases the process window, and the nozzle angle relative to the wafer surface is important because the impact angle affects the flow attachment to the surface and thus affects the reaction. The angle of transmission of a sexual species to the surface _. As previously discussed, a selective destruction jet 89 ensures that the 10 1 〇 5 ° nozzle does not damage the venting structure. It should also be noted here that in this configuration, the gas from the lower tank 51 can be used to "break" the flow of the flame so that it does not interfere with the exhaust gas. The level is on the bevel area of the wafer, so that the NF3 ratio in the jet is higher than the 45° jet aimed at the thiner poly 15 on the back side. Currently, the method is at 105° each. 210sccmH2, 80sccmO2, and 100sccmNF3 were used in the (high ratio) nozzle, and 240sccmH2, 12〇SCCm02, and 2〇SCCmNF3 were used in each 45. (low ratio) nozzle. ^ These nozzles have an ID of about 254μηι. Sapphire composition with an aspect ratio greater than or equal to 10: 1. The rotational speed used during processing is often in the range of 1 to 6 RpM and is used to prevent condensation from heating (&gt;100 °C target) It is achieved by using a fiber-coupled laser diode array. The chemical used for EBR is determined according to the film to be removed, and 240sccmH2, 120sccmO2, and 20sccmNF3 can be used for removing the photoresist. Speed is usually 1 to 3 RPM And the photoresist 31 200807522 5 • 10 EBR processing uses two nozzles, one is 45. The other is magical. When the minimum edge (~0.5mm) needs to be removed, only 65. The jet is used. The speed-removing film, usually containing a ruthenium film, requires a higher ratio of 3. The high proportion of the treatment for the backside polymer is an example (25% NF3), but it can often be used higher without adding oxygen. The ratio is ~50%. The nozzle aiming line for removing the backside polymer is shown in Figure 9C. The backside polymer removal method differs from the front side film in that it is not required to reach the full film thickness at the edge removal boundary. The violent transition. Most nozzles are used in a partially overlapping manner to increase the processing window and removal speed. The angle of the nozzle relative to the wafer surface is 45. and 65°, and these angles are combined with CFD models and experimental values. It is decided that for flow attachment and therefore for the effective removal of material from the beveled area, the position of the 65° nozzles is important and this angle can be optimally tailored to the shape of the edge section to achieve maximum flow attachment. Figure 10 shows a schematic diagram of the centering procedure, and the measurement window of the laser micrometer 15 • u. is represented by a rectangle 200. The edge of the appropriate centered wafer or circle with half control 150πππ is 202. Displayed, and the target centered position of the wafer is (XC, YC) 一 a misaligned wafer is shown in dashed lines at two different angular positions, and at a first position indicated at 204, the pre-centered wafer has The Θ1 angle is rotated by the Z axis 20, and the center of the wafer is represented by (XhYD). A second wafer position, indicated at 206, corresponds to a wafer rotating at a Θ2 angle and the center of the wafer is represented by (X2, 丫2). Figures 3 and 10 show the "Z" axis, the "R" axis, and the Θ angle from a reference coordinate system having an origin at (xc, Yc). The edge position measurement and offset meter 32 200807522 include the following • ι·Place the θ axis at the R-Ζ-θ stage of a known reference position; 2. Turn the Θ and measure the radial position of the wafer edge using a laser micrometer 15; 3. Measure the radius Equipped with a circle; 4· Calculate the position difference between the known Θ axis and the center of the resulting mating circle and generate the amount and angle of the wafer offset. 5 The centering routine measures and records 0, Ti, (l...n) representing the edge position and the reading Lb (1···η) of the laser micrometer 15 and, in this application, usually η =50. The true radius of the wafer is assumed (1 〇〇mm or i5〇mm) and the reference mark is added to the 缺口 by the wafer notch position. Next, calculate the following values for each data point: 1〇 Xi=(R+Li) · cos(Ti) la

Yi=(R+Li) · sin(Ti) lb 其目的是要將由下式產生之偏差平方和減至最小, DHXi+Xcf+d+Y^Rc2 2 其中Xc是X轴中心點,Yc*y軸中心點且是Re假設半 15 徑。使用該高斯-牛頓(Gauss-Newton)法來解開該組線性方 程式,這方法一例揭露在Gander等人發行在BIT, vol.34, 1994, ρρ· 558-578 之“Least-Squares Fitting of Circles andYi=(R+Li) · sin(Ti) lb The purpose is to minimize the sum of squared deviations from the following formula, DHXi+Xcf+d+Y^Rc2 2 where Xc is the X-axis center point, Yc*y The center point of the axis is the half of the path assumed by Re. The Gauss-Newton method is used to solve the set of linear equations. An example of this method is disclosed in Gander et al., BIT, vol. 34, 1994, ρρ·558-578, "Least-Squares Fitting of Circles". And

Ellipses” 中。 如第11圖所示,該系統20可包括一檢查該晶圓邊緣之 20 光學系統264。依此,該光學系統具有至少一可轉動地定位 在該晶圓邊緣四週的放大鏡262。該放大鏡可接收來自該晶 圓邊緣之反射光且將它收入一CCD照像機中,且該放大鏡 最好具有2μπι之解析度並且可偵測到在該晶圓邊緣上之缺 陷及該清潔程序之有效性。 33 200807522 如第12A圖所示,前述系統20去除在該邊緣之底斜面上 的聚合物。此外,如第12B圖所示,該系統可由該晶圓頂部 去除鈕,露出介電表面。另外,該系統可以利用薄膜光譜 反射性。又,該光學系統係揭露在2006年5月2日申請之名 5 稱為 “Substrate Illumination and Inspection System”的美國 專利申請案第11/417,279號中,且該申請案在此加入作為參 考。 如第13至16B圖所示,該晶圓處理系統20包括具有一心 軸60且可使該晶圓於四個移動軸上移動之晶圓移動系統 10 27。依此,該晶圓移動系統27可使該晶圓在一隔離之室22 内朝xyz與Θ方向移動。該隔離之室22具有一底壁162,且該 底壁162形成有一孔164並具有一第一外部抵接表面166。該 逑宮式密封70具有一密封板168,且該密封板168具有一第 一抵接表面170,並且該第二抵接表面170可滑動地抵靠在 15 該第一抵接表面166上。該密封板168更具有一環狀地設置 在該心軸60四週之内孔172,且一第一真空室174形成在該 專第一與第二抵接表面160、170之間。此外,一真空源與 該第一真空室174連接。 第13圖顯示該晶圓處理總成20之一部份的分解圖,其 20中顯示該室22之一部份、該迷宮式密封70及相關隔離器總 成25組件。如圖所示,該迷宮式密封70係由一密封板丨68及 支持板169形成,且該支持板169具有一與該真空室174流體 性地連通之真空通道173,並且該真空室174形成在該底壁 162之第一抵接表面166與該密封板168抵接表面170之間。 34 200807522 圖中亦顯示該心軸60與形成在該密封板168與該底壁162中 之孔172與164的關係,此外亦顯示一裝載位置181與該第二 處理位置186之關係。 如第14A-B與15圖所示,該第一或第二抵接表面166、 5 170可具有一溝槽178,且這溝槽178形成在該等第一與第二 抵接表面166與170間之第一真空室174的一部份。當該心軸 60因致動機構而移動時,這室174可相對該底壁162移動。 在與該内孔172相鄰處,該密封板168可具有第二溝槽 180,且在該第二溝槽180與該心軸60之間形成一第二真空 10 室182。這第二真空室182可以與該真空源176獨立地連接, 且如第15圖所示,該晶圓移動系統27包含一用以透過該移 動系統27固定地固持該晶圓26之晶圓支持夾具28。這晶圓 移動系統27係構成為可使該晶圓26由該裝載位置181移動 至一第二處理位置186,依此,該處理位置可以是一對齊位 15 置或可以定位成與該喷嘴總成84相鄰。 請參閱第16A與16B圖,說明該晶圓移動系統27之操 作。該心軸60係構成為可使該晶圓26朝多數方向由該裝載 位置181移動至該處理位置186。這隔離之室22係設置在該 晶圓移動系統27之至少一部份四週,以在處理晶圓時保護 20 該晶圓移動系統27之機構不受到所產生之反應性氣體影 響。該室22具有底壁162,且該底壁162具有一使該心軸60 可相對該室22移動之長形孔164,並且該底壁162第一抵接 表面166可以位在該室22之外或内表面上。 第17A-17B圖顯示隔離器25之分解截面圖,且隔離器25 35 200807522 Γ4=板216,而該喷嘴板216提供用以 5 10 15 20 ^槽源連結至該下槽51的機構。該噴嘴板216具 有一將該噴嘴總成84之噴嘴可滑動地收納於其中的凹部 =且_部218更具有—收納—供加熱元件122使用之光 ^丨面的弟—凹孔22〇,並且該噴嘴板216可讓噴嘴總成84 在不需完全分解該晶圓處理裝置2()之情形下裝配。如第ΐ7Β 與17C圖所示,該喷嘴板216具有有助於對齊各種組件盘該 隔離1^25之多數孔與固定鎖。依此,可以精確地定位該喷 嘴總成84、加熱元件122與下槽叫體供應管線。 +第似與咖圖顯示多數與一嘴嘴支持構件221連接之 贺嘴45、49,且該支持構件221嵌合在該噴嘴板216之凹部 ⑽中’簡料噴嘴45定位於其適#方向。由於該等喷嘴 45、啊以較佳地以手接管至其燃料源,故利用該支持構 件可簡化安裝且不必對該隔離器進行再加工。 —如第19績丨_所示,該等噴嘴透過多數焊接不錐鋼 管222與該氣體源55連接。為了保持機架穩定性,該氣體源 55以控制器52加以控制。如前所述,該等喷嘴且有一且有 非常高縱橫比之不鏽鋼引入管224,例如,對仏與仏而言, 大於或等於10 : 1之縱橫比是適當的。 緊设置在该噴嘴45之引入部份224之前的是一反吹 閃焰抑制裝置226,且這裝置226是一具有明顯大於該引入 部份224空間之空間的室228。設置在該空間内的是一多孔 不傭鋼構件228 ’且該多孔不鐘鋼構件228作為一可在系统 失效時防止火焰前方向上通過該噴嘴45、49且進入該氣體 36 200807522 源之能量匯座。 如第20A與20B圖所示,該等噴嘴45之縱橫比可以依據 所使用之燃料與氧化劑來改變。因此,當使用高百分比之 NF3作為一氧化劑時,該噴嘴45、49具有一縱橫比大於4〇 : 5 1 ’且最好為80 : 1之不鏽鋼引入部份224。該噴嘴45具有一 不鏽鋼本體225 ’且該不鏽鋼本體225具有一可將該噴嘴45 與支持構件221結合在一起之定位銷227。 設置在該質量流控制器52内的是一通常開啟之閥(圖 未不),且該閥可在電力中斷時將CDA排出至該燃料供應源 10中。此外,如果該系統20需要關閉該等噴嘴45、49,則致 動該通常開啟之閥且讓壓力高於該燃料源壓力之CDA可流 入該等處理喷嘴45,有效地撲滅該等火焰且沒有系統爆炸 之危險。 第21A與21B圖顯示另一種將噴嘴與該隔離器25連接 15在一起之方法,其中顯示一孔232形成在該隔離器25或該喷 嘴板216中。設置在該孔232内的是多數具有獨立喷嘴45之 喷嘴副板234,且這些喷嘴副板234可於前後方向上互相相 對移動,以便在該隔離器25内相對定位該等副板。該等獨 立喷嘴副板234可以互相緊鄰地堆疊在一起,以形成一喷嘴 20 總成84。 第22A與22B圖顯示獨立之喷嘴副板234,且設置在該 等喷嘴副板234之内表面236上的是多數可作為多數流體室 240之溝槽238。這些流體室240與一真空或加壓氣體源(圖 未示)連接在一起,且可用以將可能會在晶圓處理時由該處 37 200807522 理室22漏出之反應性氣體產物分流。由此可知,惰性或含 氧氣體可供應至該噴嘴板,並且再經由該孔232流入該隔離 器。 第22B圖顯示第22A圖所示之喷嘴副板的橫戴面 5圖,如圖所示,如高縱橫比引入部份η#與反吹閃焰抑制裝 置226之結構可以車製於其中。該噴嘴仍定位在—轉盤功 中,以相對該晶圓邊緣再定位該喷嘴。這些構件明顯地減 少該總成之成本且增加整個系統之可靠性。 在操作時,經由該反吹閃焰抑制裝置226,由該質量流 10控制器52將燃料供應至該等喷嘴45。該真空源在真空室中 產生真空,以防止腐蝕性反應性氣體洩漏通過該喷嘴總成 84 ° 第23 A與23B圖顯示一可清淨地點燃該噴嘴總成料之 喷嘴45與49的點火系統78,且該點火系統78具有一光學上 15透明或藍寶石熱體點火器242,並且該熱體點火器242具有 一内凹孔244。該熱體點火器242P高抗化學性,且沒有粒子 形成。一加熱元件246設置在該内凹孔244内,且這可為pt : Rh元素之加熱元件可用以使該熱體點火器快速地上升至一 在4燃料接觸该熱體點火斋242時將點燃一燃料氧化物混 20 合物之預定溫度。 如第23B圖所示,該陶瓷熱體點火器242可以實體地且 光學性地連接於一雷射二極體252。在這構形中,該雷射二 極體252可產生通過該内凹孔244内部之光子,且這些光子 將撞擊該加熱元件246,並因此產生一可靠之點火系統。或 38 200807522 者,该熱體點火器242可於一内或外表面上,以在所考慮之 波長處可增加光子吸收度的材料加以塗布。 設置在該長形凹孔244之遠端處的是該加熱元件246, 且這加熱元件246可以與一電源電氣耦合,而該電源可用以 5提供電流,以加熱該加熱元件。或者,這元件可以感應之 方式加熱。 如第24與25B圖所示,可操作地設置在一點火喷嘴總成 248與該噴嘴總成84之間的是一空氣刀25〇。該空氣刀250與 CDA或惰性氣體源流體性地連通,且該點火喷嘴總成248 10與一燃料源52可操作連接且可如前所述地具有一藍寶石喷 嘴末端252。 在操作時,用以產生一在處理該晶圓26時所需之清潔 火焰的系統包括將該加熱元件246放置在一點火總成78内 且使該加熱元件246發熱,以使該總成78到達一點火溫度。 15 接著使氣體以一第一氣體流量通過一點火噴嘴總成248並 通過該點火總成78而點燃一母火。然後,使一喷嘴總成84 之多數喷嘴通過該母火,以由該等喷嘴點燃多數火焰。在 該噴嘴總成84之多數噴嘴已點燃後,藉由致動該空氣刀250 使一氣壩通過該母火前方。接著,使一不可燃氣體以一第 20 二預定流量通過該點火喷嘴總成248。依此,該第二預定流 量可大於通過該喷嘴之燃料流量,且這可防止反吹入該點 火系統而進入該設備。利用空氣刀250可在不中斷處理火焰 之t月形下使該母火德滅。 請參閱第26圖,其中顯示另一種清潔點火系統。類似 39 200807522 於第23A與23B圖中所示之系統,該點火系統包括一用以將 燃料噴射至該噴嘴總成84附近的噴嘴248。這噴嘴248產生 一由非常高強度雷射256點燃之電漿,且該點火系統可利用 切斷該電漿氣體源或使移開該雷射256而分離。 5 如第27圖所示,光學分析電子裝置208連接於一光纖耦 合器210,且該光纖耦合器210設置在該隔離器25之上段38 中,以接收由該等反應性程序發射光子。該光學分析電子 裝置208可用以觀察與分析反應性程序,以檢測反應性物種 之存在及/或反應性物種之相對濃度。在這特性之另一種模 10式中,可使用光學發射光譜,依據當發生化學反應時所觀 察到將存在該區域中之已钱刻產品及/或反應性物種,推斷 钱刻端點。 一與FTIR控制電子裝置214連接之FTIR氣體分析系統 212與用以利用一FTIR技術分析由該隔離器25排出之氣體 15排出物的排氣系統成一直線,又,來自該FTIR氣體分析系 統212與FTIR控制電子裝置214之資訊被用來決定該反應性 氣體傳送系統之“健康”與狀況且亦可進行端點偵測。對該 FTIR技術而言,該排氣流204流經一含有一紅外線(ir)源與 一偵測器之光學室。一專用控制器與主電腦(圖未示)操作該 2〇 氣體室。 第27圖顯示一使用在第1A圖之晶圓處理系統中的火焰 感測糸統’其中顯示支持具有處理喷嘴45與49之喷嘴總成 84的喷嘴板216。指向該等喷嘴45與49的是一CCD光譜成像 器260,且該光譜成像器可接收來自該等噴嘴衫與奶發射之 40 200807522 火焰的發射物。 第28圖顯示特別相關之光譜的強度圖,依此,該圖顯 示在200至400nm之間的波長。如圖所示,在3〇2與32411111之 間的波長曲線下方依所點燃之火焰數而變化。由此可知, 5藉由分析該光譜輸出,該系統可決定由該系統所產生之火 焰數的品質與量。 利用Η2與Ο2為主之氣體混合物進行火焰感測用之相關 光譜區域係在大約300與325nm之間,且在3〇9nm左右的發 射物係來自一在該火焰中產生之中間〇_H物種。 10 由此可知,本系統之質量流控制器52可以連接至該光 譜成像器260上,因此,在此可知該系統決定一或多個噴嘴 未適當地發射,且該系統將發出錯誤訊號且可使該系統停 機0 前述討論揭露且說明本發明之數個實施例,發明所屬 15 技術領域中具有通常知識者可由這討論、添附圖式及申請 專利範圍輕易得知在不偏離本發明精神與範鱗之情形下, 對本發明進行各種改變、修改與變化。 t圖式簡單説明3 第1A-1C圖是顯示用以同心晶圓處理操作之系統的橫 20 截面圖; 第2圖是顯示一晶圓在一處理室内之交換/居中及處理 位置的俯視示意圖; 第3圖是顯示一晶圓在一處理室内之交換/居中及處理 位置的側視示意圖; 41 200807522 第4A圖顯示一迷宮式密封總成與一處理室及夾具總成 之關係的側視截面圖; 第4B圖顯示一迷宮式密封總成與一處理室及夾具總成 之關係的俯視截面圖; 5 第5圖是第1A圖所示之隔離室的侧視截面圖; 第6 A圖顯示相對一晶圓之邊緣之多數噴嘴本體的俯視 圖, 第6B至6F圖是顯示在一晶圓斜面區域處之傾斜喷嘴的 側視圖; 10 第7至8G圖是預處理與後處理晶圓之橫截面圖; 第9A-9C圖是顯示在一晶圓斜面區域處之另一噴嘴結 構之側視圖; 第10圖顯示在交換/居中裝置内相對於一對齊位置位 於兩不同轉動位置處之未對齊晶圓的示意圖; 15 第11-12B圖詳細顯示本發明之光學檢視系統; 第13圖是一分解橫截面圖,顯示第1圖所示之處理室與 隔離總成之一部份; 第14A與14B圖是第3圖所示之系統之密封機構的截面 圖; 20 第15圖是第14A與14B圖所示之密封機構之立體截面 圖, 第16A與16B圖是第3圖所示之系統的橫截面圖; 第17A-17C圖是第13圖所示之隔離總成之分解圖; 第18A與18B圖是第17A圖之喷嘴總成之立體圖; 42 200807522 5 第19A與19B圖顯示可在第18A與18B圖之喷嘴總成中 使用之喷嘴; 第20A與20B圖顯示可在第18 A與18B圖之喷嘴總成中 使用之另一噴嘴; 第21A與21B圖顯示另一喷嘴總成; 第22A與22B圖顯示第21A與21B圖所示之喷嘴副板; 第23A與23B圖顯示本發明另一點火總成之橫截面圖; 第24至25B圖顯示該點火與喷嘴總成之俯視與側視圖; • 第26圖是另一清潔點火總成之立體圖; 10 第27圖是用於第1A圖之晶圓處理系統中之火焰感測系 統的俯視圖;及 第28與29圖顯示由該火焰感測系統所檢出之回應。 43 200807522 【主要元件符號說明】 10...基板處理方法 41…排氣空間 12…燃燒火焰 45…第一噴嘴 13…高氧環境 46…支持構件 15…測微計 47...氣體管線 16...頂銷 48…第一通道;第一氣體管線 17…設備前端模組 49…第二喷嘴;第三氣體控制器 18 ·. ·基^反表^面 51…下槽 19...機械手臂與預對齊器站 52...質量流控制器;燃料源 20…置物櫃 53...第二通道;第二氣體管線 20,20’…晶圓邊緣區域處理系統 55...三氟化氮氣體源 21...處理氣體 56...排氣部;排氣系統 22...處理室 58·.·冷卻水 24···擴散器 60…夾具心軸 25...隔離器 61...内側擋板構件 26...晶圓 03...外側擋板構件 27…晶圓移動對齊模組或系統 66...反應副產物 28...晶圓爽具 67...空間 30…頂表面 70...迷宮式密封 31…真空泵 72...CDA或含氧氣體 32...底表面 74…迷宮式密封板 33…邊緣區域 76.··底表面 38…上段 78…點火系統 39...下段 79···通道 44 200807522 82…加熱基板固持器 126…加熱電源;點火電源 83…電線 128...完全覆蓋薄膜 84…喷嘴總成 129…薄膜 , 87...加熱線 11 ·聽性雛;背側聚斜勿薄膜 88…上吹洗空間 131…氬或CDA氣體源 89…破壞喷射流 132.··第三氣體管線 92···第一吹洗通道 134…安裝位置;第四氣體管線 93…第一氣體管線 136...處理位置 94...吹洗氣體管線 140...控制器 96…吹洗氣體源 142…反應副產物 98...第二氣體管線 148…氣體管線 100…内側擋板 162...底壁 102…第一氣體控制器 164...孔 104…外側擋板 166···第一抵接表面 106…第二氣體控制器 168...密封板 108...第二吹洗通道 169…支持板 110...共通混合氣體管線 170...第二抵接表面 112...下内側擋板 172…内孔 114...下吹洗空間 173…真空通道 116…排氣穴 174…第一真空室 118...下外側播板 176…真空源 122…加熱元件 178···溝槽 124·.·吹風裝置 180…第二溝槽 125··. IR加熱電源 181…裝載位置 45 200807522 182…第二真空室 227…定位銷 186…第二處理位置 228…室;多孔不鏽鋼構件 200...測量窗 232…孔 202…邊緣位置 234...喷嘴副板 204...第一位置;排氣流 236...内表面 - 206...第二晶圓位置 238…溝槽 一 208...光學分析電子裝置 240…流體室 210…光纖|禺合器 242…陶瓷熱體點火器 212…Fm(氣體分析)系統 244...内凹孔 214…FTIR控制電子裝置 246…加熱元件 216…喷嘴板 248...點火喷嘴總成 218.··凹部 250...空氣刀 220…第二凹孔 252...雷射二極體;喷嘴末端 221…讀構件 256...雷射 222...焊接不鏽鋼管 260...光譜成像器 φ 224…引入部份 262…放大鏡 225...不鏽鋼本體;轉盤 • 226…反吹閃焰抑制裝置 264...光學系統 46Ellipses". As shown in Fig. 11, the system 20 can include a 20 optical system 264 that inspects the edge of the wafer. Accordingly, the optical system has at least one magnifying lens 262 rotatably positioned around the edge of the wafer. The magnifying glass can receive the reflected light from the edge of the wafer and collect it into a CCD camera, and the magnifying lens preferably has a resolution of 2 μm and can detect defects on the edge of the wafer and the cleaning The effectiveness of the procedure. 33 200807522 As shown in Figure 12A, the system 20 removes the polymer on the bottom slope of the edge. Further, as shown in Figure 12B, the system can be removed from the top of the wafer to reveal In addition, the system can utilize the spectral reflectance of the film. In addition, the optical system is disclosed in U.S. Patent Application Serial No. 11/417,279, filed on May 2, 2006, entitled &quot;Substrate Illumination and Inspection System&quot; The application is hereby incorporated by reference. As shown in Figures 13 to 16B, the wafer processing system 20 includes a mandrel 60 and the wafer can be placed on four moving axes. The wafer moving system 10 27. Accordingly, the wafer moving system 27 can move the wafer in the isolation chamber 22 toward the xyz and the 。. The isolation chamber 22 has a bottom wall 162, and the The bottom wall 162 is formed with a hole 164 and has a first outer abutment surface 166. The temple seal 70 has a sealing plate 168, and the sealing plate 168 has a first abutment surface 170, and the second abutment The surface 170 is slidably abutted against the first abutment surface 166. The sealing plate 168 further has an inner hole 172 annularly disposed around the mandrel 60, and a first vacuum chamber 174 is formed therein. Between the first and second abutment surfaces 160, 170. In addition, a vacuum source is coupled to the first vacuum chamber 174. Figure 13 shows an exploded view of a portion of the wafer processing assembly 20, 20 A portion of the chamber 22, the labyrinth seal 70 and associated isolator assembly 25 are shown. As shown, the labyrinth seal 70 is formed by a sealing plate 68 and a support plate 169, and the support The plate 169 has a vacuum passage 173 in fluid communication with the vacuum chamber 174, and the vacuum chamber 174 is formed The first abutment surface 166 of the bottom wall 162 is in contact with the sealing plate 168 abutting surface 170. 34 200807522 The mandrel 60 and the hole 172 formed in the sealing plate 168 and the bottom wall 162 are also shown. The relationship of 164, in addition, also shows the relationship between a loading position 181 and the second processing position 186. As shown in Figures 14A-B and 15, the first or second abutment surfaces 166, 5170 may have a groove. 178, and the trench 178 forms a portion of the first vacuum chamber 174 between the first and second abutment surfaces 166 and 170. When the mandrel 60 is moved by the actuating mechanism, the chamber 174 is movable relative to the bottom wall 162. Adjacent to the inner bore 172, the sealing plate 168 can have a second groove 180, and a second vacuum 10 chamber 182 is formed between the second groove 180 and the mandrel 60. The second vacuum chamber 182 can be independently coupled to the vacuum source 176, and as shown in FIG. 15, the wafer moving system 27 includes a wafer support for holding the wafer 26 fixedly through the mobile system 27. Fixture 28. The wafer moving system 27 is configured to move the wafer 26 from the loading position 181 to a second processing position 186, whereby the processing position can be an alignment position 15 or can be positioned to be associated with the nozzle. Adjacent to 84. Referring to Figures 16A and 16B, the operation of the wafer moving system 27 will be described. The mandrel 60 is configured to move the wafer 26 from the loading position 181 to the processing position 186 in a plurality of directions. The isolation chamber 22 is disposed around at least a portion of the wafer moving system 27 to protect the mechanism of the wafer moving system 27 from the generated reactive gases when the wafer is processed. The chamber 22 has a bottom wall 162, and the bottom wall 162 has an elongated hole 164 for moving the mandrel 60 relative to the chamber 22, and the bottom abutment surface 166 of the bottom wall 162 can be located in the chamber 22. On the outer or inner surface. 17A-17B shows an exploded cross-sectional view of the isolator 25, and the isolator 25 35 200807522 Γ 4 = plate 216, and the nozzle plate 216 provides a mechanism for the 5 10 15 20 ^ slot source to be coupled to the lower slot 51. The nozzle plate 216 has a recess in which the nozzle of the nozzle assembly 84 is slidably received. The portion 218 further has a housing-recessed hole 22 for the light-emitting surface of the heating element 122. And the nozzle plate 216 allows the nozzle assembly 84 to be assembled without completely disassembling the wafer processing apparatus 2(). As shown in Figures 7 and 17C, the nozzle plate 216 has a plurality of holes and fixed locks that facilitate alignment of the various component discs. Accordingly, the nozzle assembly 84, the heating element 122, and the lower tank can be accurately positioned. + The first and the coffee diagrams show the majority of the mouthpieces 45, 49 connected to the mouth support member 221, and the support member 221 is fitted in the recess (10) of the nozzle plate 216. The simple nozzle 45 is positioned in the appropriate direction. . Since the nozzles 45 are preferably hand-held to their fuel source, the support member simplifies installation and does not require reworking of the isolator. - The nozzles are connected to the gas source 55 through a plurality of welded non-conical steel tubes 222 as shown in the 19th. To maintain rack stability, the gas source 55 is controlled by controller 52. As previously mentioned, the nozzles have a stainless steel inlet tube 224 having a very high aspect ratio. For example, an aspect ratio greater than or equal to 10: 1 is appropriate for both 仏 and 仏. Immediately disposed adjacent the lead-in portion 224 of the nozzle 45 is a backflushing flame suppression device 226, and the device 226 is a chamber 228 having a substantially larger space than the introduction portion 224. Disposed within the space is a porous stainless steel member 228' and the porous steel member 228 acts as a source that prevents the flame from passing upwardly through the nozzles 45, 49 and into the gas at the time of system failure. Confluence. As shown in Figures 20A and 20B, the aspect ratio of the nozzles 45 can vary depending on the fuel and oxidant used. Thus, when a high percentage of NF3 is used as an oxidant, the nozzles 45, 49 have a stainless steel introduction portion 224 having an aspect ratio greater than 4 〇: 5 1 ' and preferably 80:1. The nozzle 45 has a stainless steel body 225' and the stainless steel body 225 has a locating pin 227 that can bond the nozzle 45 to the support member 221. Disposed within the mass flow controller 52 is a normally open valve (not shown), and the valve can discharge CDA into the fuel supply source 10 when power is interrupted. In addition, if the system 20 needs to close the nozzles 45, 49, the normally open valve is actuated and the CDA having a pressure higher than the pressure of the fuel source can flow into the processing nozzles 45, effectively extinguishing the flames without The danger of system explosion. 21A and 21B show another method of joining the nozzles 15 to the separator 25, wherein a hole 232 is formed in the separator 25 or the nozzle plate 216. Disposed within the bore 232 are a plurality of nozzle sub-plates 234 having separate nozzles 45, and these nozzle sub-plates 234 are movable relative to one another in the fore-and-aft direction to relatively position the sub-plates within the isolator 25. The individual nozzle sub-plates 234 can be stacked next to one another to form a nozzle 20 assembly 84. 22A and 22B show separate nozzle sub-plates 234, and disposed on the inner surface 236 of the nozzle sub-plates 234 are a plurality of grooves 238 that serve as a plurality of fluid chambers 240. These fluid chambers 240 are coupled to a source of vacuum or pressurized gas (not shown) and may be used to split the reactive gas product that may be leaked from the chamber 22 200807522 during processing of the wafer. It can be seen that an inert or oxygen-containing gas can be supplied to the nozzle plate and flow through the hole 232 to the isolator. Fig. 22B is a view showing the cross-face 5 of the nozzle sub-plate shown in Fig. 22A. As shown, the structure of the high aspect ratio introducing portion η# and the back-blowing flash suppressing device 226 can be made therein. The nozzle is still positioned in the turntable work to reposition the nozzle relative to the edge of the wafer. These components significantly reduce the cost of the assembly and increase the reliability of the overall system. In operation, fuel is supplied to the nozzles 45 by the mass flow 10 controller 52 via the backflush flame suppression device 226. The vacuum source creates a vacuum in the vacuum chamber to prevent corrosion of the reactive reactive gas from passing through the nozzle assembly 84°. Figures 23A and 23B show an ignition system that can ignite the nozzles 45 and 49 of the nozzle assembly. 78, and the ignition system 78 has an optically 15 transparent or sapphire thermal igniter 242, and the thermal igniter 242 has a recessed aperture 244. The hot body igniter 242P is highly chemical resistant and has no particle formation. A heating element 246 is disposed within the recessed aperture 244, and the heating element, which may be a pt:R element, may be used to cause the hot body igniter to rise rapidly to a point where the fourth fuel contacts the thermal ignition 242. A predetermined temperature of a fuel oxide mixed compound. As shown in Fig. 23B, the ceramic thermal igniter 242 can be physically and optically coupled to a laser diode 252. In this configuration, the laser diode 252 can create photons that pass through the interior of the recessed aperture 244, and these photons will strike the heating element 246 and thereby create a reliable ignition system. Or 38 200807522, the hot body igniter 242 can be coated on an inner or outer surface with a material that increases photon absorption at the wavelengths considered. Disposed at the distal end of the elongate recess 244 is the heating element 246, and the heating element 246 can be electrically coupled to a power source that can be used to provide current to heat the heating element. Alternatively, the component can be heated in an inductive manner. As shown in Figures 24 and 25B, an air knife 25 is operatively disposed between an ignition nozzle assembly 248 and the nozzle assembly 84. The air knife 250 is in fluid communication with a CDA or inert gas source, and the firing nozzle assembly 248 10 is operatively coupled to a fuel source 52 and may have a sapphire nozzle tip 252 as previously described. In operation, the system for generating a cleaning flame required to process the wafer 26 includes placing the heating element 246 in an ignition assembly 78 and causing the heating element 246 to heat up to cause the assembly 78 Arrived at an ignition temperature. 15 The gas is then passed through a firing nozzle assembly 248 at a first gas flow rate and a parent fire is ignited by the ignition assembly 78. A plurality of nozzles of a nozzle assembly 84 are then passed through the parent flame to ignite the majority of the flames from the nozzles. After the majority of the nozzles of the nozzle assembly 84 have ignited, an air dam is passed in front of the parent flame by actuating the air knife 250. Next, a non-combustible gas is passed through the ignition nozzle assembly 248 at a predetermined flow rate of twenty-two. Accordingly, the second predetermined flow rate can be greater than the fuel flow rate through the nozzle, and this prevents backflushing into the ignition system and into the apparatus. The air knife 250 can be used to extinguish the female fire without interrupting the processing of the flame. See Figure 26 for another cleaning system. 39 200807522 In the system shown in Figures 23A and 23B, the ignition system includes a nozzle 248 for injecting fuel into the vicinity of the nozzle assembly 84. This nozzle 248 produces a plasma that is ignited by a very high intensity laser 256, and the ignition system can be separated by shutting off the plasma gas source or moving the laser 256 away. 5, as shown in Fig. 27, optical analysis electronics 208 is coupled to a fiber optic coupler 210, and the fiber coupler 210 is disposed in an upper section 38 of the isolator 25 to receive photons emitted by the reactive programs. The optical analysis electronics 208 can be used to observe and analyze reactive procedures to detect the presence of reactive species and/or the relative concentrations of reactive species. In another mode of this property, optical emission spectroscopy can be used to infer the endpoint of the money based on the observed product and/or reactive species in the region as the chemical reaction occurs. An FTIR gas analysis system 212 coupled to the FTIR control electronics 214 is in line with an exhaust system for analyzing the effluent of the gas 15 exhausted by the isolator 25 using an FTIR technique, again from the FTIR gas analysis system 212 and The information of the FTIR control electronics 214 is used to determine the "health" and condition of the reactive gas delivery system and may also perform endpoint detection. For the FTIR technique, the exhaust stream 204 flows through an optical chamber containing an infrared (ir) source and a detector. A dedicated controller and a host computer (not shown) operate the 2 气体 gas chamber. Figure 27 shows a flame sensing system used in the wafer processing system of Figure 1A, in which a nozzle plate 216 supporting a nozzle assembly 84 having processing nozzles 45 and 49 is shown. Pointed at the nozzles 45 and 49 is a CCD spectral imager 260, and the spectral imager can receive the emissions from the nozzles and the milk fire 40 200807522 flame. Figure 28 shows an intensity map of a particularly relevant spectrum, whereby the figure shows a wavelength between 200 and 400 nm. As shown, the wavelength curve between 3〇2 and 32411111 varies depending on the number of ignited flames. From this, it can be seen that by analyzing the spectral output, the system can determine the quality and quantity of the number of fires generated by the system. The relevant spectral region for flame sensing using a gas mixture of Η2 and Ο2 is between about 300 and 325 nm, and the emitter at about 3 〇 9 nm is from an intermediate 〇H species produced in the flame. . 10 It can be seen that the mass flow controller 52 of the present system can be connected to the spectral imager 260. Therefore, it can be seen that the system determines that one or more nozzles are not properly transmitted, and the system will send an error signal and can 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 In the case of scales, various changes, modifications, and variations of the invention are possible. BRIEF DESCRIPTION OF THE DRAWINGS 3 Figure 1A-1C is a cross-sectional view showing a cross-sectional view of a system for concentric wafer processing operations; and Figure 2 is a top plan view showing the exchange/centering and processing position of a wafer in a processing chamber. Figure 3 is a side elevational view showing the exchange/centering and processing position of a wafer in a processing chamber; 41 200807522 Figure 4A shows a side view of the relationship between a labyrinth seal assembly and a processing chamber and fixture assembly; Figure 4B shows a top cross-sectional view of the relationship between a labyrinth seal assembly and a process chamber and fixture assembly; 5 Figure 5 is a side cross-sectional view of the isolation chamber shown in Figure 1A; The figure shows a top view of a plurality of nozzle bodies relative to the edge of a wafer, and FIGS. 6B to 6F are side views showing inclined nozzles at a bevel area of the wafer; 10 Figures 7 to 8G are pre-processed and post-processed wafers Cross-sectional view; Figure 9A-9C is a side view showing another nozzle structure at a bevel area of the wafer; Figure 10 is shown at two different rotational positions relative to an aligned position within the exchange/centering device Schematic representation of unaligned wafers 15-11-12B shows the optical inspection system of the present invention in detail; FIG. 13 is an exploded cross-sectional view showing one of the processing chamber and the isolation assembly shown in FIG. 1; FIGS. 14A and 14B are Fig. 3 is a cross-sectional view of the sealing mechanism of the system shown in Fig. 3; Fig. 15 is a perspective sectional view of the sealing mechanism shown in Figs. 14A and 14B, and Figs. 16A and 16B are cross sections of the system shown in Fig. 3. Figure 17A-17C is an exploded view of the isolation assembly shown in Figure 13; Figures 18A and 18B are perspective views of the nozzle assembly of Figure 17A; 42 200807522 5 Figures 19A and 19B show available at 18A a nozzle used in the nozzle assembly of Fig. 18B; Figs. 20A and 20B show another nozzle that can be used in the nozzle assembly of Figs. 18A and 18B; Figs. 21A and 21B show another nozzle assembly; 22A and 22B are diagrams showing the nozzle sub-plates shown in Figs. 21A and 21B; Figs. 23A and 23B are cross-sectional views showing another ignition assembly of the present invention; and Figs. 24 to 25B are views showing the ignition and nozzle assembly. Side view; • Figure 26 is a perspective view of another clean ignition assembly; 10 Figure 27 is for the crystal of Figure 1A A top view of the flame sensing system in the circular processing system; and Figures 28 and 29 show the responses detected by the flame sensing system. 43 200807522 [Description of main component symbols] 10...Substrate processing method 41...Exhaust space 12...Combustion flame 45...First nozzle 13...High oxygen environment 46...Support member 15...Micrometer 47...Gas line 16 ...top pin 48...first channel; first gas line 17...device front end module 49...second nozzle; third gas controller 18 ·. base ·inverse surface 51...lower slot 19... Robot arm and pre-aligner station 52... mass flow controller; fuel source 20... locker 53... second channel; second gas line 20, 20'... wafer edge area processing system 55... Fluorinated nitrogen gas source 21...Processing gas 56...Exhaust unit;Exhaust system 22...Processing chamber 58···Cooling water 24···Diffuser 60...Clamping mandrel 25...Isolation 61... inside baffle member 26... wafer 03... outer baffle member 27... wafer transfer alignment module or system 66... reaction byproduct 28... wafer refresher 67. .. space 30... top surface 70... labyrinth seal 31... vacuum pump 72... CDA or oxygen-containing gas 32... bottom surface 74... labyrinth seal plate 33... edge region 76.... bottom surface 38... on Section 78...Ignition System 39...Lower Section 79···Channel 44 200807522 82...heating substrate holder 126...heating power supply;ignition power supply 83...wire 128...completely covering film 84...nozzle assembly 129...film, 87 ...heating line 11 ·earing chicks; back side slanting do not film 88...upper blowing space 131...argon or CDA gas source 89...breaking jet 132.··third gas line 92···first blowing Washing channel 134... mounting position; fourth gas line 93... first gas line 136... processing position 94... purge gas line 140... controller 96... purge gas source 142... reaction byproduct 98. The second gas line 148...the gas line 100...the inner baffle 162...the bottom wall 102...the first gas controller 164...the hole 104...the outer baffle 166···the first abutment surface 106...the first Two gas controllers 168...sealing plates 108...second purging channels 169...support plates 110...common mixed gas lines 170...second abutting surfaces 112...lower inner baffles 172... Inner hole 114... lower purge space 173... vacuum passage 116... exhaust hole 174... first vacuum chamber 118... lower outer deck 176... Vacuum source 122...heating element 178···trench 124··air blowing device 180...second groove 125··. IR heating power source 181...loading position 45 200807522 182...second vacuum chamber 227...positioning pin 186... Two processing positions 228... chamber; porous stainless steel member 200... measuring window 232... hole 202... edge position 234... nozzle sub-plate 204... first position; exhaust stream 236... inner surface - 206. .. second wafer position 238... trenches 208... optical analysis electronics 240... fluid chamber 210... fiber optics | coupler 242... ceramic body igniter 212...Fm (gas analysis) system 244... Inner recess 214...FTIR control electronics 246...heating element 216...nozzle plate 248...ignition nozzle assembly 218..recess 250...air knife 220...second recess 252...laser diode Nozzle end 221...reading member 256...laser 222...welding stainless steel tube 260...spectral imager φ 224...introduction part 262...magnifying glass 225...stainless steel body; turntable • 226...backflushing Flash flame suppression device 264...optical system 46

Claims (1)

200807522 十、申請專利範圍: 1. 一種晶圓處理裝置,包含: 一處理室; 一可移動晶圓支持結構; 5 .—隔離構件,具有一喷嘴歧管; . 一清潔火焰點火系統,係可點燃來自該喷嘴歧管之 反應性氣體,以產生一反應火焰者;及 一氣體流動控制系統,係可調節反應性氣體至該噴 ^ 嘴歧管之流動者。 10 2.如申請專利範圍第1項之裝置,其中一可移動支持結構 可使該晶圓朝xyz與Θ方向移動。 3.如申請專利範圍第1項之裝置,其中該可移動晶圓支持 結構可使該晶圓由一第一裝載位置移動至一在該隔離 構件内之第二處理位置。 15 4.如申請專利範圍第1項之裝置,其中該清潔點火系統包 φ 含一陶瓷熱體點火器。 5.如申請專利範圍第1項之裝置,更包含一用以監測在處 • 理一晶圓時之反應火焰之光譜的光譜分析系統。 , 6.如申請專利範圍第5項之裝置,其中該光譜分析系統計 20 算一在一對預定波長之間之光譜輸出的面積。 7. 如申請專利範圍第1項之裝置,其中該喷嘴歧管包含多 , 數噴嘴,且不同反應性氣體送入各喷嘴中。 8. 如申請專利範圍第1項之裝置,其中該氣體流動系統提 供富氧環境氣體進入該處理室。 47 200807522 9. 如申請專利範圍第8項之裝置,其中該氣體流動系統提 供阻止流動氣體。 10. 如申請專利範圍第1項之裝置,更包含一排氣系統。 11. 如申請專利範圍第1項之裝置,更包含一用以檢查該晶 5 圓邊緣之晶圓檢查系統。 12. 如申請專利範圍第11項之裝置,其中該晶圓檢查系統利 用薄膜光譜反射性。 13. 如申請專利範圍第1項之裝置,更包含一與該可移動晶 圓支持結構結合之迷宮式密封,以密封該處理室。 10 14· 一種用以處理晶圓之系統,包含: 一處理室; 一四軸晶圓支持結構; 多數反應性氣體喷嘴;及 一反應性氣體源,係與該等喷嘴連接,且該反應性 15 氣體源具有一自動流動切斷系統。 15. 如申請專利範圍第14項之系統,更包含一清潔火焰點火 系統。 16. 如申請專利範圍第14項之系統,更包含一監測該火焰之 輸出的火焰品質控制系統。 20 17.如申請專利範圍第16項之系統,其中該火焰品質控制系 統包含一檢查該等多數反應性氣體喷嘴之品質的光譜 指紋系統。 18.如申請專利範圍第16項之系統,其中該光譜指紋系統決 定是否在一預定頻率之該火焰的能量輸出是否在一容 48 200807522 許極限以内 19.- 基板邊緣處理裝置,包含: 一失具,係用以扣持一基板者; 隔離構件,具有-噴嘴歧管及一排氣空 该噴嘴歧管覆細絲邊緣之 伸遠離該基板; 間,其中 P伤且该排氣空氣延 者 可移動支持結構,係可使該基板朝 四軸方向移動 處理室’係設置在該基板及該 部份四週者;及 可移動支持結 構之 在封構件,係設置在該可移動支持 20· 15 之間。 結構與處理室 =申請專利範圍第19項之基板邊緣處理裝 與一真空源流體性連接 封構件包含一板,且該板具有一*一 、中忒在 之溝槽。 申明專利第2〇項之基板邊緣處理|置,其中今板 更具有-職該可祕支持結構_部份之孔,城祕 成一與該真空源結合之第二溝槽。 20 改-種基板處理裝置,係用以利用氫與一非氧氧化劑之燃 燒火焰處理基板者,包含·· 一處理室’係用以收納該基板且用以界定出該燃燒 火焰用之清潔環境者; -處理喷嘴總成,係位在該處理室内,以將該燃燒 火焰引導至該基板上者; 49 200807522 一燃料與氧化劑源,係可操作地連接於該處理室 者; 一點火總成,具有一形成有一内凹孔之陶瓷熱體點 火器; 5 一加熱元件,係設置在該内凹孔内者; 一用以使該加熱元件發熱之裝置;及 一點火噴嘴總成,係可操作地連接於一燃料源,且 該點火總成可在一預定距離内由該處理喷嘴總成引出 一初始燃燒火焰。 10 23.如申請專利範圍第22項之用以利用燃燒火焰處理基板 的基板處理裝置,其中該熱體點火器包含一藍寶石本 體。 24. 如申請專利範圍第22項之用以利用燃燒火焰處理基板 的基板處理裝置,其中該熱體點火器包含一光學性透明 15 的陶曼。 25. 如申請專利範圍第22項之用以利用燃燒火焰處理基板 的基板處理裝置,其中該加熱元件與一電源電性連接。 26. 如申請專利範圍第22項之用以利用燃燒火焰處理基板 的基板處理裝置,更包含一可對該加熱元件施加一電磁 20 波之電源。 27. 如申請專利範圍第26項之用以利用燃燒火焰處理基板 的基板處理裝置,其中該電源是一雷射或一雷射二極 體。 28·如申請專利範圍第22項之用以利用燃燒火焰處理基板 50 200807522 的基板處理裝置,更包含一可對該加熱元件施加一電磁 波之電源。 29.如申請專利範圍第22項之用以利用燃燒火焰處理基板 的基板處理裝置,其中該處理室維持一大致大氣壓力。 5 30.如申請專利範圍第22項之用以利用燃燒火焰處理基板 的基板處理裝置,其中該陶瓷具有一大於3000°K之熔化 溫度。 31. —種點火總成,包含: 一藍寶石本體; 10 一加熱元件,係與該藍寶石本體傳熱性地連接者; 一電源,係可將一電磁波施加至該加熱元件者;及 一點火喷嘴總成,係設置在該藍寶石本體附近,且 該噴嘴總成與一燃料源連接。 32. 如申請專利範圍第31項之點火總成,其中該藍寶石本體 15 具有一内室,且其中該加熱元件設置在該内室内。 33. 如申請專利範圍第31項之點火總成,其中該電源是一雷 射二極體。 34. 如申請專利範圍第33項之點火總成,其中該電源是一雷 射。 20 35.如申請專利範圍第32項之點火總成,其中該電源是一電 流供應源。 36.如申請專利範圍第31項之點火總成,其中一電源在一預 定頻率傳送多數光子且該藍寶石本體在該預定頻率時 是透明的。 51 200807522 37. 如申請專利範圍第31項之點火總成,其中該點火喷嘴沿 一第一直線產生一氣體喷射流,且其中該藍寶石本體係 設置在距離該直線一第一預定距離處。 38. 如申請專利範圍第37項之點火總成,更包含至少一處理 5 氣體喷嘴,且該處理氣體喷嘴設置在距離該直線一第二 預定距離處。 39. 如申請專利範圍第38項之點火總成,更包含一設置在該 點火總成與該處理氣體喷嘴之間的空氣刀。 40. —種點燃一火焰之方法,包含: 10 將一加熱元件設置在一點火總成内; 使該加熱元件發熱,以加熱該點火總成至一預定點 燃溫度; 使一燃料以一第一流量通過該點火喷嘴且通過該 點火總成.,以點燃一火焰;及 15 使該火焰通過多數喷嘴,以由該喷嘴點燃多數處理 火焰。 41. 如申請專利範圍第40項之點燃一火焰之方法,更包含使 一氣壩通過該第一火焰前方。 42. 如申請專利範圍第40項之點燃一火焰之方法,更包含使 20 —不可燃氣體以一第二預定流量通過該點火喷嘴。 43. 如申請專利範圍第42項之點燃一火焰之方法,其中該第 二預定流量大於該第一預定流量。 44. 一種晶圓處理系統,包含: 一用以處理晶圓之裝置; 52 200807522 一晶圓移動系統,具有一可使該晶圓朝χ、y、z與θ 方向移動之心軸; 一隔離室,係設置在該晶圓移動系統之一部份四 週,且該室具有一形成一孔之壁並且具有一第一抵接表 5 面; 一密封板,具有一第二抵接表面,且該密封板具有 一環狀地設置在該心軸四週之内孔; 一第一真空室,係形成在該等第一與第二抵接表面 之間者;及 10 —真空源,係與該第一真空室連接者。 45.如申請專利範圍第44項之晶圓處理系統,其中該第一抵 接表面具有一第一溝槽,且其中該第一真空室形成在該 第一溝槽與該第二抵接表面之間。 46·如申請專利範圍第44項之晶圓處理系統,其中該第二抵 15 接表面具有一第一溝槽,且其中該第一真空室形成在該 第一溝槽與該第一抵接表面之間。 47.如申請專利範圍第44項之晶圓處理系統,其中該内孔具 有一第二溝槽,且其中一第二真空室形成在該第二溝槽 與該心軸之間。 20 48.如申請專利範圍第47項之晶圓處理系統,其中該第二真 空室與該真空源連接。 49. 如申請專利範圍第44項之晶圓處理系統,其中該晶圓移 動系統包含一晶圓支持夾具。 50. 如申請專利範圍第44項之晶圓處理系統,其中該晶圓移 53 200807522 動系統可使該晶圓由一第一安裝位置移動至一第二處 理位置。 51. 如申請專利範圍第44項之晶圓處理系統,其中該用以處 理晶圓之裝置包含一電漿喷嘴、一火焰喷嘴、一流體處 5 理喷嘴及其組合之其中一者。 52. 如申請專利範圍第44項之晶圓處理系統,更包含一可操 作地連接至該晶圓移動系統之雷射測微計。 53. 如申請專利範圍第52項之晶圓處理系統,其中該雷射測 微計係定位在該室内,以檢測該晶圓之邊緣。 10 54.如申請專利範圍第44項之晶圓處理系統,其中該孔是一 長形孔。 55. —種晶圓處理系統,包含; *晶圓處理機構, 一晶圓移動系統,具有一可使晶圓朝多數方向而由 15 一裝載位置移動至一處理位置之心軸; 一隔離室,係設置在該晶圓移動系統之一部份四 週,且該室具有一形成一内孔之壁,並且該壁具有一第 一抵接表面; 一密封板,具有一可與該第一抵接表面滑動地結合 20 之第二抵接表面,且該第二抵接表面具有一第一溝槽, 並且其中一第一真空室形成在該第一溝槽與該第一抵 接表面之間,又,該密封板具有一環狀地設置在該心軸 四週之孔,且該孔與心軸形成一第二真空室;及 一真空源,係與該第一與第二真空室者。 54 200807522 56·如申請專利範圍第55項之晶圓處理系統,其中該密封板 與壁形成一具有氦洩漏速度小於大約1 〇x〗0_6atm-cc/s 之密封。 57·如申請專利範圍第55項之晶圓處理系統,其中該晶圓移 5 動系統包含一晶圓供應夾具。 ' 58.如申明專利範圍第55項之晶圓處理系統,其中該晶圓移 ^ 動系統可使該晶圓由該第一安裝位置移動至該晶圓處 ^ 理位置。 59.如申明專利範圍第55項之晶圓處理系、统,其中該晶圓處 10 理機構包含-電漿喷嘴、—火焰喷嘴、—流體處理喷嘴 及其組合之其中一者。 6〇.如申請專利範圍第55項之晶圓處理系統,其中該隔離室 包圍一腐蝕性環境氣體。 6L如申請專利範圍第55項之晶圓處理系統,其中該晶圓移 15 動系統可使該晶圓朝X、y、z與θ方向移動。 Φ 62· —種晶圓基板處理系統,包含·· • —晶圓移㈣統,具有-可使該晶圓朝多數方向移 ^ 動之心軸; 20 一處理室’係用以收納該基板且用以界定出氫盘一 非氧氧化劑之燃燒火焰用之環境者,其中該處理室维持 大致大氣壓力,且該處理室係設置在該晶圓移動系統之 一部份輯,並且該處理室具有―形成―孔 有—第一抵接表面; 八 一氫與非氧氧化劑源,係選擇性地連接於該處理 55 200807522 室;及 一喷嘴總成,係位在該處理室内,以將該燃燒火焰 引導至該基板上; 一密封板,具有一可與該第一抵接表面滑動地結合 5 之第二抵接表面,且該第二抵接表面具有一第一溝槽, 並且其中一第一真空室形成在該第一溝槽與該第一抵 接表面之間,又,該密封板具有一環狀地設置在該心軸 四週之孔,且該孔與心軸形成一第二真空室;及 一真空源,係與該第一與第二真空室者。 10 63.如申請專利範圍第62項之晶圓基板處理系統,其中該喷 嘴總成包含兩或兩個以上之喷嘴。 64. 如申請專利範圍第63項之晶圓基板處理系統,其中前述 兩或兩個以上之喷嘴係由藍寶石製成。 65. 如申請專利範圍第62項之晶圓基板處理系統,其中該喷 15 嘴總成包含兩或兩個以上之喷嘴,且其中前述兩或兩個 以上之喷嘴被扣持成相對一欲處理基板頂表面呈一角 度。 66. 如申請專利範圍第62項之晶圓基板處理系統,其中該晶 圓移動系統包含一夾具。 20 67.如申請專利範圍第62項之晶圓基板處理系統,其中該晶 圓移動系統可使該晶圓基板由一第一安裝位置移動至 一第二處理位置。 68.如申請專利範圍第62項之晶圓基板處理系統,其中用以 處理晶圓之裝置包含一電漿噴嘴、一火焰噴嘴、一流體 56 200807522 處理喷嘴及其組合之其中一者。 69.如申請專利範圍第62項之晶圓基板處理系統,更包含一 可操作地連接至該晶圓移動系統之雷射測微計。 7 0.如申請專利範圍第6 2項之晶圓基板處理系統,其中該雷 射測微計係定位在該室内,以檢測該晶圓之邊緣。200807522 X. Patent application scope: 1. A wafer processing apparatus comprising: a processing chamber; a movable wafer supporting structure; 5. an isolating member having a nozzle manifold; a clean flame ignition system, A reactive gas from the nozzle manifold is ignited to produce a reactive flame; and a gas flow control system is provided to regulate the flow of reactive gases to the nozzle manifold. 10. 2. The device of claim 1, wherein a movable support structure moves the wafer toward the xyz and xenon directions. 3. The device of claim 1, wherein the movable wafer support structure moves the wafer from a first loading position to a second processing position within the isolation member. 15. The apparatus of claim 1, wherein the cleaning ignition system package φ comprises a ceramic thermal igniter. 5. The apparatus of claim 1, further comprising a spectroscopic analysis system for monitoring the spectrum of the reactive flame at the time of processing a wafer. 6. The apparatus of claim 5, wherein the spectral analysis system calculates an area of a spectral output between a pair of predetermined wavelengths. 7. The device of claim 1, wherein the nozzle manifold comprises a plurality of nozzles and different reactive gases are fed into the nozzles. 8. The apparatus of claim 1, wherein the gas flow system provides an oxygen-rich ambient gas to the processing chamber. 47 200807522 9. The device of claim 8, wherein the gas flow system provides a flow inhibiting gas. 10. The device of claim 1 further includes an exhaust system. 11. The apparatus of claim 1, further comprising a wafer inspection system for inspecting the edge of the crystal. 12. The device of claim 11, wherein the wafer inspection system utilizes thin film spectral reflectivity. 13. The apparatus of claim 1, further comprising a labyrinth seal in combination with the movable wafer support structure to seal the processing chamber. 10 14· A system for processing a wafer, comprising: a processing chamber; a four-axis wafer support structure; a plurality of reactive gas nozzles; and a reactive gas source coupled to the nozzles, and the reactivity 15 The gas source has an automatic flow shut-off system. 15. The system of claim 14 includes a clean flame ignition system. 16. The system of claim 14 further comprising a flame quality control system for monitoring the output of the flame. The system of claim 16 wherein the flame quality control system comprises a spectral fingerprinting system for inspecting the quality of the plurality of reactive gas nozzles. 18. The system of claim 16, wherein the spectral fingerprinting system determines whether the energy output of the flame at a predetermined frequency is within a limit of 48 200807522. 19. The substrate edge processing device comprises: a member for holding a substrate; a spacer member having a nozzle manifold and an exhaust air extending from the substrate edge of the nozzle manifold; wherein the P is damaged and the exhaust air is extended The movable supporting structure is configured to move the substrate toward the four-axis direction to be disposed on the substrate and the periphery of the portion; and the sealing member of the movable supporting structure is disposed on the movable support 20·15 between. Structure and Processing Chamber = Substrate edge treatment package of claim 19 is fluidically connected to a vacuum source. The sealing member comprises a plate having a groove of a middle and a middle. The substrate edge treatment of the second aspect of the patent is claimed, wherein the current plate has a hole for the structure of the support structure, and the second groove is combined with the vacuum source. 20 a substrate processing apparatus for treating a substrate with a combustion flame of hydrogen and a non-oxygen oxidant, comprising: a processing chamber for accommodating the substrate and defining a clean environment for the combustion flame Processing a nozzle assembly that is positioned within the processing chamber to direct the combustion flame to the substrate; 49 200807522 A fuel and oxidant source operatively coupled to the processing chamber; an ignition assembly a ceramic hot body igniter formed with a recessed hole; 5 a heating element disposed within the recessed hole; a means for heating the heating element; and an ignition nozzle assembly An ignition source is operatively coupled to the fuel assembly, and the ignition assembly can draw an initial combustion flame from the processing nozzle assembly within a predetermined distance. 10. The substrate processing apparatus for treating a substrate with a combustion flame according to claim 22, wherein the thermal igniter comprises a sapphire body. 24. The substrate processing apparatus for treating a substrate with a combustion flame according to claim 22, wherein the thermal igniter comprises an optically transparent 15 Tauman. 25. The substrate processing apparatus for processing a substrate with a combustion flame according to claim 22, wherein the heating element is electrically connected to a power source. 26. The substrate processing apparatus for processing a substrate by a combustion flame according to claim 22 of the patent application, further comprising a power source for applying an electromagnetic 20 wave to the heating element. 27. The substrate processing apparatus for processing a substrate using a combustion flame according to claim 26, wherein the power source is a laser or a laser diode. 28. The substrate processing apparatus for processing a substrate 50 by using a combustion flame according to claim 22, further comprising a power source for applying an electromagnetic wave to the heating element. 29. The substrate processing apparatus for treating a substrate with a combustion flame according to claim 22, wherein the processing chamber maintains a substantially atmospheric pressure. 5: The substrate processing apparatus for treating a substrate with a combustion flame according to claim 22, wherein the ceramic has a melting temperature of more than 3000 °K. 31. An ignition assembly comprising: a sapphire body; a heating element coupled to the sapphire body; a power source for applying an electromagnetic wave to the heating element; and an ignition nozzle An assembly is disposed adjacent the sapphire body and the nozzle assembly is coupled to a fuel source. 32. The ignition assembly of claim 31, wherein the sapphire body 15 has an inner chamber, and wherein the heating element is disposed within the inner chamber. 33. The ignition assembly of claim 31, wherein the power source is a laser diode. 34. The ignition assembly of claim 33, wherein the power source is a laser. 20 35. The ignition assembly of claim 32, wherein the power source is a current source. 36. The ignition assembly of claim 31, wherein a power source transmits a plurality of photons at a predetermined frequency and the sapphire body is transparent at the predetermined frequency. The ignition assembly of claim 31, wherein the ignition nozzle generates a gas jet along a first line, and wherein the sapphire system is disposed at a first predetermined distance from the line. 38. The ignition assembly of claim 37, further comprising at least one process 5 gas nozzle, and the process gas nozzle is disposed at a second predetermined distance from the line. 39. The ignition assembly of claim 38, further comprising an air knife disposed between the ignition assembly and the process gas nozzle. 40. A method of igniting a flame, comprising: 10 disposing a heating element in an ignition assembly; heating the heating element to heat the ignition assembly to a predetermined ignition temperature; Flow passes through the ignition nozzle and through the ignition assembly to ignite a flame; and 15 passes the flame through a plurality of nozzles to ignite the majority of the processing flame by the nozzle. 41. The method of igniting a flame as claimed in claim 40, further comprising passing an air dam through the front of the first flame. 42. The method of igniting a flame as claimed in claim 40, further comprising passing the non-combustible gas through the ignition nozzle at a second predetermined flow rate. 43. A method of igniting a flame as in claim 42 wherein the second predetermined flow rate is greater than the first predetermined flow rate. 44. A wafer processing system comprising: a device for processing a wafer; 52 200807522 a wafer moving system having a mandrel that moves the wafer toward χ, y, z, and θ; a chamber disposed around a portion of the wafer moving system, the chamber having a wall defining a hole and having a first abutment surface 5; a sealing plate having a second abutting surface, and The sealing plate has an inner hole annularly disposed around the mandrel; a first vacuum chamber is formed between the first and second abutting surfaces; and 10 - a vacuum source, First vacuum chamber connector. 45. The wafer processing system of claim 44, wherein the first abutment surface has a first trench, and wherein the first vacuum chamber is formed on the first trench and the second abutment surface between. 46. The wafer processing system of claim 44, wherein the second abutting surface has a first trench, and wherein the first vacuum chamber is formed in the first trench and the first abutting Between the surfaces. 47. The wafer processing system of claim 44, wherein the inner bore has a second groove and a second vacuum chamber is formed between the second groove and the mandrel. The wafer processing system of claim 47, wherein the second vacuum chamber is connected to the vacuum source. 49. The wafer processing system of claim 44, wherein the wafer moving system comprises a wafer support fixture. 50. The wafer processing system of claim 44, wherein the wafer transfer 53 200807522 motion system moves the wafer from a first mounting position to a second processing position. 51. The wafer processing system of claim 44, wherein the means for processing the wafer comprises one of a plasma nozzle, a flame nozzle, a fluid nozzle, and a combination thereof. 52. The wafer processing system of claim 44, further comprising a laser micrometer operatively coupled to the wafer moving system. 53. The wafer processing system of claim 52, wherein the laser micrometer is positioned within the chamber to detect an edge of the wafer. The wafer processing system of claim 44, wherein the hole is an elongated hole. 55. A wafer processing system comprising: a wafer processing mechanism, a wafer moving system having a mandrel for moving a wafer in a plurality of directions from a loading position to a processing position; an isolation chamber Is disposed around a portion of the wafer moving system, and the chamber has a wall forming an inner hole, and the wall has a first abutting surface; a sealing plate having a first The connecting surface slidably couples the second abutting surface of the second surface, and the second abutting surface has a first groove, and a first vacuum chamber is formed between the first groove and the first abutting surface Further, the sealing plate has a hole annularly disposed around the mandrel, and the hole and the mandrel form a second vacuum chamber; and a vacuum source is coupled to the first and second vacuum chambers. 54. The wafer processing system of claim 55, wherein the sealing plate forms a seal with the wall having a helium leak rate of less than about 1 〇 x 0_6 atm-cc/s. 57. The wafer processing system of claim 55, wherein the wafer shifting system comprises a wafer supply jig. 58. The wafer processing system of claim 55, wherein the wafer transfer system moves the wafer from the first mounting position to the wafer processing position. 59. The wafer processing system of claim 55, wherein the wafer processing mechanism comprises one of a plasma nozzle, a flame nozzle, a fluid processing nozzle, and a combination thereof. 6. The wafer processing system of claim 55, wherein the isolation chamber encloses a corrosive ambient gas. 6L is the wafer processing system of claim 55, wherein the wafer shifting system moves the wafer in the X, y, z, and θ directions. Φ 62· — A wafer substrate processing system, comprising: a wafer shifting system, having a mandrel that can move the wafer in a plurality of directions; 20 a processing chamber ′ for accommodating the substrate And an environment for defining a combustion flame of a hydrogen disk-non-oxygen oxidant, wherein the processing chamber maintains a substantially atmospheric pressure, and the processing chamber is disposed in a portion of the wafer moving system, and the processing chamber Having a "forming" hole having a first abutting surface; an eighty-hydrogen and non-oxygen oxidant source selectively coupled to the process 55 200807522; and a nozzle assembly positioned within the processing chamber to a combustion flame is guided to the substrate; a sealing plate having a second abutting surface slidably coupled to the first abutting surface, and the second abutting surface has a first groove, and one of the a first vacuum chamber is formed between the first groove and the first abutting surface, and further, the sealing plate has a hole annularly disposed around the mandrel, and the hole forms a second with the mandrel Vacuum chamber; and a vacuum source By the first and second vacuum chamber. The wafer substrate processing system of claim 62, wherein the nozzle assembly comprises two or more nozzles. 64. The wafer substrate processing system of claim 63, wherein the two or more nozzles are made of sapphire. 65. The wafer substrate processing system of claim 62, wherein the spray nozzle assembly comprises two or more nozzles, and wherein the two or more nozzles are held in a relative manner The top surface of the substrate is at an angle. 66. The wafer substrate processing system of claim 62, wherein the wafer movement system comprises a fixture. The wafer substrate processing system of claim 62, wherein the wafer moving system moves the wafer substrate from a first mounting position to a second processing position. 68. The wafer substrate processing system of claim 62, wherein the means for processing the wafer comprises one of a plasma nozzle, a flame nozzle, a fluid 56 200807522 processing nozzle, and combinations thereof. 69. The wafer substrate processing system of claim 62, further comprising a laser micrometer operatively coupled to the wafer movement system. 70. The wafer substrate processing system of claim 62, wherein the laser micrometer is positioned within the chamber to detect an edge of the wafer. 5757
TW96124758A 2006-07-07 2007-07-06 Wafer processing apparatus and method TW200807522A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US81952106P 2006-07-07 2006-07-07

Publications (1)

Publication Number Publication Date
TW200807522A true TW200807522A (en) 2008-02-01

Family

ID=38894891

Family Applications (1)

Application Number Title Priority Date Filing Date
TW96124758A TW200807522A (en) 2006-07-07 2007-07-06 Wafer processing apparatus and method

Country Status (2)

Country Link
TW (1) TW200807522A (en)
WO (5) WO2008005521A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102959681A (en) * 2010-06-25 2013-03-06 株式会社爱发科 Film-forming apparatus, and method for maintaining film-forming apparatus
TWI409901B (en) * 2008-08-22 2013-09-21 Hitachi Int Electric Inc Substrate processing apparatus and method of manufacturing semiconductor device
TWI449077B (en) * 2008-04-22 2014-08-11 Oerlikon Trading Ag Method for manufacturing workpieces and ion etched apparatus
TWI483333B (en) * 2010-09-13 2015-05-01 Tokyo Electron Ltd Liquid processing apparatus, liquid processing method, and recording medium
TWI789328B (en) * 2021-11-02 2023-01-01 大陸商西安奕斯偉材料科技有限公司 Wafer preprocessing device and wafer defect detection method

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2954059B2 (en) * 1997-01-09 1999-09-27 山形日本電気株式会社 Edge rinse mechanism
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP3395696B2 (en) * 1999-03-15 2003-04-14 日本電気株式会社 Wafer processing apparatus and wafer processing method
JP4498503B2 (en) * 1999-10-29 2010-07-07 アプライド マテリアルズ インコーポレイテッド Thin film forming apparatus and thin film forming method
JP3581292B2 (en) * 2000-03-22 2004-10-27 東京エレクトロン株式会社 Processing device and processing method
US6827814B2 (en) * 2000-05-08 2004-12-07 Tokyo Electron Limited Processing apparatus, processing system and processing method
US6327517B1 (en) * 2000-07-27 2001-12-04 Applied Materials, Inc. Apparatus for on-the-fly center finding and notch aligning for wafer handling robots
KR20030090057A (en) * 2002-05-21 2003-11-28 삼성전자주식회사 Expose unit having alignment function and align method thereof
US7371992B2 (en) * 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
WO2004100247A1 (en) * 2003-05-12 2004-11-18 Sosul Co., Ltd. Plasma etching chamber and plasma etching system using same
JP2006073590A (en) * 2004-08-31 2006-03-16 Toppan Printing Co Ltd Surface cleaning method and apparatus
KR100593740B1 (en) * 2004-09-16 2006-06-28 삼성전자주식회사 Method of removing native oxide film
KR20060057111A (en) * 2004-11-23 2006-05-26 삼성전자주식회사 Edge exposure apparatus for fabricating semiconductor device
KR100568873B1 (en) * 2004-11-30 2006-04-10 삼성전자주식회사 Nozzle apparatus for stripping edge bead of wafer

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI449077B (en) * 2008-04-22 2014-08-11 Oerlikon Trading Ag Method for manufacturing workpieces and ion etched apparatus
TWI409901B (en) * 2008-08-22 2013-09-21 Hitachi Int Electric Inc Substrate processing apparatus and method of manufacturing semiconductor device
CN102959681A (en) * 2010-06-25 2013-03-06 株式会社爱发科 Film-forming apparatus, and method for maintaining film-forming apparatus
CN102959681B (en) * 2010-06-25 2015-09-16 株式会社爱发科 The maintaining method of film deposition system, film deposition system
TWI483333B (en) * 2010-09-13 2015-05-01 Tokyo Electron Ltd Liquid processing apparatus, liquid processing method, and recording medium
TWI789328B (en) * 2021-11-02 2023-01-01 大陸商西安奕斯偉材料科技有限公司 Wafer preprocessing device and wafer defect detection method

Also Published As

Publication number Publication date
WO2008005541A2 (en) 2008-01-10
WO2008005540A3 (en) 2008-02-21
WO2008005517A1 (en) 2008-01-10
WO2008005541A3 (en) 2008-02-21
WO2008005539A2 (en) 2008-01-10
WO2008005540A2 (en) 2008-01-10
WO2008005521A1 (en) 2008-01-10
WO2008005539A3 (en) 2008-03-06

Similar Documents

Publication Publication Date Title
US20080010845A1 (en) Apparatus for cleaning a wafer substrate
US20080011332A1 (en) Method and apparatus for cleaning a wafer substrate
TWI301291B (en) Method of a single wafer wet/dry cleaning apparatus
KR101010419B1 (en) Integrated method for removal of halogen residues from etched substrates by thermal process
US7585686B2 (en) Method and apparatus for processing a wafer
US7946759B2 (en) Substrate temperature measurement by infrared transmission
US7604010B2 (en) Film formation apparatus and method of using the same
US20070062647A1 (en) Method and apparatus for isolative substrate edge area processing
TW200807522A (en) Wafer processing apparatus and method
JP2007501535A (en) Plasma device, gas distribution assembly for plasma device, and processing method using them
US20080210269A1 (en) Removing unwanted film from wafer edge region with reactive gas jet
US20100190098A1 (en) Infrared endpoint detection for photoresist strip processes
US20080011421A1 (en) Processing chamber having labyrinth seal
US20080190558A1 (en) Wafer processing apparatus and method
US20080017316A1 (en) Clean ignition system for wafer substrate processing
CN101278379A (en) Substrate processing method and apparatus using a combustion flame
JP4772399B2 (en) Method and apparatus for processing substrate outer periphery
US20230290644A1 (en) Etching method and etching apparatus
WO2024049699A1 (en) Nitride thermal atomic layer etch
KR20230133190A (en) Etching method and etching apparatus
JPH10340895A (en) Semiconductor substrate processing device