JP2023523677A - Sidewall Notch Reduction for High Aspect Ratio 3D NAND Etch - Google Patents

Sidewall Notch Reduction for High Aspect Ratio 3D NAND Etch Download PDF

Info

Publication number
JP2023523677A
JP2023523677A JP2022550129A JP2022550129A JP2023523677A JP 2023523677 A JP2023523677 A JP 2023523677A JP 2022550129 A JP2022550129 A JP 2022550129A JP 2022550129 A JP2022550129 A JP 2022550129A JP 2023523677 A JP2023523677 A JP 2023523677A
Authority
JP
Japan
Prior art keywords
substrate
plasma
flow rate
tungsten
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022550129A
Other languages
Japanese (ja)
Inventor
ドール・ニキル
匠 柳川
ソン・アンキ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023523677A publication Critical patent/JP2023523677A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Abstract

基板上の積層体に高アスペクト比のフィーチャをエッチングする方法および装置を提供する。フィーチャは、3D NAND装置を形成するプロセスで形成されてよい。通常、積層体は、酸化シリコンと窒化シリコンまたは酸化シリコンとポリシリコンなどの材料からなる交互層を含む。WF6は、エッチング化学物質中に提供され、これが問題となる側壁のノッチを実質的に低減するかなくす。有利な点として、側壁のノッチがこのように改善されることで、湾曲が増加する、選択性が低下する、キャッピングが増加する、あるいはエッチング速度が低下するなど、他のトレードオフがもたらされることはない。【選択図】図3A method and apparatus are provided for etching high aspect ratio features in a stack on a substrate. Features may be formed in a process that forms a 3D NAND device. Stacks typically include alternating layers of materials such as silicon oxide and silicon nitride or silicon oxide and polysilicon. WF6 is provided in the etch chemistry, which substantially reduces or eliminates the problematic sidewall notch. Advantageously, this sidewall notch improvement provides other tradeoffs such as increased curvature, decreased selectivity, increased capping, or decreased etch rate. no. [Selection drawing] Fig. 3

Description

参照による援用
本出願の一部としてPCT願書様式を本明細書と同時に提出する。同時に提出したPCT願書様式に記載されている通りの利益または記載されているものに対する優先権を本出願が主張している各出願を、あらゆる目的のために参照によりその全容を本願に援用する。
INCORPORATION BY REFERENCE A PCT request form is filed herewith as part of this application. Each application to which this application claims the benefit or priority to which it is set forth in the concurrently filed PCT request form is hereby incorporated by reference in its entirety for all purposes.

本明細書の実施形態は、半導体デバイスを製造する方法および装置に関し、さらに詳細には、側壁のノッチを低減し、プロファイルのトレードオフなしで、高アスペクト比のフィーチャを誘電体含有材料にエッチングする方法および装置に関する。 Embodiments herein relate to methods and apparatus for manufacturing semiconductor devices and, more particularly, to reduce sidewall notches and etch high aspect ratio features in dielectric-containing materials without profile trade-offs. It relates to a method and apparatus.

半導体デバイスの製造過程で頻繁に用いられる1つのプロセスが、誘電体含有材料の積層体に、エッチングしたシリンダまたはその他の凹んだフィーチャを形成することである。例えば、このようなプロセスは、3D NAND(垂直NANDまたはV-NANDとも呼ばれる)構造の製造などのメモリ用途で広く使用されている。半導体産業が進歩し、デバイスの寸法が小さくなるにつれて、特に幅が狭く、かつ/または深さが深い高アスペクト比のシリンダの場合、そのようなフィーチャを均一にエッチングすることがますます困難になっている。 One process frequently used in the fabrication of semiconductor devices is the formation of etched cylinders or other recessed features in stacks of dielectric-containing materials. For example, such processes are widely used in memory applications such as the fabrication of 3D NAND (also called vertical NAND or V-NAND) structures. As the semiconductor industry advances and device dimensions shrink, it becomes increasingly difficult to etch such features uniformly, especially for high aspect ratio cylinders that are narrow and/or deep. ing.

本明細書に記載している背景説明は、本開示の状況を全体的に提示することを目的としている。この背景の項に記載している範囲で、現在明記している発明者の研究、および出願時に先行技術として適格ではない可能性がある説明の側面は、明示的にも黙示的にも本開示に対抗する先行技術であるとは認められない。 The background information provided herein is for the purpose of generally presenting the context of the present disclosure. To the extent set forth in this Background section, the presently specified inventor's work, and aspects of the description that may not qualify as prior art at the time of filing, are expressly or implicitly incorporated by reference into this disclosure. is not admitted to be prior art against

本明細書の特定の実施形態は、誘電体材料を含む積層体にフィーチャをエッチングする方法および装置に関するものである。通常、フィーチャは、基板に3D NAND構造を製作する際に積層体にエッチングされる。 Certain embodiments herein relate to methods and apparatus for etching features in stacks containing dielectric materials. Typically, features are etched into the stack during fabrication of 3D NAND structures on the substrate.

本明細書の実施形態の1つの態様では、3D NAND構造を製作する際に誘電体材料を含む積層体にフィーチャをエッチングする方法を提供し、本方法は、反応チャンバ内で基板支持体上に基板を受け取り、基板は、積層体と、積層体の上部にパターニングされるマスク層とを含み、積層体は、(a)酸化シリコンと窒化シリコンとの交互層、または(b)酸化シリコンとポリシリコンとの交互層のいずれかを含み、反応チャンバ内で基板をプラズマに曝露し、それによって基板上で積層体にフィーチャをエッチングすることとを含み、プラズマは、WF6、1つ以上のフルオロカーボンおよび/またはハイドロフルオロカーボン、ならびに1つ以上の酸化体を含むプラズマ発生ガスから発生し、WF6の流量は約0.1~10sccmであり、プラズマは容量結合プラズマであり、基板は、約20kHz~1.5MHzの周波数、基板あたり約500W~20kWのRF電力レベルでバイアスされ、WF6およびフルオロカーボンおよび/またはハイドロフルオロカーボンは、エッチング過程でフィーチャの側壁にタングステンベースのポリマー膜を形成し、タングステンベースのポリマー膜は、酸化シリコンと窒化シリコンとの交互層の間、または酸化シリコンとポリシリコンとの交互層の間における均一なエッチング速度を促進してエッチング過程でフィーチャの側壁がノッチ化されないようにする。 In one aspect of the embodiments herein, a method is provided for etching features in a stack including a dielectric material in fabricating a 3D NAND structure, the method comprising: A substrate is received, the substrate including a stack and a mask layer patterned on top of the stack, the stack comprising (a) alternating layers of silicon oxide and silicon nitride, or (b) silicon oxide and poly. exposing the substrate to a plasma in a reaction chamber to thereby etch features in the stack on the substrate, the plasma containing any of the alternating layers with silicon, the plasma containing WF6 , one or more fluorocarbons; and/or a hydrofluorocarbon, and a plasma-generating gas comprising one or more oxidants, the flow rate of WF6 is about 0.1-10 sccm, the plasma is a capacitively coupled plasma, and the substrate has a frequency of about 20 kHz to Biased at a frequency of 1.5 MHz and an RF power level of about 500 W to 20 kW per substrate, the WF 6 and fluorocarbons and/or hydrofluorocarbons form a tungsten-based polymer film on the sidewalls of the features during the etching process. The polymer film promotes a uniform etch rate between alternating layers of silicon oxide and silicon nitride or between alternating layers of silicon oxide and polysilicon to prevent feature sidewall notching during the etching process. .

特定の実施形態では、エッチング過程で、WF6は、解離してタングステン含有断片とフッ素含有断片になることがあり、タングステン含有断片は、フッ素含有断片と比較して、フィーチャの上部近くで比較的集中したままであり、フッ素含有断片は、タングステン含有断片と比較して、フィーチャの中深くに浸透する。いくつかのこのような実施形態では、タングステンベースのポリマー膜は、フィーチャの上部近くのタングステンベースのポリマー膜のタングステンの割合が、フィーチャの下部近くのタングステンベースのポリマー膜と比較して多くなるように、フィーチャの側壁に沿って組成が不均一である。 In certain embodiments, during the etching process, WF6 may dissociate into tungsten-containing fragments and fluorine-containing fragments, where the tungsten-containing fragments are relatively high near the top of the feature compared to the fluorine-containing fragments. Remaining concentrated, the fluorine-containing fraction penetrates deeper into the feature compared to the tungsten-containing fraction. In some such embodiments, the tungsten-based polymer film is such that the tungsten-based polymer film near the top of the feature has a greater percentage of tungsten as compared to the tungsten-based polymer film near the bottom of the feature. Additionally, there is compositional non-uniformity along the sidewalls of the feature.

場合によっては、処理過程で特定の条件を用いてよい。例えば、プラズマは、約20MHz~100MHzの励起周波数かつ約6.3kW以下のRF電力で発生するとしてよい。これらの事例または他の事例では、酸化体はO2であってよく、O2の流量は約20~150sccmであってよい。これらの事例または他の事例では、プラズマ発生ガスはさらに、SF6を含んでいてよく、SF6の流量は約1~20sccmであってよい。これらの事例または他の事例では、プラズマ発生ガスはさらに、Krを含んでいてよく、Krの流量は約30~120sccmであってよい。これらの事例または他の事例では、プラズマ発生ガスはさらに、NF3を含んでいてよく、NF3の流量は約30sccm以下であってよい。これらの事例または他の事例では、フルオロカーボンまたはハイドロフルオロカーボンは、C48、C38、C46、およびCH22のうちの1つ以上を含んでいてよく、フルオロカーボンおよびハイドロフルオロカーボンの総流量は約30~240sccmであってよい。これらの事例または他の事例では、基板支持体は、基板をエッチングする間、約20~80℃の温度に維持されてよい。これらの事例または他の事例では、基板をエッチングする間、反応チャンバ内の圧力は、約10~80mTorrに維持されてよい。これらの事例または他の事例では、フィーチャは、酸化シリコンと窒化シリコンとの交互層にエッチングされてよい。これらの事例または他の事例では、WF6の流量は、プラズマ発生ガスの総流量の約0.02%~10%であってよい。これらの事例または他の事例では、WF6の流量は、プラズマ発生ガスの総流量の約0.02%~1%であってよい。これらの事例または他の事例では、WF6の流量は、プラズマ発生ガスの総流量の約0.02%~0.5%であってよい。これらの事例または他の事例では、基板は、約300kHz~600kHzのRF周波数でバイアスされてよい。例えば、基板は、約400kHzのRF周波数でバイアスされてよい。これらの事例または他の事例では、タングステンベースのポリマー膜は、酸化シリコンの層に第1の厚みで形成され、窒化シリコンまたはポリシリコンの層に第2の厚みで形成されてよく、第1の厚みと第2の厚みとは異なる。 In some cases, specific conditions may be used in the process. For example, the plasma may be generated with an excitation frequency of approximately 20 MHz to 100 MHz and an RF power of approximately 6.3 kW or less. In these cases or other cases, the oxidant may be O 2 and the flow rate of O 2 may be about 20-150 sccm. In these cases or other cases, the plasma-generating gas may further include SF 6 and the flow rate of SF 6 may be between about 1-20 sccm. In these cases or other cases, the plasma-generating gas may further include Kr, and the flow rate of Kr may be between about 30-120 sccm. In these cases or other cases, the plasma-generating gas may further include NF3 , and the flow rate of NF3 may be less than or equal to about 30 sccm. In these instances or other instances, the fluorocarbon or hydrofluorocarbon may include one or more of C4F8 , C3F8 , C4F6 , and CH2F2 , fluorocarbons and hydrofluorocarbons. The total fluorocarbon flow rate may be about 30-240 sccm. In these cases or other cases, the substrate support may be maintained at a temperature of about 20-80° C. while etching the substrate. In these cases or other cases, the pressure in the reaction chamber may be maintained at about 10-80 mTorr while etching the substrate. In these or other cases, features may be etched in alternating layers of silicon oxide and silicon nitride. In these cases or other cases, the flow rate of WF 6 may be between about 0.02% and 10% of the total flow rate of the plasma-generating gases. In these cases or other cases, the flow rate of WF 6 may be between about 0.02% and 1% of the total plasma-generating gas flow rate. In these cases or other cases, the flow rate of WF 6 may be between about 0.02% and 0.5% of the total flow rate of the plasma-generating gases. In these cases or other cases, the substrate may be biased with an RF frequency of about 300 kHz to 600 kHz. For example, the substrate may be biased with an RF frequency of approximately 400 kHz. In these cases or other cases, the tungsten-based polymer film may be formed on a layer of silicon oxide with a first thickness and formed on a layer of silicon nitride or polysilicon with a second thickness. The thickness is different from the second thickness.

開示した実施形態の別の態様では、基板上に3D NAND構造を製作する際に誘電体材料を含む積層体にフィーチャをエッチングする装置を提供し、本装置は、中に基板支持体を有する反応チャンバと、容量結合プラズマ発生器と;材料を反応チャンバに導入するための入口と、反応チャンバから材料を取り出すための出口と。本明細書に記載のいずれかの方法を行うように構成されているコントローラとを含む。 In another aspect of the disclosed embodiments, an apparatus is provided for etching features in a stack including a dielectric material in fabricating a 3D NAND structure on a substrate, the apparatus having a substrate support therein. a chamber, a capacitively coupled plasma generator; an inlet for introducing material into the reaction chamber, and an outlet for removing material from the reaction chamber. and a controller configured to perform any of the methods described herein.

例えば、開示した実施形態の特定の態様では、基板上に3D NAND構造を製作する際に誘電体材料を含む積層体にフィーチャをエッチングする装置を提供し、本装置は、中に基板支持体を有する反応チャンバと、容量結合プラズマ発生器と、材料を反応チャンバに導入するための入口と、反応チャンバから材料を取り出すための出口と、コントローラであって、反応チャンバ内で基板支持体上に基板を受け取り、基板は、積層体と、積層体の上部にパターニングされるマスク層とを含み、積層体は、(a)酸化シリコンと窒化シリコンとの交互層、または(b)酸化シリコンとポリシリコンとの交互層のいずれかを含み、WF6、1つ以上のフルオロカーボンおよび/またはハイドロフルオロカーボン、ならびに1つ以上の酸化体を含むプラズマ発生ガスからプラズマを発生させ、WF6の流量は約0.1~10sccmであり、基板を約20kHz~1.5MHzの周波数で約500W~20kWのRF電力レベルでバイアスし、反応チャンバ内で基板をプラズマに曝露し、それによって基板上で積層体にフィーチャをエッチングし、WF6およびフルオロカーボンおよび/またはハイドロフルオロカーボンは、エッチング過程でフィーチャの側壁にタングステンベースのポリマー膜を形成し、タングステンベースのポリマー膜は、酸化シリコンと窒化シリコンとの交互層の間、または酸化シリコンとポリシリコンとの交互層の間における均一なエッチング速度を促進して、エッチング過程でフィーチャの側壁がノッチ化されないようにするように構成されている、コントローラとを含む。 For example, certain aspects of the disclosed embodiments provide an apparatus for etching features in a stack including a dielectric material in fabricating a 3D NAND structure on a substrate, the apparatus including a substrate support therein. a capacitively coupled plasma generator; an inlet for introducing material into the reaction chamber; an outlet for removing material from the reaction chamber; and the substrate includes a stack and a mask layer patterned on top of the stack, the stack comprising (a) alternating layers of silicon oxide and silicon nitride, or (b) silicon oxide and polysilicon and a plasma-generating gas comprising WF6 , one or more fluorocarbons and/or hydrofluorocarbons, and one or more oxidants, wherein the flow rate of WF6 is about 0.0. 1-10 sccm, biasing the substrate with an RF power level of about 500 W-20 kW at a frequency of about 20 kHz-1.5 MHz and exposing the substrate to a plasma in the reaction chamber, thereby forming features in the stack on the substrate. Etch and the WF6 and fluorocarbons and/or hydrofluorocarbons form a tungsten-based polymer film on the sidewalls of the feature during the etching process, the tungsten-based polymer film between alternating layers of silicon oxide and silicon nitride, or a controller configured to promote a uniform etch rate between alternating layers of silicon oxide and polysilicon to prevent sidewalls of the feature from notching during the etching process.

これらの特徴およびその他の特徴を、関連する図面を参照して以下に説明する。 These and other features are described below with reference to the associated drawings.

材料を交互に重ねた積層体を示す図である。FIG. 3 shows a stack of alternating materials.

図1の基板をエッチング処理した後に側壁にかなりのノッチができているのを示す図である。FIG. 2 shows a substantial notch in the sidewall after etching the substrate of FIG. 1;

図1の基板に本明細書の実施形態によるエッチング処理をした後の図である。2 is a view of the substrate of FIG. 1 after etching according to embodiments herein; FIG.

本明細書の様々な実施形態によるエッチング方法を説明するフローチャートである。4 is a flow chart illustrating etching methods according to various embodiments herein.

特定の実施形態に従って本明細書に記載したエッチング処理を実施するために使用してよい反応チャンバの図である。1 is a diagram of a reaction chamber that may be used to perform the etching processes described herein according to certain embodiments; FIG. 特定の実施形態に従って本明細書に記載したエッチング処理を実施するために使用してよい反応チャンバの図である。1 is a diagram of a reaction chamber that may be used to perform the etching processes described herein according to certain embodiments; FIG. 特定の実施形態に従って本明細書に記載したエッチング処理を実施するために使用してよい反応チャンバの図である。1 is a diagram of a reaction chamber that may be used to perform the etching processes described herein according to certain embodiments; FIG.

図1および図2は、第1の材料104と第2の材料105との交互層を含む積層体103に高アスペクト比のフィーチャ102をエッチングして部分的に製作した3D NAND構造を含む基板101を示している。図1は、エッチング前の構造を示し、図2は、高アスペクト比のフィーチャ102をエッチングした後の構造を示している。1つの例では、第1の材料は酸化シリコンで、第2の材料は窒化シリコンである。別の例では、第1の材料は酸化シリコンで、第2の材料はポリシリコンである。交互層は、材料ペアを形成する。明確にするために、図1および図2は、エッチングしたフィーチャを少数の材料ペアのみで示している。ただし、エッチング動作は、通常これよりも多くの材料ペアでエッチングすることが理解される。場合よっては、材料ペアの数は、少なくとも約20、少なくとも約30、少なくとも約40、少なくとも約60、または少なくとも約75であってよい。積層体103の各層の厚みは、約20~50nm、例えば約30~40nmであってよい。積層体103の上にあるのはマスク層106である。マスク層106は、高アスペクト比のフィーチャ102をエッチングする場所に開口がある状態でパターニングされる。マスク材料の例として、非晶質炭素、ポリシリコン、およびその他の一般的なマスク材料があるが、これに限定されない。エッチング前のマスク層の厚みは、約1~2.5μmであってよい。積層体103にエッチングした高アスペクト比のフィーチャ102の深さは、約3~10μm、例えば約5~10μmであってよい。高アスペクト比のフィーチャ102の幅/直径は約50~150nm、例えば約60~110nmであってよい。場合によっては、フィーチャの幅は約100nm以下であってよい。隣接するフィーチャ間のピッチは、約100~200nm、例えば約120~170nmであってよい。 1 and 2 illustrate a substrate 101 including a 3D NAND structure fabricated in part by etching high aspect ratio features 102 into a stack 103 comprising alternating layers of first material 104 and second material 105. FIG. is shown. FIG. 1 shows the structure before etching and FIG. 2 shows the structure after etching the high aspect ratio feature 102 . In one example, the first material is silicon oxide and the second material is silicon nitride. In another example, the first material is silicon oxide and the second material is polysilicon. Alternating layers form material pairs. For clarity, FIGS. 1 and 2 show etched features with only a few material pairs. However, it is understood that the etching operation will typically etch with more material pairs than this. In some cases, the number of material pairs may be at least about 20, at least about 30, at least about 40, at least about 60, or at least about 75. Each layer of stack 103 may have a thickness of about 20-50 nm, for example about 30-40 nm. Overlying the stack 103 is a mask layer 106 . The mask layer 106 is patterned with openings where the high aspect ratio features 102 are to be etched. Examples of mask materials include, but are not limited to amorphous carbon, polysilicon, and other common mask materials. The thickness of the mask layer before etching may be about 1-2.5 μm. The depth of the high aspect ratio features 102 etched into the stack 103 may be about 3-10 μm, such as about 5-10 μm. The width/diameter of the high aspect ratio features 102 may be about 50-150 nm, such as about 60-110 nm. In some cases, the feature width may be about 100 nm or less. The pitch between adjacent features may be about 100-200 nm, such as about 120-170 nm.

図1に示した基板101は、エッチングするために半導体処理装置に用意されている。以下に適切な装置を説明する。基板101を処理装置に導入した後、処理装置内でプラズマが発生し、基板101がプラズマに曝露される。一定時間経過後、このプラズマへの曝露により、マスク層106で保護されていない基板101の領域にエッチングが行われ、それによって図2に示したように高アスペクト比のフィーチャ102が形成される。マスク層106はエッチング化学物質に対して耐性があるが、通常はエッチングプロセス中にいくらかの浸食を受ける。そのため、図2に示したマスク層106は、図1に示したマスク層106よりも薄い。積層体103がエッチングされると、高アスペクト比のフィーチャ102の側壁にパッシベーション層107形成される。パッシベーション層107は、積層体103の材料とエッチング化学物質から生じた1つ以上の材料とが合わさったものから形成される混合層である。そのため、パッシベーション層107の組成は、形成される層の組成によって異なる。例えば、酸化シリコン層の側壁を形成しているパッシベーション層107の一部は、通常、少なくともシリコン、酸素、および炭素を含む組成を有するが、窒化シリコン層の側壁を形成しているパッシベーション層107の一部は、通常、少なくともシリコン、窒素、および炭素を含む組成物を有する。同じように、ポリシリコン層の側壁を形成しているパッシベーション層107の一部は、通常、少なくともシリコンおよび炭素を含む組成を有する。多くの場合、パッシベーション層は、フルオロカーボン膜であり、これはポリマーであってよい。 A substrate 101, shown in FIG. 1, is prepared in a semiconductor processing apparatus for etching. Suitable devices are described below. After the substrate 101 is introduced into the processing apparatus, plasma is generated within the processing apparatus and the substrate 101 is exposed to the plasma. After a period of time, this plasma exposure etches areas of substrate 101 not protected by mask layer 106, thereby forming high aspect ratio features 102, as shown in FIG. Mask layer 106 is resistant to etching chemistries, but typically undergoes some erosion during the etching process. Therefore, the mask layer 106 shown in FIG. 2 is thinner than the mask layer 106 shown in FIG. When the stack 103 is etched, a passivation layer 107 is formed on the sidewalls of the high aspect ratio features 102 . Passivation layer 107 is a mixed layer formed from the combination of the material of laminate 103 and one or more materials resulting from the etching chemistry. Therefore, the composition of the passivation layer 107 varies depending on the composition of the layer to be formed. For example, the portion of passivation layer 107 forming the sidewalls of a silicon oxide layer typically has a composition including at least silicon, oxygen, and carbon, whereas the portion of passivation layer 107 forming the sidewalls of a silicon nitride layer typically has a composition that includes at least silicon, oxygen, and carbon. Some typically have compositions that include at least silicon, nitrogen, and carbon. Similarly, the portion of passivation layer 107 forming the sidewalls of the polysilicon layer typically has a composition that includes at least silicon and carbon. Often the passivation layer is a fluorocarbon film, which can be a polymer.

図2は、パッシベーション層107が比較的同一形状で均一に堆積されていることを示しているが、そうではない場合もある。場合によっては、パッシベーション層107は、高アスペクト比のフィーチャ102の上部近くに集中し、フィーチャの底部近くにはパッシベーション層107がほとんどないかまったくないことがある。場合によっては、パッシベーション層107は、以下でさらに考察するように、第1の材料の層104と第2の材料の層105との間に不均一に形成されることがある。 Although FIG. 2 shows that the passivation layer 107 is deposited relatively uniformly and uniformly, this may not be the case. In some cases, passivation layer 107 may be concentrated near the top of high aspect ratio features 102 with little or no passivation layer 107 near the bottom of the feature. In some cases, the passivation layer 107 may be unevenly formed between the layer of first material 104 and the layer of second material 105, as discussed further below.

図2に示したように、交互層の積層体をエッチングするときに生じ得る1つの問題は、2つの異なる層の間でエッチング速度が不均一になることである。多くの場合、酸化シリコン材料は、窒化シリコンまたはポリシリコン材料よりも速く垂直方向にエッチングされる。酸化物材料の垂直方向のエッチング速度がこのように速いと、酸化物層の水平方向のエッチングが比較的少なくなる。これとは対照に、窒化物層は、垂直方向にはゆっくりとエッチングされ、水平方向には広くエッチングされる。このようにエッチング速度が一致していない結果、窒化シリコン材料(またはポリシリコン材料)の側壁には、過剰エッチングされた領域が形成される可能性があり、それによってノッチ化された(ノッチを有する)ある側壁になる。図2の例では、第1の材料104(例えば酸化シリコン)の層は、水平方向のエッチングが第2の材料105(例えば窒化シリコンまたはポリシリコン)の層よりも少ない。時間の経過に伴い、この不均一なエッチングの結果、図2に示したようにノッチ化された側壁になる。このノッチは望ましくない。図2には示していないが、ノッチは、かなりのイオン散乱を引き起こす可能性があり、これは大きな湾曲の形成につながる可能性がある(例えばフィーチャの中央部分がフィーチャの上部に比べて過剰エッチングされる場合)。ノッチは、積層体の材料の誘電特性にも悪影響を及ぼす可能性がある。 One problem that can arise when etching a stack of alternating layers, as shown in FIG. 2, is non-uniformity in the etch rate between the two different layers. In many cases, silicon oxide materials etch vertically faster than silicon nitride or polysilicon materials. Such a high vertical etch rate of the oxide material results in relatively little horizontal etching of the oxide layer. In contrast, the nitride layer etches slowly in the vertical direction and widely in the horizontal direction. As a result of this etch rate mismatch, the sidewalls of the silicon nitride material (or polysilicon material) can form over-etched regions, thereby becoming notched (notched). ) becomes a certain side wall. In the example of FIG. 2, a layer of first material 104 (eg, silicon oxide) is laterally etched less than a layer of second material 105 (eg, silicon nitride or polysilicon). Over time, this non-uniform etch results in notched sidewalls as shown in FIG. This notch is undesirable. Although not shown in FIG. 2, notches can cause significant ion scattering, which can lead to the formation of large bows (e.g., the central portion of the feature is overetched compared to the top of the feature). (if any). Notches can also adversely affect the dielectric properties of the laminate material.

図2は、積層体103の各層が垂直方向の側壁を有するものとして示しているが、必ずしもこうであるとは限らない。様々な実装形態では、水平方向に過剰エッチングされた材料の層(例えば酸化物と窒化物との積層体内の窒化シリコン、または酸化物とポリシリコンとの積層体内のポリシリコン)は、層の上部近くで最も大きく過剰エッチングされるため、他の材料層のすぐ下にアンダーカットが形成される。過剰エッチングされた層の下部は、過剰エッチングの度合いが小さいことがあるか、まったく過剰エッチングされないことがある。そのため、過剰エッチングされた層の側壁は、傾斜しているか、湾曲しているか、あるいは垂直でないことがある。 Although FIG. 2 shows each layer of stack 103 as having vertical sidewalls, this is not necessarily the case. In various implementations, a horizontally overetched layer of material (e.g., silicon nitride in a stack of oxide and nitride, or polysilicon in a stack of oxide and polysilicon) is removed from the top of the layer. The largest overetch in the vicinity creates an undercut just below the other layers of material. The bottom portion of the overetched layer may be less overetched or may not be overetched at all. As such, the sidewalls of the overetched layer may be slanted, curved, or non-vertical.

理論または作用機構に束縛されることを望むものではないが、側壁のノッチは、積層体103の異なる材料にパッシベーション層107が不均一に形成されることによって引き起こされる可能性があると考えられる。例えば、パッシベーション層107は、第2の材料105(例えば、窒化シリコンまたはポリシリコン)の層の側壁と比較して、第1の材料104(例えば、酸化シリコン)の層の側壁の方が大きい厚みで形成されるとしてよい。パッシベーション層107が厚いほど、横方向のエッチングに対する保護が大きくなる。よって第1の材料の層は、薄いパッシベーション層107が上にある第2の材料の層よりも横方向のエッチングが少ない。 While not wishing to be bound by theory or mechanism of action, it is believed that sidewall notches may be caused by uneven formation of passivation layer 107 on different materials of laminate 103 . For example, passivation layer 107 may have a greater thickness on the sidewalls of the layer of first material 104 (eg, silicon oxide) than on the sidewalls of the layer of second material 105 (eg, silicon nitride or polysilicon). may be formed by The thicker the passivation layer 107, the greater the protection against lateral etching. Thus, the layer of the first material is laterally etched less than the layer of the second material on which the thin passivation layer 107 rests.

代わりに、またはこれに加えて、側壁のノッチは、2つの異なる材料の不均一なエッチング速度によって引き起こされることがある。場合によっては、これにより特に、最初の速度でエッチングされる上の層と、それとは異なる速度でエッチングされる下の層との間の交差部に角が形成されることがある。このような角は、イオン衝撃に曝露され、これは、特に過剰エッチングされた層の上部領域で、アンダーカットの形成を引き起こす可能性がある。 Alternatively or additionally, sidewall notches may be caused by non-uniform etch rates of two different materials. In some cases, this can result in the formation of corners, particularly at intersections between upper layers that etch at a first rate and lower layers that etch at a different rate. Such corners are exposed to ion bombardment, which can lead to the formation of undercuts, especially in the upper regions of overetched layers.

側壁のノッチは、2つの別種類の層の間の応力の差によって引き起こされることもある。1つまたは複数の原因に関係なく、側壁のノッチが生じていることは明らかである。 Sidewall notches can also be caused by stress differences between two dissimilar layers. Clearly, sidewall notching occurs regardless of one or more causes.

側壁のノッチを低減するために特定の技術が開発されてきた。多くの場合、これらの技術には、エッチング化学物質の組成を調整することが含まれる。さらに詳細には、エッチング化学物質は、基板をエッチングするプラズマ中の窒素含有種、酸素含有種、炭素含有種、およびフッ素含有種の比率を制御することによって調整されてきた。しかし、これらの技術は通常、エッチングされたフィーチャのプロファイルに関わるトレードオフをもたらす。例えば、このような技術によって、湾曲が形成されたり(例えばフィーチャの上部に比べてフィーチャの中央部分が過剰エッチングされる場合)、選択性が低下したり、キャッピングが増加したり、エッチング速度が低下したりすることがある。このような結果はいずれも望ましくない。 Certain techniques have been developed to reduce sidewall notches. These techniques often involve adjusting the composition of the etch chemistry. More specifically, etch chemistries have been tuned by controlling the ratios of nitrogen-, oxygen-, carbon-, and fluorine-containing species in the plasma that etches the substrate. However, these techniques typically result in tradeoffs involving the profile of the etched features. For example, such techniques can create bowing (e.g., if the central portion of the feature is overetched relative to the top of the feature), reduce selectivity, increase capping, or reduce etch rate. sometimes Any such result is undesirable.

エッチング化学物質に六フッ化タングステン(WF6)を含めることで、湾曲、選択性、キャッピング、またはエッチング速度に関わるトレードオフを伴うことなく側壁のノッチがなくなるか実質的に低減されることがわかった。その結果、エッチングされたフィーチャの側壁は遥かに滑らかになる。この結果は極めて望ましいものである。 The inclusion of tungsten hexafluoride ( WF6 ) in the etch chemistry has been found to eliminate or substantially reduce sidewall notches without trade-offs in bowing, selectivity, capping, or etch rate. rice field. As a result, the sidewalls of etched features are much smoother. This result is highly desirable.

理論または作用機構に束縛されることを望むものではないが、WF6は、第1の材料(例えば酸化シリコン)と第2の材料(例えば窒化シリコンまたはポリシリコン)との垂直方向および/または水平方向のエッチング速度をより等しくする可能性があると考えられる。例えば、WF6は、第1の材料垂直方向のエッチング速度を下げ(例えばそれによって第1の材料の水平方向のエッチング速度が上がる)、かつ/または第2の材料の垂直方向のエッチング速度を上げるとしてよい(例えばそれによって第2の材料の水平方向のエッチング速度が下がる)。代わりに、またはこれに加えて、WF6は、第1の材料(例えば酸化シリコン)の側壁にあるパッシベーション層の形成速度を下げ、かつ/または第2の材料(例えば窒化シリコンまたはポリシリコン)の側壁にあるパッシベーション層の形成速度を上げるとしてよい。代わりに、またはこれに加えて、WF6は、2つの異なる種類の層間の膜の応力またはその他の特性の差に対抗するとしてよい。 Without wishing to be bound by theory or mechanism of action, it is believed that WF 6 is a vertical and/or horizontal polarizer between a first material (e.g. silicon oxide) and a second material (e.g. silicon nitride or polysilicon). It is believed that the etch rates in the directions may be made more equal. For example, WF6 reduces the vertical etch rate of the first material (eg, thereby increasing the horizontal etch rate of the first material) and/or increases the vertical etch rate of the second material. (eg, thereby reducing the horizontal etch rate of the second material). Alternatively, or in addition, WF6 slows the formation of a passivation layer on the sidewalls of the first material (eg silicon oxide) and/or reduces the formation rate of the passivation layer on the sidewalls of the second material (eg silicon nitride or polysilicon). It may speed up the formation of the passivation layer on the sidewalls. Alternatively or additionally, WF 6 may counter differences in film stress or other properties between two different types of layers.

WF6は、プラズマ内にF*が過剰にある結果、第1の材料と第2の材料とのエッチング速度をより均一にするとしてよい。代わりに、またはこれに加えて、WF6は、図2のパッシベーション層107と同じように、タングステンベース(例えば場合によっては酸化タングステンベース)の側壁ポリマー膜を生成するとしてよい。タングステンベースの側壁ポリマー膜は、様々な層の側壁の上に滑らかに堆積するとしてよく、それによってノッチの形成を防止する。 WF6 may result in a more uniform etch rate between the first material and the second material as a result of excess F* in the plasma. Alternatively or additionally, WF 6 may produce a tungsten-based (eg, possibly tungsten oxide-based) sidewall polymer film, similar to passivation layer 107 of FIG. The tungsten-based sidewall polymer film may smoothly deposit on the sidewalls of the various layers, thereby preventing notch formation.

WF6は、タングステンベースの膜を堆積させるのに広く使用されている。ただし、WF6は、エッチング化学物質の一部としては一般に使用されていない。エッチング化学物質にWF6を添加することに関して側壁のノッチの改善が観察されたことは予想外であった。 WF6 is widely used to deposit tungsten-based films. However, WF6 is not commonly used as part of etch chemistries. It was unexpected that sidewall notch improvement was observed with the addition of WF6 to the etch chemistry.

図3は、本明細書の一実施形態によるエッチングプロセスの後の図1の基板101を示している。この場合、エッチング化学物質はWF6を含んでいる。その結果、第1の材料104と第2の材料105とは、均一な速度でエッチングされ、生じる側壁は滑らかである。パッシベーション層107は、図3では同一形状で均一であるように示されている。ただし、必ずしもこうであるとは限らない。パッシベーション層107は、厚みおよび/または組成が均一でなくてもよい。例えば、フィーチャの上部近くでは比較的厚く、フィーチャの下部近くでは比較的薄いか存在しなくてもよい(またはこの逆)。1つの事例では、パッシベーション層107は、フィーチャの上部近くでは比較的タングステンが多く、フィーチャの下部近くでは比較的タングステンが少ない(またはその逆)組成であってよい。これらの事例または他の事例では、パッシベーション層107は、フィーチャの上部では比較的炭素が少なく、フィーチャの下部では比較的炭素が多い(またはその逆)組成であってよい。特定の実施形態では、パッシベーション層107は、2つのパッシベーション層を含んでいてよく、そのうちの1つは、タングステンベースのもので、もう1つは炭素ベースのものである。2つのパッシベーション層は、互いに重なっていてよく(例えば別々の層として、または混ざりあった層として)、かつ/またはフィーチャ内の垂直方向の異なる位置に形成されてよい(例えばタングステンベースのパッシベーション層は、炭素ベースのパッシベーション層と比較して、フィーチャの上部またはフィーチャの下部の方に近い)。図2に関して前述したように、パッシベーション層107の組成は、それに接して形成される層の組成にも左右されるとしてよい。 FIG. 3 shows the substrate 101 of FIG. 1 after an etching process according to one embodiment herein. In this case the etch chemistry includes WF6 . As a result, the first material 104 and the second material 105 are etched at a uniform rate and the resulting sidewalls are smooth. The passivation layer 107 is shown in FIG. 3 as having the same shape and uniformity. However, this is not necessarily the case. Passivation layer 107 may not be uniform in thickness and/or composition. For example, it may be relatively thick near the top of the feature and relatively thin or absent near the bottom of the feature (or vice versa). In one case, passivation layer 107 may have a composition that is relatively tungsten-rich near the top of the feature and relatively tungsten-poor near the bottom of the feature (or vice versa). In these cases or other cases, the passivation layer 107 may be relatively carbon-poor on the top of the feature and relatively carbon-rich on the bottom of the feature (or vice versa). In certain embodiments, passivation layer 107 may include two passivation layers, one of which is tungsten-based and the other is carbon-based. The two passivation layers may overlap each other (e.g., as separate layers or as intermingled layers) and/or may be formed at different vertical locations within the feature (e.g., a tungsten-based passivation layer may be , closer to the top of the feature or the bottom of the feature compared to carbon-based passivation layers). As discussed above with respect to FIG. 2, the composition of passivation layer 107 may also depend on the composition of layers formed in contact therewith.

1つの特定の実施形態では、パッシベーション層内のタングステンは、フィーチャの下部と比較して、フィーチャの上部に向かって集中していてよい。換言すると、WF6からのタングステンの多くは、フィーチャの上部近くに留まっている。これは、場合によってはマスク層を保持するのに役立つ可能性がある。フィーチャの上部近くのタングステンの濃度は、タングステンおよびタングステン含有種の付着係数が高い結果であるとしてよい。このような付着係数が高い種が側壁に接触すると、跳ね返らずに「付着する」可能性が非常に高くなってフィーチャの奥深くまで入っていく。WF6からのフッ素は、付着係数が遥かに低く、フィーチャの下部にさらに容易に浸透することができ、その場合はエッチング速度の上昇に加担する。これらの要因の両方(例えばタングステン含有種がフィーチャの上部近くに留まることと、フッ素含有種がフィーチャの下部に移動して積層体をさらにエッチングすること)により、エッチング選択性が望ましい通りに高いままになる。 In one particular embodiment, tungsten in the passivation layer may be concentrated towards the top of the feature as compared to the bottom of the feature. In other words, most of the tungsten from WF6 stays near the top of the feature. This may help retain the mask layer in some cases. A concentration of tungsten near the top of the feature may result in a higher sticking coefficient for tungsten and tungsten-containing species. When such high sticking coefficient species contact the sidewalls, they are very likely to "stick" deep into the feature without rebounding. Fluorine from WF 6 has a much lower sticking coefficient and can penetrate the bottom of features more easily, thus contributing to increased etch rates. Both of these factors (e.g., tungsten-containing species remaining near the top of the feature and fluorine-containing species migrating to the bottom of the feature to further etch the stack) ensure that the etch selectivity remains desirably high. become.

図4は、本発明の様々な実施形態による、誘電体材料を含む積層体のフィーチャをエッチングする方法を記載しているフローチャートである。本方法は、基板を反応チャンバに用意する操作401から始まる。基板は、例えば図1に関して記載した基板であってよい。次に操作403で、プラズマ発生ガスからプラズマが発生する。プラズマ発生ガスは、少なくともWF6を含む。プラズマ発生ガスは、積層体の材料をエッチングに適したエッチング化学物質も含む。様々な例では、エッチング化学物質は、例えば1つ以上の酸素含有種、1つ以上の炭素含有種、および1つ以上のフッ素含有種を含む。エッチング化学物質に一般に使用される材料の例として、C38、C48、C46、CH22、CH3F、CHF3、C58、C66などのフルオロカーボンおよびハイドロフルオロカーボン、O2、O3、CO、CO2、COSなどの酸化体、およびNF3があるが、これに限定されない。不活性種もプラズマ発生ガスに提供されてよい。 FIG. 4 is a flow chart describing a method of etching features of a stack including dielectric material, according to various embodiments of the present invention. The method begins with operation 401 where a substrate is provided in a reaction chamber. The substrate may be, for example, the substrate described with respect to FIG. Next, in operation 403, a plasma is generated from the plasma-generating gas. The plasma generating gas contains at least WF6 . The plasma-generating gas also includes etch chemistries suitable for etching the laminate material. In various examples, the etch chemistries include, for example, one or more oxygen-containing species, one or more carbon-containing species, and one or more fluorine-containing species. Examples of materials commonly used for etch chemistries include C3F8 , C4F8 , C4F6 , CH2F2 , CH3F , CHF3 , C5F8 , C6F6 , etc. fluorocarbons and hydrofluorocarbons, oxidants such as O2 , O3 , CO, CO2 , COS, and NF3 . Inert species may also be provided in the plasma generating gas.

プラズマ発生ガス中のWF6の流量は、少なくとも約0.1sccm、または少なくとも約0.2sccm、または少なくとも約0.5sccm、または少なくとも約1sccmであってよい。これらの事例または他の事例では、WF6の流量は、約20sccm以下、例えば約10sccm以下、または約5sccm以下、または約2sccm以下、または約1sccm以下、または約0.5sccm以下であってよい。特定の実施形態では、WF6の流量は、約0.1~10sccmであってよい。プラズマ発生ガスの全体の流量は、少なくとも約1sccm、少なくとも約10sccm、少なくとも約50sccm、または少なくとも約80sccmであってよい。これらの事例または他の事例では、プラズマ発生ガスの全体の流量は、約600sccm以下、または約500sccm以下、または約300sccm以下、または約200sccm以下、または約100sccm以下、または約50sccm以下であってよい。場合によっては、1つ以上のフルオロカーボン源を(反応チャンバに送給する前または後に)混合して、例えば所望の割合の炭素およびフッ素を供給してよい。いくつかの例では、C48および/またはC38および/またはC46の流量が約20~120sccmであってよい。これらの例または他の例では、CH22の流量が約10~120sccmであってよい。様々な実施形態では、フルオロカーボンおよびハイドロフルオロカーボンの総流量が約30~240sccmであってよい。これらの例または他の例では、NF3の流量が約0~30sccmであってよい。これらの例または他の例では、O2の流量が約20~150sccmであってよい。これらの例または他の例では、SF6の流量が約1~20sccmであってよい。これらの例または他の例では、Krの流量が約30~120sccmであってよい。様々な事例では、WF6は、プラズマ発生ガスの体積流量の少なくとも約0.02%、または少なくとも約0.05%、または少なくとも約0.1%、または少なくとも約0.5%、または少なくとも約1%、または少なくとも約3%を占めていてよい。これらの事例または他の事例では、WF6は、プラズマ発生ガスの体積流量の約10%以下、または約5%以下、または約1%以下、または約0.5%以下を占めていてよい。 The flow rate of WF6 in the plasma-generating gas may be at least about 0.1 seem, or at least about 0.2 seem, or at least about 0.5 seem, or at least about 1 seem. In these or other cases, the flow rate of WF6 may be about 20 seem or less, such as about 10 seem or less, or about 5 seem or less, or about 2 seem or less, or about 1 seem or less, or about 0.5 seem or less. In certain embodiments, the WF 6 flow rate may be about 0.1-10 seem. The total plasma-generating gas flow rate may be at least about 1 sccm, at least about 10 sccm, at least about 50 sccm, or at least about 80 sccm. In these or other cases, the total plasma-generating gas flow rate may be about 600 sccm or less, or about 500 sccm or less, or about 300 sccm or less, or about 200 sccm or less, or about 100 sccm or less, or about 50 sccm or less. . Optionally, one or more fluorocarbon sources may be mixed (before or after delivery to the reaction chamber) to provide, for example, the desired proportions of carbon and fluorine. In some examples, the C 4 F 8 and/or C 3 F 8 and/or C 4 F 6 flow rate may be between about 20-120 sccm. In these or other examples, the CH 2 F 2 flow rate may be about 10-120 sccm. In various embodiments, the total flow rate of fluorocarbons and hydrofluorocarbons can be about 30-240 sccm. In these or other examples, the NF 3 flow rate may be about 0-30 sccm. In these or other examples, the O 2 flow rate may be about 20-150 sccm. In these or other examples, the SF 6 flow rate may be about 1-20 sccm. In these or other examples, the Kr flow rate may be about 30-120 sccm. In various instances, WF6 is at least about 0.02%, or at least about 0.05%, or at least about 0.1%, or at least about 0.5%, or at least about It may account for 1%, or at least about 3%. In these or other cases, WF 6 may comprise about 10% or less, or about 5% or less, or about 1% or less, or about 0.5% or less of the volumetric flow rate of the plasma-generating gas.

様々な事例では、プラズマを発生させるために以下の条件を用いてよい。プラズマは、容量結合プラズマであってよい。プラズマは、約13~169MHz、例えば約20~100MHz(例えば特定の事例では60MHz)の励起周波数で、300mm基板あたり約0ワット~6.3kWの電力レベルで発生するとしてよい。様々な事例では、プラズマを発生させるために使用する電力レベルは、特に高いことがあり、例えば300mm基板あたり約5kW以上、または約6kW以上である。例えば垂直方向の高速のエッチング速度を促進するために、基板に比較的高いバイアスを印加してよい。バイアスは、約20kHz~1.5MHz、または約200kHz~1.5MHz、または約300kHz~600kHz(例えば特定の事例では約400kHz)の周波数で、300mm基板あたり約500W~20kW、または300mm基板あたり約2~10kWの電力レベルで基板に印加されてよい。特定の実施形態では、基板は、400kHzで、約500W~20kWの電力レベルでバイアスされる。反応チャンバ内の圧力は、少なくとも約10mTorrまたは少なくとも約30mTorrであってよい。これらの事例または他の事例では、反応チャンバ内の圧力は、約500mTorr以下、例えば100mTorr以下、または約80mTorr以下、または約30mTorr以下であってよい。場合によっては、圧力は、エッチング中は比較的低いままであってよいが(例えば10~80mTorr)、反応チャンバの内壁を洗浄するための洗浄動作ではそれよりも高い圧力(例えば100~500mTorr、または300~500mTorr、または400~500mTorr)まで上がるとしてよい。用意する基板の下にある基板支持体は、(例えば加熱および/または冷却によって)約-80℃~130℃の温度に維持されてよい。場合によっては、基板支持体は、少なくとも約-80℃、または少なくとも約-50℃、または少なくとも約-20℃、または少なくとも約0℃、または少なくとも約20℃、または少なくとも約50℃、または少なくとも約70℃の温度に維持される。これらの事例または他の事例では、基板支持体は、約130℃以下、または約120℃以下、または約100℃以下、または約80℃以下、または約50℃以下、または約20℃以下、または約0℃以下、または約-20℃以下、または約-50℃以下の温度に維持されてよい。特定の事例では基板支持体は、約20~80℃の温度に維持されてよい。これらの温度は、基板がプラズマに曝露されている間に制御されている基板支持体の温度に関するとしてよい。 In various instances, the following conditions may be used to generate the plasma. The plasma may be a capacitively coupled plasma. The plasma may be generated at an excitation frequency of about 13-169 MHz, such as about 20-100 MHz (eg, 60 MHz in particular cases), at a power level of about 0 Watts to 6.3 kW per 300 mm substrate. In various instances, the power levels used to generate the plasma may be particularly high, for example about 5 kW or more, or about 6 kW or more per 300 mm substrate. For example, a relatively high bias may be applied to the substrate to promote a fast vertical etch rate. The bias is at a frequency of about 20 kHz to 1.5 MHz, or about 200 kHz to 1.5 MHz, or about 300 kHz to 600 kHz (eg, about 400 kHz in certain cases) at about 500 W to 20 kW per 300 mm substrate, or about 2.5 MHz per 300 mm substrate. A power level of ~10 kW may be applied to the substrate. In a particular embodiment, the substrate is biased at a power level of about 500W-20kW at 400kHz. The pressure within the reaction chamber may be at least about 10 mTorr or at least about 30 mTorr. In these cases or other cases, the pressure in the reaction chamber may be about 500 mTorr or less, such as 100 mTorr or less, or about 80 mTorr or less, or about 30 mTorr or less. In some cases, the pressure may remain relatively low during etching (eg, 10-80 mTorr), but higher pressures (eg, 100-500 mTorr, or 300-500 mTorr, or 400-500 mTorr). A substrate support underlying the provided substrate may be maintained at a temperature of about -80°C to 130°C (eg, by heating and/or cooling). In some cases, the substrate support is at least about −80° C., or at least about −50° C., or at least about −20° C., or at least about 0° C., or at least about 20° C., or at least about 50° C., or at least about A temperature of 70°C is maintained. In these or other cases, the substrate support is about 130° C. or less, or about 120° C. or less, or about 100° C. or less, or about 80° C. or less, or about 50° C. or less, or about 20° C. or less, or A temperature of about 0° C. or less, or about -20° C. or less, or about -50° C. or less may be maintained. In certain cases, the substrate support may be maintained at a temperature of about 20-80°C. These temperatures may relate to the temperature of the substrate support being controlled while the substrate is exposed to the plasma.

一定時間経過後、積層体にフィーチャが形成され始める。図3に示したように、フィーチャが最終エッチング深さに達した後、基板は、操作405で反応チャンバから取り除かれる。従来の手法と比較して、図4に関して記載した方法では、ノッチが比較的少ない(またはない)深いフィーチャを形成することが可能である。プラズマ発生ガス中にWF6を含めることにより、適切な流量かつ適切なプラズマ条件下で供給した場合は、側壁のノッチが実質的に低減するかなくなる。有利なことに、側壁のノッチがこのように低減することで、フィーチャの湾曲、選択性、キャッピング、またはエッチング速度に関してトレードオフがもたらされることはない。 After a period of time, features begin to form in the laminate. As shown in FIG. 3, the substrate is removed from the reaction chamber in operation 405 after the features have reached their final etch depth. Compared to conventional techniques, the method described with respect to FIG. 4 is capable of forming deep features with relatively few (or no) notches. The inclusion of WF6 in the plasma-generating gas substantially reduces or eliminates sidewall notches when supplied at appropriate flow rates and under appropriate plasma conditions. Advantageously, this reduction in sidewall notch does not provide trade-offs with respect to feature curvature, selectivity, capping, or etch rate.

装置
本明細書に記載した方法は、任意の適切な装置で実施されてよい。適切な装置は、本実施形態による処理動作を制御する命令を含む処理動作およびシステムコントローラを実現するためのハードウェアを含む。例えば、いくつかの実施形態では、ハードウェアは、処理ツールに含まれる処理ステーションを1つ以上有していてよい。
Apparatus The methods described herein may be performed in any suitable apparatus. A suitable apparatus includes hardware for implementing processing operations and a system controller that includes instructions for controlling processing operations according to the present embodiments. For example, in some embodiments, hardware may include one or more processing stations included in a processing tool.

図5A~図5Cは、本明細書に記載のエッチング動作を実施するために使用してよい調整可能なギャップがある容量結合閉じ込めRFプラズマ反応器500の実施形態を示している。図示したように、真空チャンバ502は、下方電極506を収容する内部空間を取り囲んでいるチャンバハウジング504を有する。チャンバ502の上部では、上方電極508が下方電極506から垂直方向に離れている。上方電極と下方電極508、506の平坦面は、実質的に平行で、電極間の垂直方向に対して直角である。上方電極および下方電極508、506は円形で、垂直軸に対して同軸であることが好ましい。上方電極508の下面は、下方電極506の上面に対向している。離れて対向している電極の面は、両面の間に調整可能なギャップ510を画定している。動作中、下方電極506にはRF電源(整合)520によってRF電力が供給される。RF電力は、RF供給管522、RFストラップ524およびRF電力部材526を介して下方電極506に供給される。下部電極506により均一なRF場を実現するために、接地シールド536がRF電力部材526を取り囲んでよい。共同所有されている米国特許出願第7,732,728号(同文献の全容を参照により本願に援用する)に記載されているように、ウエハがウエハポート582を介して挿入され、処理のために下方電極506の上のギャップ510で支持され、処理ガスがギャップ510に供給され、RF電力によって励起されてプラズマ状態になる。上方電極508には給電するか接地することができる。 5A-5C illustrate an embodiment of an adjustable gap capacitively coupled confined RF plasma reactor 500 that may be used to perform the etching operations described herein. As shown, vacuum chamber 502 has a chamber housing 504 enclosing an interior space that houses lower electrode 506 . At the top of chamber 502 , upper electrode 508 is vertically spaced from lower electrode 506 . The planar surfaces of the upper and lower electrodes 508, 506 are substantially parallel and perpendicular to the vertical between the electrodes. The upper and lower electrodes 508, 506 are preferably circular and coaxial with respect to the vertical axis. The lower surface of upper electrode 508 faces the upper surface of lower electrode 506 . The faces of the electrodes that face apart define an adjustable gap 510 therebetween. During operation, lower electrode 506 is RF powered by RF power supply (match) 520 . RF power is supplied to lower electrode 506 via RF supply tube 522 , RF strap 524 and RF power member 526 . A ground shield 536 may surround the RF power member 526 to provide a uniform RF field over the bottom electrode 506 . Wafers are inserted through wafer port 582 and processed for processing, as described in commonly owned U.S. Patent Application No. 7,732,728, which is incorporated herein by reference in its entirety. supported in gap 510 above lower electrode 506, a process gas is supplied to gap 510 and excited by RF power into a plasma state. The upper electrode 508 can be powered or grounded.

図5A~図5Cに示した実施形態では、下方電極506は、下方電極支持プレート516上で支持される。下方電極506と下方電極支持プレート516との間に介在する絶縁リング514が、下方電極506を支持プレート516から絶縁する。 In the embodiment shown in FIGS. 5A-5C, lower electrode 506 is supported on lower electrode support plate 516 . An insulating ring 514 interposed between the lower electrode 506 and the lower electrode support plate 516 insulates the lower electrode 506 from the support plate 516 .

RFバイアスハウジング530が下方電極506をRFバイアスハウジングボウル532上で支持している。ボウル532は、RFバイアスハウジング530のアーム534によって、チャンバ壁プレート518の開口を介して導管支持プレート538に結合されている。好適な実施形態では、RFバイアスハウジングボウル532とRFバイアスハウジングアーム534は、1つの構成要素として一体に形成されているが、アーム534とボウル532は、ボルト固定されるか接合された2つの別個の構成要素とすることもできる。 RF bias housing 530 supports lower electrode 506 on RF bias housing bowl 532 . Bowl 532 is coupled to conduit support plate 538 through an opening in chamber wall plate 518 by arm 534 of RF bias housing 530 . In the preferred embodiment, RF bias housing bowl 532 and RF bias housing arm 534 are integrally formed as one component, but arm 534 and bowl 532 are two separate pieces that are bolted or joined together. can also be a component of

RFバイアスハウジングアーム534は、RF電力ならびに設備(気体冷却剤、液体冷却剤、RFエネルギー、リフトピン制御用のケーブル、電気で監視し作動させる信号など)を、下側電極506の後ろ側の空間で、真空チャンバ502の外側から真空チャンバ502の内側に通すための1つ以上の中空の通路を有する。RF供給管522は、RFバイアスハウジングアーム534から絶縁されており、RFバイアスハウジングアーム534は、RF電力がRF電源520へ戻る帰路となる。設備管路540が設備要素のための通路となる。設備要素のこれ以上の詳細は、米国特許第5,948,704号および第7,732,728号に記載されており、説明を簡略化するため本明細書には提示しない。ギャップ510は、閉じ込めリングアセンブリまたはシュラウド(図示せず)で取り囲まれることが好ましく、その詳細については、共同所有されている米国特許公報第7,740,736号に見ることができ、同文献を参照により本願に援用する。真空チャンバ502の内部は、真空入口580を通って真空ポンプに接続することで低圧に維持されている。 The RF bias housing arm 534 routes RF power and equipment (gas coolant, liquid coolant, RF energy, cables for lift pin control, signals to electrically monitor and activate, etc.) in the space behind the lower electrode 506. , has one or more hollow passageways for passing from outside the vacuum chamber 502 to inside the vacuum chamber 502 . RF feed tube 522 is insulated from RF bias housing arm 534 , which provides a return path for RF power back to RF power supply 520 . Facility lines 540 provide passageways for facility elements. Further details of the equipment elements are described in US Pat. Nos. 5,948,704 and 7,732,728 and are not presented here for the sake of brevity. Gap 510 is preferably surrounded by a confinement ring assembly or shroud (not shown), further details of which can be found in commonly owned U.S. Pat. incorporated herein by reference. The interior of vacuum chamber 502 is maintained at a low pressure by connecting to a vacuum pump through vacuum inlet 580 .

導管支持プレート538は、作動機構542に装着されている。作動機構の詳細は、共同所有されている米国特許第7,732,728号に記載されており、同文献を上記により本願に援用する。サーボ機械モータ、ステッピングモータなどの作動機構542は、例えばボールねじおよびボールねじを回転させるモータなどのねじ歯車546によって垂直リニアベアリング544に装着される。ギャップ510のサイズを調整する動作で、作動機構542は、垂直リニアベアリング544に沿って移動する。図5Aは、作動機構542がリニアベアリング544上の高位置にあり、それによって小さいギャップ510aが生じているときの構成を示している。図5Bは、作動機構542がリニアベアリング544上の中間位置にあるときの構成を示している。図示したように、下方電極506、RFバイアスハウジング530、導管支持プレート538、RF電源520がすべて、チャンバハウジング504および上方電極508に対して下の方に移動している結果、中間サイズのギャップ510bになっている。 Conduit support plate 538 is attached to actuation mechanism 542 . Details of the actuation mechanism are described in commonly owned US Pat. No. 7,732,728, which is hereby incorporated by reference. An actuation mechanism 542, such as a servomechanical motor, stepper motor, etc., is mounted to a vertical linear bearing 544 by a screw gear 546, such as, for example, a ball screw and a motor that rotates the ball screw. In operation to adjust the size of gap 510 , actuation mechanism 542 moves along vertical linear bearing 544 . FIG. 5A shows the configuration when the actuation mechanism 542 is high above the linear bearing 544, thereby creating a small gap 510a. FIG. 5B shows the configuration when actuation mechanism 542 is in an intermediate position on linear bearing 544 . As shown, lower electrode 506, RF bias housing 530, conduit support plate 538, and RF power source 520 have all moved downward relative to chamber housing 504 and upper electrode 508, resulting in intermediate sized gap 510b. It has become.

図5Cは、作動機構542がリニアベアリング上の低位置にあるときの大きいギャップ510cを示している。好ましくは、上方電極および下方電極508、506は、ギャップを調整する間は同軸のままで、ギャップ全体にわたって上方電極と下方電極とが対向している面は平行のままである。 FIG. 5C shows a large gap 510c when the actuation mechanism 542 is in the low position on the linear bearing. Preferably, the upper and lower electrodes 508, 506 remain coaxial while adjusting the gap and the facing surfaces of the upper and lower electrodes remain parallel throughout the gap.

この実施形態では、例えば300mmウエハやフラットパネルディスプレイなどの直径の大きい基板全体にわたって均一なエッチングを維持するために、多工程の処理レシピ(BARC、HARCおよびSTRIPなど)の過程で、CCPチャンバ502内の下方電極506と情報電極508との間のギャップ510を調整することが可能である。特に、このチャンバは、下方電極506と上方電極508との間に調整可能なギャップを実現するのに必要な直線運動を可能にする機械的配置に関係している。 In this embodiment, in order to maintain uniform etching across large diameter substrates, such as 300 mm wafers and flat panel displays, during multi-step process recipes (such as BARC, HARC and STRIP), It is possible to adjust the gap 510 between the lower electrode 506 and the information electrode 508 of the . In particular, this chamber relates to a mechanical arrangement that allows the linear motion necessary to achieve an adjustable gap between lower electrode 506 and upper electrode 508 .

図5Aは、近位端で導管支持プレート538に封止され、遠位端でチャンバ壁プレート518の段状フランジ528に封止されている、横方向に撓んだベローズ550を示している。段状フランジの内径は、チャンバ壁プレート518の開口512を画定し、この開口をRFバイアスハウジングアーム534が通る。ベローズ550の遠位端は、クランプリング552でクランプされている。 FIG. 5A shows a laterally deflected bellows 550 sealed at its proximal end to the conduit support plate 538 and at its distal end to the stepped flange 528 of the chamber wall plate 518 . The inner diameter of the stepped flange defines an opening 512 in the chamber wall plate 518 through which the RF bias housing arm 534 passes. The distal end of bellows 550 is clamped with clamp ring 552 .

横方向に撓んだベローズ550は、真空封止を実現するとともに、RFバイアスハウジング530、導管支持プレート538および作動機構542が垂直方向に動くのを可能にする。RFバイアスハウジング530、導管支持プレート538および作動機構542をカンチレバーアセンブリと称することができる。好ましくは、RF電源520は、カンチレバーアセンブリと一緒に動き、導管支持プレート538に装着できる。図5Bは、カンチレバーアセンブリが中間位置にあるときにベローズ550が中立位置にあるのを示している。図5Cは、カンチレバーアセンブリが低位置にあるときにベローズ550が横方向に撓んでいるのを示している。 Laterally deflected bellows 550 provides a vacuum seal and allows vertical movement of RF bias housing 530, conduit support plate 538 and actuation mechanism 542. FIG. RF bias housing 530, conduit support plate 538 and actuation mechanism 542 can be referred to as a cantilever assembly. Preferably, the RF power source 520 moves with the cantilever assembly and can be attached to the conduit support plate 538 . FIG. 5B shows bellows 550 in a neutral position when the cantilever assembly is in an intermediate position. FIG. 5C shows lateral deflection of the bellows 550 when the cantilever assembly is in the low position.

ラビリンスシール548が、ベローズ550とプラズマ処理チャンバハウジング504の内部との間で粒子バリアとなる。固定シールド556が、チャンバ壁プレート518でチャンバハウジング504の内壁の内側に動かないように装着されてラビリンス溝560(スロット)ができ、ラビリンス溝の中で可動シールドプレート558が垂直方向に移動してカンチレバーアセンブリの垂直方向の動きを受け入れる。可動シールドプレート558の外側部分は、下方電極506のあらゆる垂直位置でスロット内に留まる。 Labyrinth seal 548 provides a particle barrier between bellows 550 and the interior of plasma processing chamber housing 504 . A stationary shield 556 is immovably mounted inside the inner wall of the chamber housing 504 at the chamber wall plate 518 to form a labyrinth groove 560 (slot) within which a movable shield plate 558 moves vertically. Accommodates vertical movement of the cantilever assembly. The outer portion of movable shield plate 558 remains in the slot at any vertical position of lower electrode 506 .

図示した実施形態では、ラビリンスシール548は、ラビリンス溝560を画定しているチャンバ壁プレート518にある開口512の周囲に、チャンバ壁プレート518の内面に装着された固定シールド556を有する。可動シールドプレート558は、RFバイアスハウジングアーム534に装着され、そこから、アーム534がチャンバ壁プレート518の開口512を通る場所で径方向に延在する。可動シールドプレート558は、ラビリンス溝560の中に延在するが、固定シールド556からは第1のギャップ分だけ離れ、チャンバ壁プレート518の内面からは第2のギャップ分だけ離れ、カンチレバーアセンブリが垂直方向に動けるようになっている。ラビリンスシール548は、ベローズ550から剥離した粒子が移動して真空チャンバの内部505に入るのを阻止し、処理ガスプラズマからのラジカルがベローズ550に移動するのを阻止する。ベローズではラジカルが堆積物を形成するおそれがあり、これは後に剥離される。 In the illustrated embodiment, labyrinth seal 548 has a stationary shield 556 mounted to the inner surface of chamber wall plate 518 around opening 512 in chamber wall plate 518 defining labyrinth groove 560 . Movable shield plate 558 is attached to RF bias housing arm 534 and extends radially therefrom where arm 534 passes through opening 512 in chamber wall plate 518 . The movable shield plate 558 extends into the labyrinth groove 560 but is separated from the stationary shield 556 by a first gap and from the inner surface of the chamber wall plate 518 by a second gap so that the cantilever assembly is vertical. You can move in any direction. The labyrinth seal 548 prevents particles detached from the bellows 550 from migrating into the vacuum chamber interior 505 and prevents radicals from the process gas plasma from migrating to the bellows 550 . Radicals can form deposits in the bellows, which are later stripped.

図5Aは、カンチレバーアセンブリが高位置にあるときに(小さいギャップ510a)、RFバイアスハウジングアーム534の上で可動シールドプレート558がラビリンス溝560の高位置にあるのを示している。図5Cは、カンチレバーアセンブリが低位置にあるときに(大きいギャップ510c)、RFバイアスハウジングアーム534の上で可動シールドプレート558がラビリンス溝560の低位置にあるのを示している。図5Bは、カンチレバーアセンブリが中間位置にあるときに(中位のギャップ510b)、可動シールドプレート558がラビリンス溝560内の中立位置または中間位置にあるのを示している。ラビリンスシール548は、RFバイアスハウジングアーム534を中心に対称であるものとして示されているが、他の実施形態では、ラビリンスシール548は、RFバイアスアーム534を中心に非対称であってよい。 FIG. 5A shows movable shield plate 558 in labyrinth groove 560 high position above RF bias housing arm 534 when cantilever assembly is in high position (small gap 510a). FIG. 5C shows movable shield plate 558 in labyrinth groove 560 low position over RF bias housing arm 534 when cantilever assembly is in low position (large gap 510c). FIG. 5B shows the movable shield plate 558 in a neutral or intermediate position within the labyrinth groove 560 when the cantilever assembly is in an intermediate position (middle gap 510b). Although the labyrinth seal 548 is shown as being symmetrical about the RF biased housing arm 534 , in other embodiments the labyrinth seal 548 may be asymmetrical about the RF biased arm 534 .

図6は、様々なモジュールが真空搬送モジュール638(VTM)とインターフェース接続している半導体プロセスクラスタアーキテクチャを描いている。複数の格納設備および処理モジュールの中で基板を「搬送」するための搬送モジュールの構成は、「クラスタツールアーキテクチャ」システムと呼ばれることがある。ロードロックまたは搬送モジュールとしても知られているエアロック630が、4つの処理モジュール620a~620dを有するVTM638内に示されており、処理モジュールは、様々な製造プロセスを実施するように個別に最適化されてよい。例として、処理モジュール620a~620dは、基板エッチング、堆積、イオン注入、基板洗浄、スパッタリング、および/またはその他の半導体プロセスのほか、レーザ計測およびその他の欠陥を検知し、欠陥を特定する方法も実施するように実装されてよい。1つ以上の処理モジュール(620a~620dのいずれか)を本明細書で開示した通りに、すなわち基板に陥凹のあるフィーチャをエッチングするために実装してよい。エアロック630および処理モジュール620a~620dを「ステーション」と呼ぶことがある。各ステーションは、ステーションをVTM638にインターフェース接続する小面636を有する。小面の内側では、それぞれのステーション間を移動するときに基板626が通過するのを検知するためにセンサ1~18を使用する。 FIG. 6 depicts a semiconductor process cluster architecture in which various modules interface with a vacuum transfer module 638 (VTM). The configuration of transport modules for "transporting" substrates among multiple storage facilities and processing modules is sometimes referred to as a "cluster tool architecture" system. An airlock 630, also known as a loadlock or transfer module, is shown within a VTM 638 having four processing modules 620a-620d, which are individually optimized to perform various manufacturing processes. may be By way of example, processing modules 620a-620d may also perform substrate etching, deposition, ion implantation, substrate cleaning, sputtering, and/or other semiconductor processes, as well as laser metrology and other methods of detecting and identifying defects. may be implemented to One or more processing modules (any of 620a-620d) may be implemented as disclosed herein, ie, for etching recessed features in a substrate. Airlock 630 and processing modules 620a-620d are sometimes referred to as "stations." Each station has a facet 636 that interfaces the station to VTM 638 . Inside the facet, sensors 1-18 are used to detect the passage of substrate 626 as it moves between respective stations.

ロボット622は、ステーション間で基板を搬送する。1つの実装形態では、ロボットは、アームを1つ有していてよく、別の実装形態では、ロボットはアームを2つ有していてよく、この場合、各アームは基板を取って運搬するためのエンドエフェクタ624を有する。ロードポートモジュール(LPM)642内のカセットまたはFOUP(Front Opening Unified Pod)634からエアロック630に基板を搬送するために、大気搬送モジュール(ATM)640にあるフロントエンドロボット632を使用してよい。処理モジュール620a~620dの内部にあるモジュールセンター628は、基板を配置するための1つの場所であってよい。基板を整列させるためにATM640内のアライナ644を使用してよい。 A robot 622 transports substrates between stations. In one implementation, the robot may have one arm, and in another implementation the robot may have two arms, where each arm is for picking up and carrying a substrate. of end effectors 624 . A front-end robot 632 at atmospheric transfer module (ATM) 640 may be used to transfer substrates from cassettes or Front Opening Unified Pods (FOUPs) 634 in load port module (LPM) 642 to airlock 630 . A module center 628 within the processing modules 620a-620d may be one location for placing substrates. An aligner 644 in ATM 640 may be used to align the substrates.

ある例示的な処理方法では、LPM642内のFOUP634のうちの1つに基板を配置する。フロントエンドロボット632がその基板をFOUP634からアライナ644に搬送し、それによって基板626をエッチング前に正しく中心に配置するか、上に堆積させるか、あるいは処理することが可能になる。位置合わせした後、基板は、フロントエンドロボット632によってエアロック630に移動する。エアロックモジュールは、ATMとVTMとの間の環境を適合させる機能があるため、基板は、2つの圧力環境の間を損傷せずに移動することが可能になる。基板は、エアロックモジュール630からロボット622によってVTM638を通って処理モジュール620a~620dのうちの1つ、例えば処理モジュール620aに移動する。この基板の動きを実現するために、ロボット622は、各アームでエンドエフェクタ624を使用する。処理モジュール620aでは、基板は、記載した通りにエッチングを受ける。次に、ロボット622は、基板を処理モジュール620aから次の所望の位置に動かす。 In one exemplary processing method, a substrate is placed in one of the FOUPs 634 within the LPM 642 . A front-end robot 632 transports the substrate from the FOUP 634 to the aligner 644, which allows the substrate 626 to be properly centered, deposited on, or processed prior to etching. After alignment, the substrate is moved to airlock 630 by front-end robot 632 . The airlock module functions to match the environment between the ATM and VTM so that substrates can be transferred between the two pressure environments without damage. Substrates are moved from airlock module 630 by robot 622 through VTM 638 to one of processing modules 620a-620d, eg, processing module 620a. To accomplish this substrate movement, robot 622 uses end effectors 624 on each arm. In processing module 620a, the substrate undergoes etching as described. Robot 622 then moves the substrate from processing module 620a to the next desired location.

基板の動きを制御するコンピュータは、クラスタアーキテクチャのローカルとするか、製造現場でクラスタアーキテクチャの外部に位置付けるか、遠隔地にあってネットワーク経由でクラスタアーキテクチャに接続することが可能であることに留意されたい。 It is noted that the computer that controls the movement of the substrate can be local to the cluster architecture, located external to the cluster architecture at the manufacturing site, or remotely located and connected to the cluster architecture via a network. sea bream.

いくつかの実装形態では、コントローラはシステムの一部であり、システムは上記の例の一部であってよい。このようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、処理用の1つまたは複数のプラットフォーム、および/または特定の処理構成要素(ウエハのペデスタル、ガス流システムなど)を含む半導体処理装置を備えることができる。これらのシステムは、半導体ウエハまたは基板を処理する前、その間、およびその後にシステムの動作を制御する電子機器と一体化していてよい。電子機器は、「コントローラ」と呼ばれてよく、1つまたは複数のシステムの様々な構成要素またはサブパーツを制御してよい。コントローラは、システムの処理要件および/または種類に応じて、処理ガスの供給、温度設定(例えば加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生ツールの設定、RF整合回路の設定、周波数設定、流量設定、流体供給の設定、電位および動作の設定、ツールおよびその他の移送ツールの中へ、またはそこからのウエハ移送および/または特定のシステムに接続されているか、特定のシステムの境界となっているロードロックなど、本明細書に開示したいずれかの処理を制御するようにプログラムされてよい。 In some implementations, the controller is part of the system, which may be part of the examples above. Such systems may include one or more processing tools, one or more chambers, one or more platforms for processing, and/or specific processing components (wafer pedestals, gas flow systems, etc.). A semiconductor processing apparatus comprising: These systems may be integrated with electronics that control the operation of the system before, during, and after processing semiconductor wafers or substrates. The electronics may be referred to as "controllers" and may control various components or sub-parts of one or more systems. Depending on the process requirements and/or type of system, the controller may control process gas supply, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generation tool settings, RF matching circuit settings, frequency settings, flow rate settings, fluid supply settings, potential and operation settings, wafer transfer into and out of tools and other transfer tools and/or connected to a particular system; It may be programmed to control any of the processes disclosed herein, such as loadlocks bounded by a particular system.

概して、コントローラは、命令を受け、命令を発し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどを行う、様々な集積回路、論理回路、メモリ、および/またはソフトウェアを有する電子機器であると定義されてよい。集積回路は、プログラム命令を保存するファームウェア形態のチップ、デジタルシグナルプロセッサ(DSP)、特定用途向け集積回路(ASIC)と定義されるチップ、および/または1つ以上のマイクロプロセッサ、またはプログラム命令(例えばソフトウェア)を実行するマイクロコントローラを備えていてよい。プログラム命令は、半導体ウエハ上で、もしくは半導体ウエハ用に、またはシステムに対して、特定の処理を実行する動作パラメータを定義する様々な個別の設定(またはプログラムファイル)の形態でコントローラへと伝達される命令としてよい。動作パラメータは、いくつかの実施形態では、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウエハのダイを製造する過程で1つ以上の処理工程を達成するために処理エンジニアによって定義されたレシピの一部としてよい。 Generally, the controller includes various integrated circuits, logic circuits, memory, and/or software that receive and issue commands, control operations, enable cleaning operations, enable endpoint measurements, and the like. may be defined as an electronic device that has An integrated circuit may be a chip in firmware form storing program instructions, a digital signal processor (DSP), a chip defined as an application specific integrated circuit (ASIC), and/or one or more microprocessors, or program instructions (e.g. software). Program instructions are communicated to the controller in the form of various individual settings (or program files) that define operating parameters for performing specific processes on or for a semiconductor wafer or to the system. may be an instruction to The operating parameters, in some embodiments, are one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or one or more processing steps in the process of manufacturing a wafer die. may be part of a recipe defined by the process engineer to achieve

コントローラは、いくつかの実施態様では、システムと一体化して接続しているか、システムとネットワーク接続されているか、これらを組み合わせた状態であるコンピュータの一部であってもよいし、このコンピュータに接続していてもよい。例えば、コントローラは、「クラウド」にあってもよいし、あるいはウエハ処理の遠隔アクセスを可能にできるファブホストコンピュータシステムの全体または一部であってもよい。コンピュータは、製造動作の現在の進捗を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向または性能メトリックを調査し、現在の処理のパラメータを変更し、処理ステップを設定して現在の処理に従い、または新しい処理を始めるために、システムへの遠隔アクセスを可能にしてよい。いくつかの例では、リモートコンピュータ(例えばサーバ)は、ネットワークを介してシステムに処理レシピを提供でき、このネットワークは、ローカルネットワークまたはインターネットを含んでいてよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザ境界を含んでいてよく、それらのパラメータおよび設定はその後、リモートコンピュータからシステムへ伝達される。いくつかの例では、コントローラは、1つ以上の動作中に実行される各々の処理工程に対するパラメータを指定するデータ形態の命令を受け取る。パラメータは、実行される処理の種類、およびコントローラがインターフェース接続するか制御するように構成されているツールの種類に対して固有のものとしてよいと理解すべきである。そのため、前述したように、一緒にネットワーク化され、本明細書に記載した処理および制御などの共通の目的に向かって機能する1つ以上の別個のコントローラを備えることなどによってコントローラを分散してよい。このようにするために分散したコントローラの例が、(例えばプラットホームレベルで、またはリモートコンピュータの一部として)遠隔地に位置する1つ以上の集積回路と通信するチャンバ上にあって、組み合わさってこのチャンバ上の処理を制御する1つ以上の集積回路であろう。 The controller, in some embodiments, may be part of a computer that is integrally connected to the system, networked with the system, or a combination thereof, or may be connected to the computer. You may have For example, the controller may be in the "cloud" or may be all or part of a fab host computer system that can allow remote access for wafer processing. The computer monitors the current progress of manufacturing operations, examines the history of past manufacturing operations, examines trends or performance metrics from multiple manufacturing operations, changes parameters of the current process, and sets process steps. Remote access to the system may be enabled in order to follow the current process or initiate a new process. In some examples, a remote computer (eg, server) can provide processing recipes to the system over a network, which may include a local network or the Internet. The remote computer may contain a user interface that allows the entry or programming of parameters and/or settings, which are then communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data that specify parameters for each processing step to be performed during one or more operations. It should be appreciated that the parameters may be specific to the type of processing being performed and the type of tool the controller is configured to interface with or control. Thus, as previously mentioned, the controllers may be distributed, such as by having one or more separate controllers that are networked together and serve a common purpose, such as the processing and control described herein. . An example of a distributed controller to do so is on a chamber that communicates with one or more remotely located integrated circuits (e.g., at the platform level or as part of a remote computer), in combination with There will be one or more integrated circuits that control the processing on this chamber.

非限定的に、例としてのシステムには、プラズマエッチングチャンバまたはモジュール、析出チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの製造および/または生産に関連するか使用されてよい任意のその他の半導体処理システムがあってよい。 Non-limiting example systems include plasma etch chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel edge etch chambers or modules, physical vapor deposition ( PVD) chambers or modules, chemical vapor deposition (CVD) chambers or modules, atomic layer deposition (ALD) chambers or modules, atomic layer etch (ALE) chambers or modules, ion implantation chambers or modules, track chambers or modules, and semiconductor wafers. There may be any other semiconductor processing system that may be associated with or used in the manufacture and/or production of.

上記のように、ツールによって実行される1つまたは複数の処理工程に応じて、コントローラは、他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、隣接するツール、近隣のツール、工場全体に位置するツール、主要コンピュータ、別のコントローラ、または、ウエハの容器を、半導体製造工場内のツール位置および/または搭載ポートへ運び、そこから運び出す材料輸送に使用されるツールのうちの1つ以上のツールと通信することがあってよい。 As noted above, depending on the one or more processing steps performed by the tool, the controller may select other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighborhood tools, tools located throughout the factory, main computers, separate controllers, or containers of wafers used to transport materials to and from tool locations and/or load ports within a semiconductor manufacturing plant. may communicate with one or more of the tools.

追加の実施形態
前述の様々なハードウェアおよび方法の実施形態は、例えば半導体デバイス、ディスプレイ、LED、光起電性パネルなどの製作または製造のために、リソグラフィパターニングのツールまたはプロセスと併せて使用してよい。通常このようなツール/プロセスは、必ずではないが、共通の製造施設で一緒に使用または実施される。
Additional Embodiments The various hardware and method embodiments described above may be used in conjunction with lithographic patterning tools or processes, for example, for fabrication or manufacturing of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. you can Typically, but not necessarily, such tools/processes are used or performed together at a common manufacturing facility.

膜のリソグラフィパターニングは、通常、以下の工程の一部またはすべてを含み、各工程は、可能性のある多くのツールで実現できる。(1)ワークピースに、例えば窒化シリコン膜が上に形成されている基板に、スピンオンツールまたはスプレーオンツールを使用してフォトレジストを塗布する。(2)ホットプレートもしくは炉またはその他の適切な硬化ツールを使用してフォトレジストを硬化させる。(3)ウエハステッパなどのツールを用いてフォトレジストを可視光線またはUV線またはX線光に露光する。(4)レジストを現像して、レジストを選択的に除去し、それによってウェットベンチまたはスプレー現像機などのツールを使用してレジストをパターニングする。(5)レジストのパターンを、ドライエッチングまたはプラズマアシストエッチングツールを使用して下の膜またはワークピースに転写する。そして(6)RFまたはマイクロ波のプラズマレジストストリッパーなどのツールを使用してレジストを除去する。いくつかの実施形態では、フォトレジストを塗布する前に、アッシャブルハードマスク層(アモルファスカーボン層など)および別の適切なハードマスク(反射防止層など)を堆積させてよい。 Lithographic patterning of films typically involves some or all of the following steps, each of which can be accomplished in many possible tools. (1) A workpiece, for example, a substrate having a silicon nitride film formed thereon, is coated with photoresist using a spin-on tool or a spray-on tool. (2) Cure the photoresist using a hot plate or oven or other suitable curing tool. (3) Exposing the photoresist to visible or UV or X-ray light using a tool such as a wafer stepper. (4) develop the resist to selectively remove the resist, thereby patterning the resist using a tool such as a wet bench or spray developer; (5) transfer the pattern of the resist into the underlying film or workpiece using a dry etch or plasma assisted etch tool; and (6) using a tool such as an RF or microwave plasma resist stripper to remove the resist. In some embodiments, an ashable hardmask layer (such as an amorphous carbon layer) and another suitable hardmask (such as an antireflective layer) may be deposited prior to applying the photoresist.

本明細書に記載した構成および/または手法は、例示的な性質のものであり、多くの変形例が可能であることから、これらの特定の実施形態または例を限定的な意味に解釈すべきではないことを理解されたい。本明細書に記載した特定の手順または方法は、任意の数の処理戦略のうちの1つ以上を表すとしてよい。そのため、図示した様々な行為を、図示した順序で、他の順序で、並行して、または場合によっては省略して実行してよい。同じように、上記の処理の順序は変更してよい。特定の参考文献を参照して本明細書に援用している。このような参考文献に記載されている否定または否認は、本明細書に記載した実施形態に必ずしも当てはまらないことが理解される。同様に、このような参考文献で必要に応じて記載されている特徴は、本明細書の実施形態では省略されていることがある。 Because the configurations and/or techniques described herein are illustrative in nature and many variations are possible, these particular embodiments or examples should be construed in a limiting sense. It should be understood that it is not The particular procedures or methods described herein may represent one or more of any number of processing strategies. As such, various acts shown may be performed in the order shown, in other orders, in parallel, or in some cases omitted. Likewise, the order of the above processes may be changed. Certain references are incorporated herein by reference. It is understood that any disclaimers or disclaimers made in such references do not necessarily apply to the embodiments described herein. Similarly, features described where appropriate in such references may be omitted from the embodiments herein.

本明細書では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」および「部分的に製造された集積回路」という用語は、入れ替えて使用することができる。当業者は、「部分的に製造された集積回路」という用語が、多くの集積回路製造段階のいずれかの段階でのシリコンウエハを指し得ることを理解するであろう。半導体デバイス産業で使用されるウエハまたは基板の直径は、通常200mm、または300mm、または450mmである。上記の詳細な説明では、実施形態がウエハ上に実現されると仮定している。ただし、実施形態はそれに限定されない。ワークピースの形状、サイズおよび材料は、様々であってよい。半導体ウエハに加えて、開示した実施形態を利用し得る他のワークピースとして、プリント回路基板、磁気記録媒体、磁気記録センサ、ミラー、光学素子、マイクロメカニカルデバイスなど、様々な物品がある。特定のパラメータについて特に明記しない限り、本明細書で使用する「約」および「およそ」という用語は、関連する値に対して±10%を意味することを意図している。 As used herein, the terms "semiconductor wafer," "wafer," "substrate," "wafer substrate," and "partially fabricated integrated circuit" are used interchangeably. Those skilled in the art will appreciate that the term "partially fabricated integrated circuit" can refer to a silicon wafer at any of a number of integrated circuit fabrication stages. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200 mm, or 300 mm, or 450 mm. The detailed description above assumes that the embodiments are implemented on a wafer. However, embodiments are not so limited. Workpiece shapes, sizes and materials may vary. In addition to semiconductor wafers, other workpieces that may utilize the disclosed embodiments include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optical elements, micromechanical devices, and the like. Unless otherwise specified for a particular parameter, the terms "about" and "approximately" as used herein are intended to mean ±10% relative to the relevant value.

以上の説明では、本実施形態を完全に理解してもらうために多くの特定の詳細を記載している。開示した実施形態は、これらの特定の詳細の一部または全部がなくとも実施され得る。他の場合では、開示した実施形態を不必要に不明瞭にしないように、公知の処理動作は詳細には説明していない。開示した実施形態は、具体的な実施形態と併せて記載されているが、開示した実施形態を限定する意図はないことが理解される。本開示の主題は、本明細書に開示した様々なプロセス、システムおよび構成、ならびにその他の特徴、機能、作用、および/または特性のすべての新規かつ自明ではないコンビネーションおよびサブコンビネーション、ならびにその任意の均等物およびすべての均等物を含む。 In the above description, numerous specific details are set forth in order to provide a thorough understanding of the present embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well known processing operations have not been described in detail so as not to unnecessarily obscure the disclosed embodiments. While the disclosed embodiments have been described in conjunction with specific embodiments, it will be understood that they are not intended to limit the disclosed embodiments. The subject matter of the present disclosure covers all novel and non-obvious combinations and subcombinations of the various processes, systems and configurations and other features, functions, acts and/or properties disclosed herein, and any and all combinations thereof. Including equivalents and all equivalents.

Claims (19)

3D NAND構造を製作する際に誘電体材料を含む積層体にフィーチャをエッチングする方法であって、
反応チャンバ内で基板支持体上に基板を受け取り、前記基板は前記積層体と前記積層体の上部にパターニングされるマスク層とを含み、前記積層体は(a)酸化シリコンと窒化シリコンとの交互層、または(b)酸化シリコンとポリシリコンとの交互層のいずれかを含み、
前記反応チャンバ内で前記基板をプラズマに曝露し、それによって前記基板上で前記積層体に前記フィーチャをエッチングすることを備え、
前記プラズマは、WF6、1つ以上のフルオロカーボンおよび/またはハイドロフルオロカーボン、ならびに1つ以上の酸化体を含むプラズマ発生ガスから発生し、WF6の流量は約0.1~10sccmであり、
前記プラズマは容量結合プラズマであり、
前記基板は、約20kHz~1.5MHzの周波数、基板あたり約500W~20kWのRF電力レベルでバイアスされ、
前記WF6および前記フルオロカーボンおよび/またはハイドロフルオロカーボンは、エッチング過程で前記フィーチャの側壁にタングステンベースのポリマー膜を形成し、前記タングステンベースのポリマー膜は酸化シリコンと窒化シリコンとの前記交互層の間、または酸化シリコンとポリシリコンとの前記交互層の間における均一なエッチング速度を促進して、エッチング過程で前記フィーチャの前記側壁がノッチ化されないようにする、
方法。
A method of etching features in a stack including a dielectric material in fabricating a 3D NAND structure, comprising:
receiving a substrate on a substrate support within a reaction chamber, said substrate comprising said stack and a mask layer patterned on top of said stack, said stack comprising (a) alternating silicon oxide and silicon nitride; or (b) alternating layers of silicon oxide and polysilicon,
exposing the substrate to a plasma in the reaction chamber thereby etching the feature in the stack on the substrate;
said plasma is generated from a plasma-generating gas comprising WF6 , one or more fluorocarbons and/or hydrofluorocarbons, and one or more oxidants, wherein the flow rate of WF6 is about 0.1-10 sccm;
the plasma is a capacitively coupled plasma;
the substrate is biased at a frequency of about 20 kHz to 1.5 MHz at an RF power level of about 500 W to 20 kW per substrate;
said WF6 and said fluorocarbons and/or hydrofluorocarbons form a tungsten-based polymer film on the sidewalls of said features during an etching process, said tungsten-based polymer film between said alternating layers of silicon oxide and silicon nitride; or promoting a uniform etch rate between said alternating layers of silicon oxide and polysilicon so that said sidewalls of said features are not notched during the etching process;
Method.
請求項1に記載の方法であって、エッチング過程において、前記WF6は、解離してタングステン含有断片とフッ素含有断片になり、前記タングステン含有断片は、前記フッ素含有断片と比較して前記フィーチャの上部近くで比較的集中したままであり、前記フッ素含有断片は、前記タングステン含有断片と比較して前記フィーチャの中深くに浸透する、方法。 2. The method of claim 1, wherein during etching, the WF6 dissociates into tungsten-containing fragments and fluorine-containing fragments, and the tungsten-containing fragments are more concentrated in the feature than the fluorine-containing fragments. Remaining relatively concentrated near the top, the fluorine-containing fragment penetrates deeper into the feature compared to the tungsten-containing fragment. 請求項2に記載の方法であって、前記タングステンベースのポリマー膜は、前記フィーチャの上部近くの前記タングステンベースのポリマー膜のタングステンの割合が、前記フィーチャの下部近くの前記タングステンベースのポリマー膜と比較して多くなるように、前記フィーチャの前記側壁に沿って組成が不均一である、方法。 3. The method of claim 2, wherein the tungsten-based polymer film has a proportion of tungsten in the tungsten-based polymer film near the top of the feature that is less than the tungsten-based polymer film near the bottom of the feature. The method, wherein composition is non-uniform along said sidewalls of said feature to a greater extent. 請求項1に記載の方法であって、前記プラズマは、約20MHz~100MHzの励起周波数かつ約6.3kW以下のRF電力で発生する、方法。 2. The method of claim 1, wherein the plasma is generated at an excitation frequency of approximately 20 MHz to 100 MHz and an RF power of approximately 6.3 kW or less. 請求項4に記載の方法であって、前記酸化体はO2であり、前記O2の流量は約20~150sccmである、方法。 5. The method of claim 4, wherein the oxidant is O2 and the flow rate of O2 is between about 20-150 seem. 請求項5に記載の方法であって、前記プラズマ発生ガスはさらに、SF6を含み、前記SF6の流量は、約1~20sccmである、方法。 6. The method of claim 5, wherein the plasma-generating gas further comprises SF6 , and the flow rate of said SF6 is between about 1-20 seem. 請求項6に記載の方法であって、前記プラズマ発生ガスはさらに、Krを含み、前記Krの流量は、約30~120sccmである、方法。 7. The method of claim 6, wherein the plasma-generating gas further comprises Kr, and the Kr flow rate is about 30-120 sccm. 請求項7に記載の方法であって、前記プラズマ発生ガスはさらに、NF3を含み、前記NF3の流量は、約30sccm以下である、方法。 8. The method of claim 7, wherein the plasma-generating gas further comprises NF3 , and the flow rate of NF3 is less than or equal to about 30 sccm. 請求項8に記載の方法であって、前記フルオロカーボンまたはハイドロフルオロカーボンは、C48、C38、C46、およびCH22のうちの1つ以上を含み、前記フルオロカーボンおよびハイドロフルオロカーボンの総流量は、約30~240sccmである、方法。 9. The method of claim 8, wherein the fluorocarbon or hydrofluorocarbon comprises one or more of C4F8 , C3F8 , C4F6 , and CH2F2 , the fluorocarbon and The method, wherein the total hydrofluorocarbon flow rate is about 30-240 sccm. 請求項9に記載の方法であって、前記基板をエッチングする間、前記基板支持体は、約20~80℃の温度に維持される、方法。 10. The method of claim 9, wherein the substrate support is maintained at a temperature of about 20-80°C while etching the substrate. 請求項10に記載の方法であって、前記基板をエッチングする間、前記反応チャンバ内の圧力は、約10~80mTorrに維持される、方法。 11. The method of claim 10, wherein the pressure within the reaction chamber is maintained at about 10-80 mTorr while etching the substrate. 請求項11に記載の方法であって、前記フィーチャは、酸化シリコンと窒化シリコンとの前記交互層にエッチングされる、方法。 12. The method of claim 11, wherein the features are etched into the alternating layers of silicon oxide and silicon nitride. 請求項11に記載の方法であって、WF6の前記流量は、前記プラズマ発生ガスの総流量の約0.02%~10%である、方法。 12. The method of claim 11, wherein the flow rate of WF6 is between about 0.02% and 10% of the total flow rate of the plasma-generating gases. 請求項13に記載の方法であって、WF6の前記流量は、前記プラズマ発生ガスの総流量の約0.02%~1%である、方法。 14. The method of claim 13, wherein the flow rate of WF6 is between about 0.02% and 1% of the total flow rate of the plasma-generating gas. 請求項14に記載の方法であって、WF6の前記流量は、前記プラズマ発生ガスの総流量の約0.02%~0.5%である、方法。 15. The method of claim 14, wherein the flow rate of WF6 is between about 0.02% and 0.5% of the total flow rate of the plasma-generating gases. 請求項1に記載の方法であって、前記基板は、約300kHz~600kHzのRF周波数でバイアスされる、方法。 2. The method of claim 1, wherein the substrate is biased with an RF frequency between about 300 kHz and 600 kHz. 請求項16に記載の方法であって、前記基板は、約400kHzのRF周波数でバイアスされる、方法。 17. The method of Claim 16, wherein the substrate is biased at an RF frequency of about 400 kHz. 請求項1から17のいずれか一項に記載の方法であって、前記タングステンベースのポリマー膜は、酸化シリコンの前記層に第1の厚みで形成され、窒化シリコンまたはポリシリコンの前記層に第2の厚みで形成され、前記第1の厚みと前記第2の厚みとは異なる、方法。 18. The method of any one of claims 1-17, wherein the tungsten-based polymer film is formed with a first thickness on the layer of silicon oxide and a second thickness on the layer of silicon nitride or polysilicon. 2 thicknesses, wherein said first thickness and said second thickness are different. 基板上に3D NAND構造を製作する際に誘電体材料を含む積層体にフィーチャをエッチングする装置であって、
その中に基板支持体を有する反応チャンバと、
容量結合プラズマ発生器と、
材料を前記反応チャンバに導入するための入口と、
前記反応チャンバから材料を取り出すための出口と、
コントローラと、を備え、前記コントローラは、
前記反応チャンバ内で前記基板支持体上に前記基板を受け取り、前記基板は前記積層体と前記積層体の上部にパターニングされるマスク層とを含み、前記積層体は、a)酸化シリコンと窒化シリコンとの交互層、または(b)酸化シリコンとポリシリコンとの交互層のいずれかを含み、
WF6、1つ以上のフルオロカーボンおよび/またはハイドロフルオロカーボン、ならびに1つ以上の酸化体を含むプラズマ発生ガスからプラズマを発生させ、WF6の流量は約0.1~10sccmであり、
前記基板を約20kHz~1.5MHzの周波数で約500W~20kWのRF電力レベルでバイアスし、
前記反応チャンバ内で前記基板を前記プラズマに曝露し、それによって前記基板上で前記積層体に前記フィーチャをエッチングし、
前記WF6および前記フルオロカーボンおよび/またはハイドロフルオロカーボンは、エッチング過程で前記フィーチャの側壁にタングステンベースのポリマー膜を形成し、前記タングステンベースのポリマー膜は、酸化シリコンと窒化シリコンとの前記交互層の間、または酸化シリコンとポリシリコンとの前記交互層の間における均一なエッチング速度を促進して、エッチング過程で前記フィーチャの前記側壁がノッチ化されないようにする
ように構成されている、装置。
An apparatus for etching features in a stack including a dielectric material in fabricating a 3D NAND structure on a substrate, the apparatus comprising:
a reaction chamber having a substrate support therein;
a capacitively coupled plasma generator;
an inlet for introducing material into the reaction chamber;
an outlet for removing material from the reaction chamber;
a controller, the controller comprising:
receiving the substrate on the substrate support within the reaction chamber, the substrate comprising the stack and a mask layer patterned on top of the stack, the stack comprising: a) silicon oxide and silicon nitride; or (b) alternating layers of silicon oxide and polysilicon,
generating a plasma from a plasma-generating gas comprising WF 6 , one or more fluorocarbons and/or hydrofluorocarbons, and one or more oxidants, wherein the flow rate of WF 6 is between about 0.1 and 10 sccm;
biasing the substrate with an RF power level of about 500 W to 20 kW at a frequency of about 20 kHz to 1.5 MHz;
exposing the substrate to the plasma in the reaction chamber thereby etching the feature in the stack on the substrate;
The WF6 and the fluorocarbons and/or hydrofluorocarbons form a tungsten-based polymer film on the sidewalls of the features during the etching process, the tungsten-based polymer film between the alternating layers of silicon oxide and silicon nitride. or an apparatus configured to promote a uniform etch rate between said alternating layers of silicon oxide and polysilicon such that said sidewalls of said feature are not notched during the etching process.
JP2022550129A 2020-02-28 2020-02-28 Sidewall Notch Reduction for High Aspect Ratio 3D NAND Etch Pending JP2023523677A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2020/020363 WO2021173154A1 (en) 2020-02-28 2020-02-28 Reduction of sidewall notching for high aspect ratio 3d nand etch

Publications (1)

Publication Number Publication Date
JP2023523677A true JP2023523677A (en) 2023-06-07

Family

ID=77491318

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022550129A Pending JP2023523677A (en) 2020-02-28 2020-02-28 Sidewall Notch Reduction for High Aspect Ratio 3D NAND Etch

Country Status (4)

Country Link
JP (1) JP2023523677A (en)
KR (1) KR20220148253A (en)
CN (1) CN115244663A (en)
WO (1) WO2021173154A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7257088B1 (en) * 2022-03-24 2023-04-13 東京エレクトロン株式会社 Plasma processing method and plasma processing system

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5932599B2 (en) * 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ Plasma etching method
KR102291990B1 (en) * 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 Method for depositing tungsten film with tungsten hexafluoride(wf6) etchback
US9673058B1 (en) * 2016-03-14 2017-06-06 Lam Research Corporation Method for etching features in dielectric layers
JP6883495B2 (en) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 Etching method
US10515821B1 (en) * 2018-06-26 2019-12-24 Lam Research Corporation Method of achieving high selectivity for high aspect ratio dielectric etch
US10741407B2 (en) * 2018-10-19 2020-08-11 Lam Research Corporation Reduction of sidewall notching for high aspect ratio 3D NAND etch

Also Published As

Publication number Publication date
CN115244663A (en) 2022-10-25
KR20220148253A (en) 2022-11-04
WO2021173154A1 (en) 2021-09-02

Similar Documents

Publication Publication Date Title
US10741407B2 (en) Reduction of sidewall notching for high aspect ratio 3D NAND etch
US10847377B2 (en) Method of achieving high selectivity for high aspect ratio dielectric etch
US20180286707A1 (en) Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US9396961B2 (en) Integrated etch/clean for dielectric etch applications
US10304693B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10373840B2 (en) Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10431458B2 (en) Mask shrink layer for high aspect ratio dielectric etch
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
US20220282366A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
JP2023523677A (en) Sidewall Notch Reduction for High Aspect Ratio 3D NAND Etch
US20230127597A1 (en) High aspect ratio dielectric etch with chlorine
US20230081817A1 (en) High aspect ratio etch with infinite selectivity
US20220351980A1 (en) Waterborne dispersion composition
TW202135293A (en) Reduction of sidewall notching for high aspect ratio 3d nand etch
US20230260798A1 (en) Chemistry for high aspect ratio etch for 3d-nand
WO2023137275A1 (en) High selectivity and uniform dielectric etch
WO2023224950A1 (en) Hardmask for high aspect ratio dielectric etch at cryo and elevated temperatures
WO2024020152A1 (en) High aspect ratio carbon etch with simulated bosch process
TW202219644A (en) Multi-layer hardmask for defect reduction in euv patterning

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240305