WO2007142172A1 - Multi-layered wiring manufacturing method, multi-layered wiring structure, and multi-layered wiring manufacturing apparatus - Google Patents

Multi-layered wiring manufacturing method, multi-layered wiring structure, and multi-layered wiring manufacturing apparatus Download PDF

Info

Publication number
WO2007142172A1
WO2007142172A1 PCT/JP2007/061253 JP2007061253W WO2007142172A1 WO 2007142172 A1 WO2007142172 A1 WO 2007142172A1 JP 2007061253 W JP2007061253 W JP 2007061253W WO 2007142172 A1 WO2007142172 A1 WO 2007142172A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
dielectric constant
low dielectric
wiring
constant film
Prior art date
Application number
PCT/JP2007/061253
Other languages
French (fr)
Japanese (ja)
Inventor
Hiroto Ohtake
Munehiro Tada
Masayoshi Tagami
Yoshihiro Hayashi
Original Assignee
Nec Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nec Corporation filed Critical Nec Corporation
Priority to JP2008520553A priority Critical patent/JPWO2007142172A1/en
Publication of WO2007142172A1 publication Critical patent/WO2007142172A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • Multilayer wiring manufacturing method, multilayer wiring structure, and multilayer wiring manufacturing apparatus The present invention is based on Japanese Patent Application No. 2 0 0 6-1 6 1 2 0 4 filed on June 9, 2000, And claims the benefit of that priority, the disclosure of which is hereby incorporated by reference in its entirety.
  • the present invention relates to a multilayer wiring manufacturing method manual having a trench wiring, a multilayer wiring structure, and a multilayer wiring manufacturing apparatus.
  • Background technology :
  • a method using copper as a wiring material and a film having a dielectric constant lower than that of a silicon oxide film as an interlayer dielectric film is used. Furthermore, the dual damascene method is adopted to reduce the process and wiring resistance. In the dual damascene method, the process of copper embedding and the mechanical and chemical polishing process of copper can be reduced compared to single damascene. In addition, since there is no barrier film above the via, the via resistance can be reduced.
  • a low dielectric constant film such as Cu cap film, Si OCH, etc., formed of material such as Si CN on lower layer wiring 1 Via interlayer low dielectric constant film formed by, etching stopper film formed by inorganic film such as S i O 2, wiring interlayer low dielectric constant film formed by low dielectric constant film such as porous S i OCH, S i Hard mask made of inorganic film such as O 2 , S i C In the insulating film structure of the Cu cap film formed of materials such as copper, wiring novia composed of copper, Ta / TaN, and other copper barrier films is embedded. .
  • This structure is formed by a dual damascene method described in Japanese Patent Application No. 2006-001864 (hereinafter referred to as Reference 1).
  • Reference 1 Japanese Patent Application No. 2006-001864
  • it is effective to replace the Cu cap film, etching stopper film, and hard mask made of inorganic film with a low dielectric constant film.
  • etch stopper film is eliminated, there is no layer that stops etching during groove etching, resulting in in-plane variations and pattern variations. If there is an etching stopper, the stop of etching can be automatically stopped by using the end point detection program of emission spectroscopy, but the end point detection program cannot be used without the etching stopper. Variations in depth between wafers and mouths are likely to occur.
  • a plasma CVD—Si001 ⁇ film is used as a low dielectric constant film between vias. 11 rora TM film, and an MP S film that is a molecular pore film is used as a low dielectric constant film between wiring layers.
  • a tack membrane is used.
  • an object of the present invention is to provide a manufacturing method in etching processing that suppresses in-plane variation and pattern variation during groove etching and enables end point detection in dual damascene wiring formed in a low dielectric constant film.
  • Another object of the present invention is to provide a multilayer wiring structure having high insulation between wirings as a result.
  • Another object of the present invention is to provide a multilayer wiring manufacturing apparatus that enables manufacturing of a multilayer wiring structure by implementing the method for manufacturing a multilayer wiring. Means to solve the problem:
  • a S i OCH film continuum in which a first S i OCH low dielectric constant film located in a lower layer and a second S i OCH low dielectric constant film located in an upper layer are directly laminated
  • the carbon silicon ratio of the second S i OCH low dielectric constant film is larger than the carbon Z silicon ratio of the first S i OCH low dielectric constant film
  • the second S i OCH low dielectric constant film located in the upper layer is grooved and stopped on the first S i OCH low dielectric constant film located in the lower layer, at least N 2 and CHX F 7 are included It is characterized by processing using end point detection by emission spectroscopy of mixed gas plasma.
  • the carbon / silicon ratio of the second Si OCH low dielectric constant film located in the upper layer is 2 as compared with the carbon silicon ratio of the first Si OCH low dielectric constant film located in the lower layer. It is characterized by being at least twice as large.
  • CH x F y in the mixing force plasma is CF 4 , CHF 3 , CH 2 F 2 or a mixed gas thereof, and the nitrogen content is preferably 20 to 50%.
  • a barrier insulating film on a lower layer wiring, a via interlayer low dielectric constant film made of the first S i OCH low dielectric constant film, and a wiring interlayer low dielectric constant film made of the second Si OCH low dielectric constant film A step of sequentially forming a hard mask film, a step of forming a via hole resist pattern on the hard mask film, a step of forming a via hole in the insulating film structure, and removing the via hole resist by oxygen plasma ashing
  • the second S i O while performing end point detection by emission spectroscopy using a mixed gas plasma containing N 2 and CHXF y. It is characterized by a step of forming a groove in a low dielectric constant film between via layers made of a CH low dielectric constant film.
  • the second porous S i OCH film may be composed of a plurality of S i OCH films.
  • the multilayer wiring structure shown in the present invention is characterized in that the carbon / silicon ratio in the wiring interlayer low dielectric constant film is larger than that in the via interlayer low dielectric constant film. At this time, it is preferable that the carbonosilicon ratio in the wiring interlayer low dielectric constant film is at least twice as large as that in the via interlayer low dielectric constant film. In addition, at least one of the wiring interlayer low dielectric constant film and the via interlayer low dielectric constant film is a porous film containing pores.
  • the wiring interlayer low dielectric constant film is made of Si O C H and has a carbon silicon ratio of 15 or more, may have a 6-membered silica skeleton, or may contain unsaturated hydrocarbons in the film.
  • a structure in which a silicon oxide film is laminated on a wiring interlayer low dielectric constant film may be used. Another feature is that the thickness of the oxidized modified layer formed on the sidewall of the low-dielectric-constant interlayer between the wiring layers is smaller than the thickness of the oxidized modified layer formed on the sidewall of the low-dielectric-layered via layer. It is.
  • the multilayer wiring manufacturing apparatus shown in the present invention is used for forming an opening in an interlayer insulating film having a laminated structure containing Si OCH as a main component, and the end point from the time variation of the emission intensity of Si F in plasma.
  • a microcomputer having a program for detecting and automatically stopping the processing having the inside of the interlayer insulating film of the laminated structure as an end point.
  • FIG. 1A shows a conventional dual damascene wiring.
  • Figure 1B shows the structure of the conventional dual damascene interconnect with a lower effective relative permittivity.
  • Fig. 2 shows the N 2 flow rate dependence of the etching rate of MP S and Aurora TM .
  • FIG. 3A shows a light emission spectrum in Ar / N 2 / CF 4 plasma.
  • FIG. 3B shows the emission spectrum in Ar / Ns / C Fs plasma.
  • Fig. 4 shows the time variation of the emission spectrum at 440 nm during MPS etching.
  • Figure 6 shows the TDS spectrum after exposing MPS to the etching plasma.
  • Figure 7 shows the N 1 s (XP S) spectrum after exposing MP S and Aurora TM to the etching plasma.
  • Fig. 8A shows the result of TEM-EEL S mapping observed from MPS sidewall after wiring processing.
  • Fig. 8B is the result of TEM-EELS matbing observation of the composition analysis from the A urora TM sidewall after wiring processing.
  • Fig. 8C is a cross-sectional view of the sample for TEM-EEL S matbing to analyze the composition from the side walls of MP S and Aurora TM after wiring processing in Fig. 8A and 8B .
  • Figure 9 shows the oxide layer on the side wall of MP S, Au rora TM after wiring processing. It is an electron micrograph investigated by a computer.
  • FIG. 10A is a cross-sectional view showing a step of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
  • FIG. 10B is a sectional view showing a step subsequent to the first OA diagram of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
  • FIG. 1 OC is a sectional view showing a step subsequent to the first OB diagram of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
  • FIG. 1 OD is a cross-sectional view showing a step subsequent to the first OC diagram of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
  • FIG. 1 OE is a sectional view showing a step subsequent to the first OD diagram of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
  • FIG. 10F is a sectional view showing a step subsequent to the first OE diagram of the method for manufacturing the multilayer wiring according to the first example of the present invention.
  • FIG. 1 OG is a sectional view showing a step subsequent to the first OF diagram of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
  • FIG. 10H is a sectional view showing a step subsequent to the first OG diagram of the method for manufacturing the multilayer wiring according to the first embodiment of the present invention.
  • FIG. 10I is a cross-sectional view showing the next step of FIG. 10H in the method for manufacturing the multilayer wiring according to the first embodiment of the present invention.
  • FIG. 11A is a cross-sectional view showing one step of a method for manufacturing a multilayer wiring according to a second embodiment of the present invention.
  • FIG. 11B is a sectional view showing a step subsequent to FIG. 11A of the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
  • FIGS. 11C to 11H are cross-sectional views showing the next step of FIG. 11B in the multilayer wiring manufacturing method according to the second embodiment of the present invention.
  • FIG. 11D is a sectional view showing a step subsequent to FIG. 11C of the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
  • FIG. 11 E is a view of FIG. 11D of the method for manufacturing a multilayer wiring according to the second embodiment of the present invention. It is sectional drawing which shows the next process.
  • FIG. 11 F is a cross-sectional view showing the next step of FIG. 11 E in the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
  • FIG. 11 G is a cross-sectional view showing the next step of FIG. 11 F in the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
  • FIG. 11 H is a cross-sectional view showing the next step of FIG. 11 G in the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
  • FIG. 11 I is a cross-sectional view showing a step subsequent to FIG. 11 H of the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
  • FIG. 12 is a view showing a multilayer wiring structure according to a third embodiment of the present invention. Best Mode for Carrying Out the Invention:
  • a Cu cap film formed on the lower wiring 1 with a material such as SiCN. 2 Via interlayer low dielectric constant film formed with low dielectric constant film such as S i OCH 3, Etching stopper film formed with inorganic film such as S i 0 2 , Low dielectric constant film such as porous S i OCH
  • a material such as SiCN. 2 Via interlayer low dielectric constant film formed with low dielectric constant film such as S i OCH 3, Etching stopper film formed with inorganic film such as S i 0 2 , Low dielectric constant film such as porous S i OCH
  • Cu cap film 7 formed of material such as Si CN The main wiring is made up of copper 8, Ding 3 Ding 3 ⁇ , etc. 11 Barrier membrane
  • the wiring via consisting of 9 is embedded in the structure.
  • This structure is formed by a dual damascene method described in Japanese Patent Application Laid-Open No. 2 004-0 4 7 8 7 3 (hereinafter referred to as Patent Document 1).
  • Patent Document 1 Japanese Patent Application Laid-Open No. 2 004-0 4 7 8 7 3
  • the etching stopper film 4 and the hard mask 6 exist between the electrodes, the effective relative dielectric constant can be reduced by replacing with a low dielectric constant film. Therefore, as shown in Fig. IB, a structure in which there is no etching stopper and the hard mask is a low dielectric constant hard mask 6, is being studied.
  • the present invention relates to a continuous S i OCH film in which a first S i OCH low dielectric constant film located in a lower layer and a second S i OCH low dielectric constant film located in an upper layer are directly laminated.
  • the upper S i OCH film is etched with two kinds of S i OCH low dielectric constant films with different carbon silicon ratios (CZS i ratio) in N 2 ZCH x F y mixed gas system, the upper and lower S i OCH films This is based on the discovery that the etching selectivity can be secured and the end point can be detected by emission spectroscopy.
  • a plasma CVD-Si001 "1 film is used as a low dielectric constant film between vias, 11 rora TM film, and a molecular thin film is formed as a wiring interlayer low dielectric constant film.
  • MP S _ S i OCH film Mo 1 ecu 1 ar Por S tack film, hereinafter simply referred to as “MP S”
  • carbon in the MP S _ S i O CH film Silicon ratio 2.7
  • carbon silicon ratio in Aurora TM film plasma CVD—Si OCH film
  • film 2 is used as a hard mask.
  • etching is performed using a mixed gas plasma composed of fluorocarbon made of single carbon atoms such as CF 4 and 20 to 50% nitrogen gas.
  • FIG. 2 is a graph showing the dependency of Si OCH (Au rora TM, MPS) etching rate on a nitrogen addition amount evaluated with a blanket wafer.
  • the distance between electrodes is 35 mm
  • the pressure is 6.65 5 Pa (5 OmTorr)
  • the upper electrode power is 1 ⁇ 0 0 W
  • the lower electrode power is 100 W
  • It was determined etching rate under the conditions of a r / N 2 / CF 4 /0 2 3 0 0/1 0 0/2 5/6 sccm.
  • Au rora TM the etching rate decreases due to the nitrogen content, whereas with MP S, the etching rate increases.
  • FIG. 3A and 3B are diagrams showing a 440 nm emission spectrum when the MPS substrate and the silicon substrate are etched by adding C 4 F 8 gas or CF 4 gas.
  • C 4 F 8 has a molecular emission spectrum from a polymer fluorocarbon over a wide band, so the emission at 440 nm tends to be buried. If CF 4 is used, it can be clearly confirmed.
  • Fig. 5A shows Fig. 5B
  • Fig. 5C shows the structure of S i 0 2 / MP s / S i OCH
  • the results of observing the temporal change of the 440 nm emission spectrum are shown.
  • the C / Si ratio of MP S is 27.
  • the lower the 3 i ratio of Si i 011 in the lower layer the larger the time change of the spectrum at 440 nm.
  • the C / Si ratio is 1.4 or less, the time change is particularly large.
  • the C / Si ratio of the upper S i OCH (here MP S) is preferably about twice that of the lower layer in the S i OCH film continuum.
  • etching of MP S with a gas such as Ar / N 2 / CF 4 can secure a selection ratio with the lower layer of Au rora TM film, and the S i OCH film can be obtained by emission spectroscopy. Because it is a continuum, for example, it is possible to detect the end point of etching of two types of Si OCH low dielectric constant films made of the same material, so there is little pattern variation if there is in-plane variation, and variation between wafers and mouths. Fewer grooves can be machined.
  • Ar N 2 / / CF 4 is superior ArZ N2 Bruno C 4 F 8.
  • ⁇ 4 compared to the Flip 4
  • CF 4 is preferable because when fluorine is incorporated into the Si OCH film, adhesion is deteriorated or HF is formed by moisture absorption to form a void in the film.
  • CF 3 ions are known to be higher than CF 2 and CF ion, CF 4 is added to generate a CF 3 ions.
  • a carbonitride film is formed on the side wall when plasma etching is performed with a C x H y ZN 2 gas.
  • Figure 7 shows the N 1 s spectrum observed on the surface after irradiation with etching plasma using XPS.
  • the MP S film ie, in the S i OCH film with a C / Si ratio> 1
  • a spectrum of N 1 s is observed after irradiation.
  • This carbonitride film is completely removed in the oxygen ashing process that follows the etching process, but it has the effect of suppressing carbon extraction from the side walls of the Si OCH film. That is, the amount of oxidation of the side wall after oxygen ashing is suppressed.
  • This effect increases as the amount of carbon in the S i OCH film increases. This was remarkable, and the one containing unsaturated hydrocarbons in the film was even more remarkable.
  • the carbon skeleton has a CZSi ratio> 1 S i OCH film with a silica skeleton in a ring, or a 6-membered ring (S i 3 O 3 ). There was also a tendency to suppress the amount of oxidation on the side walls.
  • the wiring interlayer low dielectric constant film which is a carbon-rich S i OCH film characteristic of the present invention
  • the via interlayer low dielectric constant film which is a silicon-rich (CZS i 1) S i OCH film
  • CZS i 1 S i OCH film silicon-rich (CZS i 1) S i OCH film
  • FIGS. 8A and 8B are diagrams showing the results of analyzing the composition of the MPS film and the Aurora TM film by TEM_EELS mapping after forming Cu wiring on the pattern exposed to the assin plasma after etching.
  • Figure 8C is a cross-sectional view of the sample for TEM-EEL S mapping.
  • Fig. 9 is an electron micrograph of Cu wiring formed on the pattern exposed to ashing plasma after etching, and the oxide layer on the side wall of MPS, Aurora TM after wiring processing was investigated by hydrofluoric acid dip.
  • FIGS. 1A to 1H are cross-sectional views illustrating a manufacturing process of a multilayer wiring structure according to the first embodiment of the present invention.
  • via processing was performed in forming a so-called dual damascene Cu wiring in which a via and a wiring trench were formed in an insulating film structure of a silicon oxide film ZMPS film Au rora TM / SiCN.
  • a resist pattern for the wiring trench is formed, and the MPS film is etched by using Ar ZN 2 ZC F 4 plasma to detect the end point by light emission. ⁇ It is possible to perform grooving with little variation between the mouthpieces.
  • An MPS film 204, which is an index film, and a silicon oxide film 205, which is a hard mask, are formed in this order by, for example, a plasma CVD method, and an antireflection film 206 and a via resist 2007 are formed thereon.
  • via resist patterns 2 0 7 a and 2 0 7 b are formed.
  • via hole patterns 2 0 3 a and 2 0 3 b are formed.
  • an organic film 2 08 is applied on the silicon oxide film 2 05 and a silicon oxide film 2 09 is formed by, eg, CVD.
  • silicon on the oxide film 209 an antireflection film 210 and a wiring groove resist 211 are applied in this order to form wiring groove resist patterns 21 1a and 21 1b.
  • the antireflection film 210, the silicon oxide film 209, the organic film 208, and the silicon oxide film 205 are etched using the wiring groove resist patterns 21 1a and 21 1b as masks.
  • the interconnect trench resist 211 and the antireflection film 210 disappear, and when the silicon oxide film 205 is etched, the silicon oxide film 209 disappears. Therefore, the etching shown in FIG. 10E After the addition, the organic film 208 becomes the top layer.
  • wiring groove hard mask patterns 205a and 205b to which the wiring groove pattern is transferred can be formed.
  • the MPS film 204 is etched using Ar ZNzZC F 4 plasma using the wiring groove hard mask patterns 205a and 205b as a mask.
  • the manufacturing process according to the present invention and an interlayer insulating film structure in which Si OCH films having different chemical compositions are directly stacked are used. It becomes. Thereafter, as shown in FIG. 10I, noria 'Cu seed sputtering and Cu plating are performed, and Cu wiring 212 is formed by CMP. Furthermore, a silicon carbonitride film is deposited as a Cu cap film by, for example, the CVD method. By repeating this, multilayer wiring can be formed.
  • the MPS film is shown as the wiring interlayer low dielectric constant film in this example, a sufficient difference in carbonosilicon ratio from the via interlayer low dielectric constant film can be secured.
  • the same materials as above can be applied.
  • the carbon silicon ratio of the low dielectric constant film between the wiring layers should be at least twice the carbon silicon ratio of the low dielectric constant film between the vias.
  • the CZS i ratio in the S i OCH film is 1.5 to suppress the oxidation of the wiring trench sidewall due to the oxygen-ashing process. The above is desirable.
  • CZS i ratio in via interlayer low dielectric constant film is 1 or less Japan ASM Aurora series, Tricon Orion, A pp 1 ied Materials BD / BD II, Novellus Cora 1 C VD_ S i OCH film, Dow—Chica ica 1 Porous S i LK: Can be applied to NCS, etc.
  • a Si OCH film formed by plasma polymerization as shown in Patent Document 1 may be used. Considering mounting resistance, the low dielectric constant film between via layers is lower than the low dielectric constant film between wiring layers. It is preferred to select a material with a high density.
  • the silicon carbonitride film was used as the Cu cap film, but the etching selectivity with the low dielectric constant film has been secured, and there is no particular limitation as long as the material has a Cu barrier property.
  • Any material can be used.
  • a silicon carbide film, a silicon nitride film, etc. can be mentioned.
  • An organic film formed by plasma polymerization is a siloxane-containing organic film such as dibutylsiloxane 'benzoclobutene (DVS-BCB). Also good.
  • DVDS-BCB dibutylsiloxane 'benzoclobutene
  • an example in which the MPS film is processed using a hard mask as a mask is shown, but the MPS may be etched before the resist is stripped.
  • FIGS. 11A to 11H are cross-sectional views illustrating a manufacturing process of the multilayer wiring structure according to the second embodiment of the present invention.
  • the second example is a so-called dual damascene C in which vias and wiring trenches are formed in an insulating film structure of silicon oxide film / B lack Diamond TM / MP S film / Au rora TM / Si CN.
  • via processing is performed, a resist pattern for the wiring groove is formed, and the MPS film is etched using Arno N 2 ZCF 4 plasma while detecting the end point by light emission.
  • the MPS film is etched using Arno N 2 ZCF 4 plasma while detecting the end point by light emission.
  • An MPS film 204 to be an interlayer low dielectric constant film is formed in this order by plasma CVD or the like.
  • a B 1 ack Diamond TM film 305 as a first hard mask and a silicon oxide film 306 as a second hard mask are formed in this order by, for example, a plasma CVD method, and an antireflection film is formed thereon.
  • 307 and via resist 308 are applied in this order to form via resist patterns 308a and 308b.
  • the antireflection film 307 using the via resist patterns 308a and 308b as masks, the antireflection film 307, the silicon oxide film 306, the B lack Diamond TM film 305, the MPS film 204, Au The rora TM membrane 203 is etched in this order.
  • via holes 203a and 203b are formed when ashing is performed using, for example, oxygen plasma.
  • an organic film 309 is applied on the silicon oxide film 306, and a silicon oxide film 310 is formed by, for example, a CVD method.
  • An antireflection film 3 11 and a wiring groove resist 312 are applied in this order on the silicon oxide film 310 to form wiring groove resist patterns 312 a and 312 b.
  • resist patterns 312a and 312b for wiring trenches are used as masks, antireflection film 31 1, silicon oxide film 3 10, organic film 309, silicon oxide film 306, B lack D l Etch the amo nd TM film 305.
  • the wiring groove resist 312 and the antireflection film 311 disappear, and when the silicon oxide film 306 is etched, the silicon oxide film 310 disappears. After the etching process shown, the organic film 309 becomes the top layer.
  • the plasma source is a parallel plate electrode
  • the distance between the electrodes is 35 mm
  • the pressure is 10.6 Pa (80 mTorr)
  • the upper electrode power is 1000 W
  • the bias power is 100 W
  • 2 300/100/25 / 6 sc cm.
  • What is important here is the use of a single carbon atom fluorocarbon and the use of 20% or more and less than 50% nitrogen.
  • the difference in oxide thickness between the wiring side wall and the via side wall is proved by using an interlayer insulating film structure in which Si OCH films having different manufacturing processes and chemical compositions according to the present invention are directly stacked. It becomes.
  • a copper “Cu seed spuck” and Cu plating are performed, and Cu wiring 313 is formed by CMP.
  • the effective dielectric constant can be reduced by scraping the silicon oxide film.
  • a silicon carbonitride film is deposited as a Cu cap film by, for example, the CVD method. By repeating this, multilayer wiring can be formed.
  • the MPS film is shown as the wiring interlayer low dielectric constant film of this example, it is not particularly limited as long as it is a Si OCH film that can secure a sufficient difference in carbon silicon ratio from the via interlayer low dielectric constant film.
  • the carbon silicon ratio of the low dielectric constant film between the wiring layers should be twice or more the carbon Z silicon ratio of the via interlayer low dielectric constant film.
  • the CZS i ratio in the S i OCH film is 1.5 to suppress the oxidation of the wiring trench side wall due to the oxygen ashing process. The above is desirable.
  • an aurora TM film is shown as a low dielectric constant film between via layers.
  • a carbon Z ratio of a low dielectric constant film between wiring layers and a carbon Z ratio of a low dielectric constant film between vias that is, ⁇ (C / S i) Piano (C / S i) wiring ⁇
  • the ratio is preferably 0.5 times or less, and the CZS i ratio in the low dielectric constant film between vias is 1 or less.
  • Si OCH film can be used to apply NCS and other films. Furthermore, a Si OCH film formed by plasma polymerization as shown in Patent Document 1 may be used. In consideration of mounting resistance, it is preferable to select a material having a higher density for the via interlayer low dielectric constant film than for the wiring interlayer low dielectric constant film. Any of the above materials can be used as a low dielectric constant hard mask. Any film that has CMP resistance can be used.
  • the example of using a silicon charcoal kiln film as a Cu cap film shows the etching selection ratio with the low dielectric constant film, and there is no particular limitation as long as it is a Cu barrier material. Any material can be used. Examples include silicon carbide films and silicon nitride films, but even organic films formed by plasma polymerization or siloxane-containing organic films such as divinylsiloxane benzoclobutene (DVS-BCB). Good.
  • the MPS etching may be performed before the resist is stripped.
  • FIG. 12 is a view showing an embodiment in which a copper multilayer wiring is formed on a carbon-containing low dielectric constant insulating film on a MOS FET 403 separated by an element isolation oxide film 402 on a silicon substrate 401.
  • the structural features are shown below. Also in this example, by using mixed gas plasma of Ar / N 2 / CF 4 for MP S etching, in-plane variation, pattern dependence, and wafer-to-wafer 'lot-to-lot variation with less variation Is possible is there.
  • Si OCH film for coating. Further, an Si OCH film formed by plasma polymerization as shown in Patent Document 1 may be used.
  • a silicon oxide film 405 having a W contact plug 404 is formed on the MOSFET 403.
  • the silicon oxide film 405 has a thickness of 30 nm as an etch stop film for a wiring groove corresponding to the first-layer copper wiring 406.
  • a silicon carbon nitride film 413 is formed.
  • a 110 nm thick MPS film 414 and a 30 nm thick BD film 415 are formed as a hard mask on the silicon carbonitride film.
  • the silver wiring of the first layer has a Ta (1 O nm) / TaN (5 nm) barrier in the wiring trench that penetrates the laminated insulating film made of such BD film 41 5 MP S film 414 / silicon carbonitride film 413.
  • a Cu film 421 covered with a film 420 is embedded.
  • This first layer Cu wiring 406 is connected to a W contact plug 404.
  • a 30 nm thick silicon carbonitride film 416 is formed on the first layer Cu wiring 406 as a via etching stop layer. Furthermore, a 150 nm thick Aurora TM film 417 is formed.
  • the Au rora TM film 417 may be planarized by CMP or the like. Further, a 130 nm-thick MPS film 418 and a 30 nm-thick BD film 419 are formed as a hard mask on the Aurora TM film 417. A second Cu wiring 408 in which a Cu film is embedded is formed in the wiring groove that penetrates the BD film 419ZMPS film 418 with respect to the laminated structure insulating film. From the bottom of the second copper wiring 408, a first Cu via plug 407 penetrating through the Aurora TM film 417 and the silicon carbonitride film 416 is formed and connected to the first-layer Cu wiring 406. Yes.
  • the multilayer wiring manufacturing method, multilayer wiring structure, and multilayer wiring manufacturing apparatus of the present invention are applied to semiconductor devices, electronic devices, and their manufacture.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Provided is a multi-layered wiring structure, in which there are laminated at least one circuit element formed in a semiconductor substrate or a semiconductor layer, and a plurality of unit wiring structures so formed on the semiconductor substrate or the semiconductor layer as are electrically connected with the aforementioned at least one circuit element, and having a wire and a via hole plug formed by filling a wiring groove and a via hole formed in an insulating film, with a metal wire. In this multi-layered wiring structure, the carbon/silicon ratio in an inter-wiring-layer low-dielectric-constant film is higher than the carbon/siliconratio in an inter-via-layer low-dielectric-constant film. In order to manufacture the multi-layered wiring structure, an overlying second SiOCH low-dielectric-constant film is worked, when it is grooved and stopped on an underlying first SiOCH low-dielectric-constant film, by using an end point detection according to an emission spectroscopy of a mixed gas plasma containing at least N2 and CHxFy.

Description

多層配線製造方法と多層配線構造と多層配線製造装置 本発明は、 2 0 0 6年 6月 9日に提出された日本国特許出願第 2 0 0 6 - 1 6 1 2 0 4号に基づき、 且つ、 その優先権の恩恵を主張するものであり、 その開示 は、 参照することによりここにその全体を組み入れる。  Multilayer wiring manufacturing method, multilayer wiring structure, and multilayer wiring manufacturing apparatus The present invention is based on Japanese Patent Application No. 2 0 0 6-1 6 1 2 0 4 filed on June 9, 2000, And claims the benefit of that priority, the disclosure of which is hereby incorporated by reference in its entirety.
 Light
技術分野: Technical field:
本発明は溝配線を有する多層配線製造方法書と多層配線構造と多層配線製造装置 に関する。 背景技術:  The present invention relates to a multilayer wiring manufacturing method manual having a trench wiring, a multilayer wiring structure, and a multilayer wiring manufacturing apparatus. Background technology:
近年の超 L S Iデバイスでは、 数 mm角のチップに数百万個以上の素子を集積 する必要があるため、 素子を微細化、 多層化することが不可欠である。 特にデバ ィス動作速度の高速化のため、 配線抵抗および層間容量の低減が重要な課題とな る。  In recent ultra-LS I devices, since it is necessary to integrate millions of elements on a chip of several mm square, it is indispensable to make the elements finer and multilayer. In particular, reducing the wiring resistance and interlayer capacitance is an important issue in order to increase the device operating speed.
配線抵抗および層間容量の低減のために、 銅を配線材料に、 シリコン酸化膜よ り誘電率の低い膜を層間絶線膜に用いる方法が用いられている。 さらには、 工程 の低減、 配線抵抗低減のため、 デュアルダマシン工法が採用されている。 デュア ルダマシン工法では、 シングルダマシンに比べて銅の埋め込み工程や銅の機械的 化学的研磨工程が削減できるなど、 工程が大きく短縮される。 また、 ビア上部の バリア膜が存在しないため、 ビア抵抗が低減できる。  In order to reduce wiring resistance and interlayer capacitance, a method using copper as a wiring material and a film having a dielectric constant lower than that of a silicon oxide film as an interlayer dielectric film is used. Furthermore, the dual damascene method is adopted to reduce the process and wiring resistance. In the dual damascene method, the process of copper embedding and the mechanical and chemical polishing process of copper can be reduced compared to single damascene. In addition, since there is no barrier film above the via, the via resistance can be reduced.
例えば、 銅 低誘電率膜で構成された一般的なデュアルダマシン配線では、 下 層配線 1の上に S i C Nなどの材料で形成される C uキャップ膜、 S i O C Hな どの低誘電率膜で形成されるビア層間低誘電率膜、 S i O 2などの無機膜で形成さ れるエッチングストッパー膜、 ポーラス S i O C Hなどの低誘電率膜で形成され る配線層間低誘電率膜、 S i O 2などの無機膜で形成されるハードマスク、 S i C などの材料で形成される Cuキヤップ膜の絶縁膜構造内に、 主たる配線を構成 する銅、 T a/T a Nなどの Cuバリア膜で構成される配線ノビアが埋め込まれ た構造になっている。 For example, in a typical dual damascene wiring composed of copper low dielectric constant film, a low dielectric constant film such as Cu cap film, Si OCH, etc., formed of material such as Si CN on lower layer wiring 1 Via interlayer low dielectric constant film formed by, etching stopper film formed by inorganic film such as S i O 2, wiring interlayer low dielectric constant film formed by low dielectric constant film such as porous S i OCH, S i Hard mask made of inorganic film such as O 2 , S i C In the insulating film structure of the Cu cap film formed of materials such as copper, wiring novia composed of copper, Ta / TaN, and other copper barrier films is embedded. .
この構造は特願 2006— 001864号公報 (以下、 参考文献 1と呼ぶ) な どに記載されているデュアルダマシン方法によって形成される。 しかし、 さらに 配線層間やビア層間の誘電率を下けるには、 無機膜で構成されている Cuキヤッ プ膜や、 エッチングストッパー膜、 ハードマスクを低誘電率膜に置き換えるのが 効果的である。  This structure is formed by a dual damascene method described in Japanese Patent Application No. 2006-001864 (hereinafter referred to as Reference 1). However, in order to lower the dielectric constant between the wiring layers and via layers, it is effective to replace the Cu cap film, etching stopper film, and hard mask made of inorganic film with a low dielectric constant film.
特にエッチングストッパー膜やハードマスクは電極間に存在するため、 低誘電 率膜に置き換えると実効的な比誘電率が低減できる。 そこで、 エッチングストツ パーがなく、 ハードマスクも低誘電率膜ハードマスクになっているものも検討さ れている。  In particular, since an etching stopper film and a hard mask exist between the electrodes, the effective relative permittivity can be reduced by replacing it with a low dielectric constant film. In view of this, studies have been made on a case where there is no etching stopper and the hard mask is a low dielectric constant film hard mask.
しかしながら、 エッチストッパー膜をなくすと、 溝エッチング時のエッチング を停止する層がなく、結果として面内ばらつきやパターンばらつきを生じやすレ、。 また、 エッチングストッパーがある場合には、 エッチングの停止を発光分光の終 点検出プログラムを用いることで自動的に停止することができるが、 エッチング ストツパーがないと終点検出プログラムを用いることができず、 ゥェハ間や口ッ ト間の深さばらつきが生じやすくなる。 例えば、 ビア層間低誘電率膜としてブラ ズマ CVD— S i 0〇1^膜でぁる 11 r o r a TM膜、 配線層間低誘電率膜として 分子細孔膜である MP S膜 (Mo l e c u l a r P o r e S t a c k 膜) を使用する場合を考える。 平行平板型のプラズマ源を用いて、 電極間距離 45m m、 圧力 25mTo r r (3. 33 P a )、 上部電極パワー 1 kW、 下部電極パヮ 一 150W、 N2/C4F8/02= 150/8/30 s c cmの条件を用いて MP Sに溝加工を行う場合、 MP Sェツチング終点を発光分光で検出することはでき なかった。 A rで大量希釈した場合にも同じであった。 発明の開示: However, if the etch stopper film is eliminated, there is no layer that stops etching during groove etching, resulting in in-plane variations and pattern variations. If there is an etching stopper, the stop of etching can be automatically stopped by using the end point detection program of emission spectroscopy, but the end point detection program cannot be used without the etching stopper. Variations in depth between wafers and mouths are likely to occur. For example, a plasma CVD—Si001 ^ film is used as a low dielectric constant film between vias. 11 rora TM film, and an MP S film that is a molecular pore film is used as a low dielectric constant film between wiring layers. Consider the case where a tack membrane is used. Using a parallel plate type plasma source, the distance between electrodes 45 m m, the pressure 25mTo rr (3. 33 P a) , the upper electrode power 1 kW, lower electrode Pawa one 150W, N 2 / C 4 F 8/0 2 = When grooving MP S using the 150/8/30 sccm condition, the MP S etching end point could not be detected by emission spectroscopy. The same was true for large dilutions with Ar. Disclosure of the invention:
発明が解決しょうとする課題: そこで、 本発明の一目的は、 低誘電率膜中に形成されるデュアルダマシン配線 において、 溝エッチング時の面内ばらつきやパターンばらつきを抑制し、 かつ、 終点検出を可能にするエッチング加工における製造方法と、 結果として形成され る配線間絶縁性の高い多層配線構造とを提供することにある。 Problems to be solved by the invention: Accordingly, an object of the present invention is to provide a manufacturing method in etching processing that suppresses in-plane variation and pattern variation during groove etching and enables end point detection in dual damascene wiring formed in a low dielectric constant film. Another object of the present invention is to provide a multilayer wiring structure having high insulation between wirings as a result.
また、 本発明のもう一つの目的は、 前記多層配線の製造方法を実施することに よって多層配線構造の製造を可能にする多層配線製造装置を提供することにある。 課題を解決するための手段:  Another object of the present invention is to provide a multilayer wiring manufacturing apparatus that enables manufacturing of a multilayer wiring structure by implementing the method for manufacturing a multilayer wiring. Means to solve the problem:
具体的には、 下層に位置する第 1の S i OCH低誘電率膜と上層に位置する第 2の S i OCH低誘電率膜とが直接積層された S i OCH膜連続体であって、 前 記第 1の S i OCH低誘電率膜のカーボン Zシリコン比よりも前記第 2の S i O CH低誘電率膜のカーボン シリコン比が大きいことを特徴とする多層配線の製 造方法において、 前記上層に位置する第 2の S i OCH低誘電率膜を溝加工して 前記下層に位置する第 1の S i OCH低誘電率膜上で停止させる際、 N2と CHX F 7を少なくとも含む混合ガスプラズマの発光分光による終点検出を用いて加工 することを特徴とする。 好ましくは、 前記上層に位置する第 2の S i OCH低誘 電率膜のカーボン/シリコン比が、 前記下層に位置する第 1の S i OCH低誘電 率膜のカーボンノシリコン比に比べて 2倍以上大きいことを特徴とする。 混合力 スプラズマ中の CHxFyは C F4、 CHF3、 CH2F2あるいはこれらの混合ガス であって、 窒素の含有量は 20から 50%であるのが好ましい。 Specifically, a S i OCH film continuum in which a first S i OCH low dielectric constant film located in a lower layer and a second S i OCH low dielectric constant film located in an upper layer are directly laminated, In the multilayer wiring manufacturing method, wherein the carbon silicon ratio of the second S i OCH low dielectric constant film is larger than the carbon Z silicon ratio of the first S i OCH low dielectric constant film, When the second S i OCH low dielectric constant film located in the upper layer is grooved and stopped on the first S i OCH low dielectric constant film located in the lower layer, at least N 2 and CHX F 7 are included It is characterized by processing using end point detection by emission spectroscopy of mixed gas plasma. Preferably, the carbon / silicon ratio of the second Si OCH low dielectric constant film located in the upper layer is 2 as compared with the carbon silicon ratio of the first Si OCH low dielectric constant film located in the lower layer. It is characterized by being at least twice as large. CH x F y in the mixing force plasma is CF 4 , CHF 3 , CH 2 F 2 or a mixed gas thereof, and the nitrogen content is preferably 20 to 50%.
さらには、 下層配線上にバリァ絶縁膜、 前記第 1の S i O C H低誘電率膜から なるビア層間低誘電率膜、 前記第 2の S i O C H低誘電率膜からなる配線層間低 誘電率膜、 ハードマスク膜を順に形成する工程と、 前記ハードマスク膜上にビア 孔レジストパターンを形成する工程と、絶縁膜構造内にビア孔を形成する工程と、 酸素プラズマアツシングによってビア孔レジストを除去する工程と、 前記ビア孔 上に配線溝レジストパターンを形成する工程と、 前記配線溝レジストパターンを ドライエッチングによって前記ハードマスク膜に転写する工程と、 酸素プラズマ アツシングによつて配線溝レジス トを除去する工程と、 N 2と C H X F yを含む混 合ガスプラズマを用いて発光分光による終点検出を行いながら前記第 2の S i O C H低誘電率膜からなるビア層間低誘電率膜内に溝加工を行う工程とを特徴とす る。 このとき、 第 2のポーラス S i O C H膜は複数の S i O C H膜から構成され ていても良い。 Further, a barrier insulating film on a lower layer wiring, a via interlayer low dielectric constant film made of the first S i OCH low dielectric constant film, and a wiring interlayer low dielectric constant film made of the second Si OCH low dielectric constant film A step of sequentially forming a hard mask film, a step of forming a via hole resist pattern on the hard mask film, a step of forming a via hole in the insulating film structure, and removing the via hole resist by oxygen plasma ashing A step of forming a wiring groove resist pattern on the via hole, a step of transferring the wiring groove resist pattern to the hard mask film by dry etching, and removing the wiring groove resist by oxygen plasma ashing. And the second S i O while performing end point detection by emission spectroscopy using a mixed gas plasma containing N 2 and CHXF y. It is characterized by a step of forming a groove in a low dielectric constant film between via layers made of a CH low dielectric constant film. At this time, the second porous S i OCH film may be composed of a plurality of S i OCH films.
また、 本発明で示される多層配線構造は、 配線層間低誘電率膜中のカーボン/ シリコン比がビア層間低誘電率膜中に比べて大きいことを特徴とする。このとき、 配線層間低誘電率膜中のカーボンノシリコン比がビア層間低誘電率膜中に比べて 2倍以上大きいことが好ましい。 また、 記配線層間低誘電率膜およびビア層間低 誘電率膜の少なくとも 1種類は空孔を内含するポーラス膜であることを特徴とす る。 配線層間低誘電率膜は S i O C Hから構成され、 そのカーボン シリコン比 が 1 5以上であり、 6員環シリカ骨格を有したり、 膜中に不飽和炭化水素を含ん でいてもよい。 さらには、 配線層間低誘電率膜の上にシリコン酸化膜が積層され ている構造でも良い。 また、 ビア層間低誘電率膜の側壁に形成される酸化改質層 の厚さよりも前記配線層間低誘電率膜の側壁に形成される酸化改質層の厚さが薄 いことも特徴のひとつである。  The multilayer wiring structure shown in the present invention is characterized in that the carbon / silicon ratio in the wiring interlayer low dielectric constant film is larger than that in the via interlayer low dielectric constant film. At this time, it is preferable that the carbonosilicon ratio in the wiring interlayer low dielectric constant film is at least twice as large as that in the via interlayer low dielectric constant film. In addition, at least one of the wiring interlayer low dielectric constant film and the via interlayer low dielectric constant film is a porous film containing pores. The wiring interlayer low dielectric constant film is made of Si O C H and has a carbon silicon ratio of 15 or more, may have a 6-membered silica skeleton, or may contain unsaturated hydrocarbons in the film. Further, a structure in which a silicon oxide film is laminated on a wiring interlayer low dielectric constant film may be used. Another feature is that the thickness of the oxidized modified layer formed on the sidewall of the low-dielectric-constant interlayer between the wiring layers is smaller than the thickness of the oxidized modified layer formed on the sidewall of the low-dielectric-layered via layer. It is.
さらには、 本発明で示される多層配線製造装置は、 S i O C Hを主成分とする 積層構造の層間絶縁膜に開口部形成に用いられ、 プラズマ中の S i Fの発光強度 の時間変化から終点検出を行って、 前記積層構造の層間絶縁膜内部を終点とする 加工を自動的に停止させるプログラムを有するマイクロコンピュータを備えてい ることを特徴とする。  Furthermore, the multilayer wiring manufacturing apparatus shown in the present invention is used for forming an opening in an interlayer insulating film having a laminated structure containing Si OCH as a main component, and the end point from the time variation of the emission intensity of Si F in plasma. And a microcomputer having a program for detecting and automatically stopping the processing having the inside of the interlayer insulating film of the laminated structure as an end point.
発明の効果: The invention's effect:
本発明により、 低誘電率膜を配線 Zビア層間膜に用いた多層配線において、 面 内ばらつきやパターン依存性が少なく、 ウェハ間 · 口ット間ばらつきも少なく、 実効比誘電率が低い多層配線が実現される。  In accordance with the present invention, in a multilayer wiring using a low dielectric constant film as a wiring Z via interlayer film, there is little in-plane variation and pattern dependency, and there is little variation between wafers and mouths, and the effective dielectric constant is low. Is realized.
さらに、 本発明によれば、 低誘電率膜を配線/ビア層間低誘電率膜に用いた多 層配線において、 面内ばらつきやパターン依存性が少なく、 実効比誘電率が低い 多層配線形成が可能になる。 図面の簡単な説明: 第 1 A図は従来のデュアルダマシン配線を示す図である。 Furthermore, according to the present invention, in a multilayer wiring using a low dielectric constant film as a wiring / via interlayer low dielectric constant film, it is possible to form a multilayer wiring with low in-plane variation and pattern dependency and low effective relative dielectric constant. become. Brief description of the drawings: FIG. 1A shows a conventional dual damascene wiring.
第 1 B図は従来の従来のデュアルダマシン配線のより実効比誘電率を下げた構 造を示す図である。  Figure 1B shows the structure of the conventional dual damascene interconnect with a lower effective relative permittivity.
第 2図は MP S、 Au r o r a TMのエッチング速度の N 2流量依存性を示した 図である。 Fig. 2 shows the N 2 flow rate dependence of the etching rate of MP S and Aurora .
第 3 A図は A r /N2/C F 4プラズマ中の発光スぺク トルを示す図である。 第 3 B図は Ar/Ns/C Fsプラズマ中の発光スぺクトルを示す図である。 第 4図は MP Sエッチング時の発光スぺクトル 440 nmの時間変化を示す図 である FIG. 3A shows a light emission spectrum in Ar / N 2 / CF 4 plasma. FIG. 3B shows the emission spectrum in Ar / Ns / C Fs plasma. Fig. 4 shows the time variation of the emission spectrum at 440 nm during MPS etching.
第 5 A図は MP Sエッチング時の発光スぺク トル 440 nmの時間変化の下層 膜 CHの CZS i比依存性を示す図で、 C/S i = 2. 22の場合を示す。  Fig. 5A shows the CZS i ratio dependence of the lower layer CH of the 440-nm emission spectrum during MPS etching, showing the case of C / S i = 2.22.
第 5 B図は MP Sエッチング時の発光スぺクトル 440 nmの時間変化の下層 膜 CHの CZS i比依存性を示す図で、 CZS i = l . 20の場合を示す。  Fig. 5B shows the CZS i ratio dependence of the lower layer CH of the 440 nm emission spectrum during MPS etching, showing the case of CZS i = 120.
第 5 C図は MP Sエッチング時の発光スぺク トル 440 nmの時間変化の下層 膜 CHの CZS i比依存性を示す図で、 CZS i = 1. 4 1の場合を示す。  Fig. 5C shows the CZS i ratio dependence of the time course of the emission spectrum at 440 nm during MPS etching in the lower layer CH, and shows the case of CZS i = 1.41.
第 5 D図は MP Sエッチング時の発光スぺク トル 440 nmの時間変化の下層 膜 CHの CZS i比依存性を示す図で、 CZS i = 1. 20の場合を示す。  Figure 5D shows the CZS i ratio dependence of the lower layer CH of the time change of the emission spectrum of 440 nm during MPS etching, showing the case of CZS i = 1.20.
第 6図は MP Sをエッチングプラズマに曝した後の TDSスぺクトルである。 第 7図は MP S, Au r o r a TMをエッチングプラズマに曝した後の N 1 s (XP S) スぺク トルである。 Figure 6 shows the TDS spectrum after exposing MPS to the etching plasma. Figure 7 shows the N 1 s (XP S) spectrum after exposing MP S and Aurora to the etching plasma.
第 8 A図は配線加工後の MP S側壁からの組成分析を TEM— EEL Sマツピ ングによって観察した結果の図である。  Fig. 8A shows the result of TEM-EEL S mapping observed from MPS sidewall after wiring processing.
第 8 B図は配線加工後の A u r o r a TM側壁からの組成分析を T EM— E E L Sマツビングによって観察した結果の図である。 Fig. 8B is the result of TEM-EELS matbing observation of the composition analysis from the A urora TM sidewall after wiring processing.
第 8 C図は第 8 A図及び第 8 B図の配線加工後の MP S, Au r o r a TMの各 側壁からの組成分析を行うための TEM— EEL Sマツビングの為の試料の断面 図である。 Fig. 8C is a cross-sectional view of the sample for TEM-EEL S matbing to analyze the composition from the side walls of MP S and Aurora TM after wiring processing in Fig. 8A and 8B .
第 9図は配線加工後の MP S, Au r o r a TMの側壁の酸化層をフッ酸ディッ プによつて調査した電子顕微鏡写真である。 Figure 9 shows the oxide layer on the side wall of MP S, Au rora TM after wiring processing. It is an electron micrograph investigated by a computer.
第 10 A図は本発明の第 1の実施例による多層配線の製造方法の一工程を示す 断面図である。  FIG. 10A is a cross-sectional view showing a step of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
第 10 B図は本発明の第 1の実施例による多層配線の製造方法の第 1 OA図の 次の工程を示す断面図である。  FIG. 10B is a sectional view showing a step subsequent to the first OA diagram of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
第 1 OC図は本発明の第 1の実施例による多層配線の製造方法の第 1 OB図の 次の工程を示す断面図である。  FIG. 1 OC is a sectional view showing a step subsequent to the first OB diagram of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
第 1 OD図本発明の第 1の実施例による多層配線の製造方法の第 1 OC図の次 の工程を示す断面図である。  FIG. 1 OD is a cross-sectional view showing a step subsequent to the first OC diagram of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
第 1 OE図は本発明の第 1の実施例による多層配線の製造方法の第 1 OD図の 次の工程を示す断面図である。  FIG. 1 OE is a sectional view showing a step subsequent to the first OD diagram of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
第 10 F図本発明の第 1の実施例による多層配線の製造方法の第 1 OE図の次 の工程を示す断面図である。  FIG. 10F is a sectional view showing a step subsequent to the first OE diagram of the method for manufacturing the multilayer wiring according to the first example of the present invention.
第 1 OG図は本発明の第 1の実施例による多層配線の製造方法の第 1 O F図の 次の工程を示す断面図である。  FIG. 1 OG is a sectional view showing a step subsequent to the first OF diagram of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
第 10H図は本発明の第 1の実施例による多層配線の製造方法の第 1 OG図の 次の工程を示す断面図である。  FIG. 10H is a sectional view showing a step subsequent to the first OG diagram of the method for manufacturing the multilayer wiring according to the first embodiment of the present invention.
第 10 I図は本発明の第 1の実施例による多層配線の製造方法の第 10H図の 次の工程を示す断面図である。  FIG. 10I is a cross-sectional view showing the next step of FIG. 10H in the method for manufacturing the multilayer wiring according to the first embodiment of the present invention.
第 1 1 A図は本発明の第 2の実施例による多層配線の製造方法の一工程を示す 断面図である。  FIG. 11A is a cross-sectional view showing one step of a method for manufacturing a multilayer wiring according to a second embodiment of the present invention.
第 1 1 B図は本発明の第 2の実施例による多層配線の製造方法の第 1 1A図の 次の工程を示す断面図である。  FIG. 11B is a sectional view showing a step subsequent to FIG. 11A of the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
第 1 1 C図乃至第 1 1H図は本発明の第 2の実施例による多層配線の製造方法 を第 1 1 B図の次の工程を示す断面図である。  FIGS. 11C to 11H are cross-sectional views showing the next step of FIG. 11B in the multilayer wiring manufacturing method according to the second embodiment of the present invention.
第 1 1 D図は本発明の第 2の実施例による多層配線の製造方法の第 1 1 C図の 次の工程を示す断面図である。  FIG. 11D is a sectional view showing a step subsequent to FIG. 11C of the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
第 1 1 E図は本発明の第 2の実施例による多層配線の製造方法の第 1 1D図の 次の工程を示す断面図である。 FIG. 11 E is a view of FIG. 11D of the method for manufacturing a multilayer wiring according to the second embodiment of the present invention. It is sectional drawing which shows the next process.
第 1 1 F図は本発明の第 2の実施例による多層配線の製造方法の第 1 1 E図の 次の工程を示す断面図である。  FIG. 11 F is a cross-sectional view showing the next step of FIG. 11 E in the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
第 1 1 G図は本発明の第 2の実施例による多層配線の製造方法の第 1 1 F図の 次の工程を示す断面図である。  FIG. 11 G is a cross-sectional view showing the next step of FIG. 11 F in the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
第 1 1 H図は本発明の第 2の実施例による多層配線の製造方法の第 1 1 G図の 次の工程を示す断面図である。  FIG. 11 H is a cross-sectional view showing the next step of FIG. 11 G in the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
第 1 1 I図は本発明の第 2の実施例による多層配線の製造方法の第 1 1 H図の 次の工程を示す断面図である。  FIG. 11 I is a cross-sectional view showing a step subsequent to FIG. 11 H of the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
第 1 2図は本発明の第 3の実施例による多層配線構造を示す図である。 発明を実施するための最良の形態:  FIG. 12 is a view showing a multilayer wiring structure according to a third embodiment of the present invention. Best Mode for Carrying Out the Invention:
本発明の実施例を説明する前に、 従来技術によるデュアルダマシン配線につい て説明する。  Prior to describing the embodiments of the present invention, dual damascene wiring according to the prior art will be described.
第 1 A図を参照すると、 銅 低誘電率膜で構成された一般的なデュアルダマシ ン配線の構造では、 下層配線 1の上に S i C Nなどの材料で形成される C uキヤ ップ膜 2 、 S i O C Hなどの低誘電率膜で形成されるビア層間低誘電率膜 3 、 S i 0 2などの無機膜で形成されるエッチングストッパー膜 4、ポーラス S i O C H などの低誘電率膜で形成される配線層間低誘電率膜 5 、 S i 0 2などの無機膜で形 成されるハードマスク 6 、 S i C Nなどの材料で形成される C uキャップ膜 7の 絶縁膜構造内に、 主たる配線を構成する銅 8、 丁3 丁3 ^^などの。11バリァ膜Referring to Fig. 1A, in a typical dual damascene wiring structure composed of a copper low dielectric constant film, a Cu cap film formed on the lower wiring 1 with a material such as SiCN. 2, Via interlayer low dielectric constant film formed with low dielectric constant film such as S i OCH 3, Etching stopper film formed with inorganic film such as S i 0 2 , Low dielectric constant film such as porous S i OCH In the insulating film structure of the wiring interlayer low dielectric constant film 5, hard mask 6 formed of inorganic film such as Si 0 2, and Cu cap film 7 formed of material such as Si CN The main wiring is made up of copper 8, Ding 3 Ding 3 ^^, etc. 11 Barrier membrane
9で構成される配線 ビアが埋め込まれた構造になっている。 この構造は特開 2 0 0 4— 0 4 7 8 7 3号公報 (以下、 特許文献 1と呼ぶ) などに記載されている デュアルダマシン方法によって形成される。 しかし、 さらに配線層間やビア層間 の誘電率を下げるには、 無機膜で構成されている C uキャップ膜 2と 7や、 エツ チンダストッパー膜 4、 ハードマスク 6を低誘電率膜に置き換えるのが効果的で ある。特にエッチングストッパー膜 4やハードマスク 6は電極間に存在するため、 低誘電率膜に置き換えると実効的な比誘電率が低減できる。 そこで、 第 I B図に示すように、 エッチングストッパーがなく、 ハードマスク も低誘電率膜ハ一ドマスク 6, になっている構造が検討されている。 The wiring via consisting of 9 is embedded in the structure. This structure is formed by a dual damascene method described in Japanese Patent Application Laid-Open No. 2 004-0 4 7 8 7 3 (hereinafter referred to as Patent Document 1). However, in order to further reduce the dielectric constant between the wiring layers and via layers, it is necessary to replace the Cu cap films 2 and 7 made of inorganic films, the ethine dustper film 4 and the hard mask 6 with low dielectric constant films. It is effective. In particular, since the etching stopper film 4 and the hard mask 6 exist between the electrodes, the effective relative dielectric constant can be reduced by replacing with a low dielectric constant film. Therefore, as shown in Fig. IB, a structure in which there is no etching stopper and the hard mask is a low dielectric constant hard mask 6, is being studied.
それでは、 本発明の実施例について第 2図乃至第 1 2図を参照しながら説明す る。  Now, an embodiment of the present invention will be described with reference to FIG. 2 to FIG.
本発明は、 下層に位置する第 1の S i OCH低誘電率膜と上層に位置する第 2 の S i OCH低誘電率膜とが直接積層された S i OCH膜連続体において、 膜中 のカーボン シリコン比 (CZS i比) が異なる 2種類の S i OCH低誘電率膜 を N2ZCHxFy混合ガス系で上層の S i OCH膜をエッチングすると、 上層と 下層の S i OCH膜のエッチング選択比が確保できるとともに、 発光分光による 終点検出が可能であるという発見に基づく。 The present invention relates to a continuous S i OCH film in which a first S i OCH low dielectric constant film located in a lower layer and a second S i OCH low dielectric constant film located in an upper layer are directly laminated. When the upper S i OCH film is etched with two kinds of S i OCH low dielectric constant films with different carbon silicon ratios (CZS i ratio) in N 2 ZCH x F y mixed gas system, the upper and lower S i OCH films This is based on the discovery that the etching selectivity can be secured and the end point can be detected by emission spectroscopy.
また、 本発明の多層配線の製造方法では、 例えばビア層間低誘電率膜としてプ ラズマ CVD— S i 0〇1"1膜でぁる 11 r o r a TM膜、 配線層間低誘電率膜とし て分子細孔膜である MP S _ S i OCH膜 (Mo 1 e c u 1 a r P o r e S t a c k膜、 以後単に "MP S" と記す) を使用する。 ここで、 MP S _ S i O CH膜中のカーボン シリコン比 = 2. 7であり、 Au r o r a TM膜 (プラズマ CVD— S i OCH膜) 中のカーボン シリコン比 = 0. 7よりも大きレヽ。 ハー ドマスクとしては膜 2を使用する。 In the multilayer wiring manufacturing method of the present invention, for example, a plasma CVD-Si001 "1 film is used as a low dielectric constant film between vias, 11 rora TM film, and a molecular thin film is formed as a wiring interlayer low dielectric constant film. MP S _ S i OCH film (Mo 1 ecu 1 ar Por S tack film, hereinafter simply referred to as “MP S”) is used, where carbon in the MP S _ S i O CH film Silicon ratio = 2.7, carbon silicon ratio in Aurora film (plasma CVD—Si OCH film) is larger than 0.7, and film 2 is used as a hard mask.
本発明の多層配線の形成方法では、 C F 4などの単炭素原子からなるフルォロカ 一ボンと 20から 5 0%の窒素ガスからなる混合ガスプラズマを用いてエツチン グ加工を行う。 In the method for forming a multilayer wiring according to the present invention, etching is performed using a mixed gas plasma composed of fluorocarbon made of single carbon atoms such as CF 4 and 20 to 50% nitrogen gas.
第 2図は、 ブランケットウェハーで評価した S i OCH (Au r o r a™, M P S)ェツチング速度の窒素添加量依存性を示す図である。第 2図を参照すると、 平行平板のエッチング装置を用いて、電極間距離 3 5mm、圧力 6. 6 5 P a (5 OmT o r r)、 上部電極パワー 1 ◦ 0 0W、 下部電極パワー 1 0 0W、 A r /N 2/C F4/02= 3 0 0/ 1 0 0/2 5/6 s c c mの条件でエッチング速度を 求めた。 Au r o r a TMでは窒素含有によりエッチング速度が低下するのに対し、 MP Sではエッチング速度が増加する。 また、 S i 02ハードマスクで溝パターン をエッチングした場合には、 MP S/Au r o r a TMエッチング選択比として 1. 7以上が確保できることを確認している。 このように窒素ガス流量を制御するこ とにより、 MP Sエッチングを Au r o r a TM上で停止させることが可能になる。 このように、 S i OCH膜連続体であっても、 その CZS i比の変化を検出しう ることを見出し、 エッチングの終点検出が可能となる。 さらには、 CF4などの単 炭素原子 (CxFy : x = 1) をフルォロカーボンガスとして用いた場合、 S i F などの発光スぺク トルが明瞭になり、 発光分光による終点検出が容易になる。 第 3 A図及び第 3 B図は、 MP S基板とシリコン基板のエッチングを、 C4F8 ガスあるいは CF 4ガス添加で行った場合の 440 nm発光スぺクトルを示す図 である。 第 3 A図及び第 3 B図を参照すると、 C4F8では高分子フルォロカーボ ンからの分子性発光スぺク トルが広い帯域にわたり存在するため、 440 nmの 発光も埋もれてしまいやすいが、 CF 4を用いた場合には、 はっきりと確認でき る。 FIG. 2 is a graph showing the dependency of Si OCH (Au rora ™, MPS) etching rate on a nitrogen addition amount evaluated with a blanket wafer. Referring to Fig. 2, using a parallel plate etching apparatus, the distance between electrodes is 35 mm, the pressure is 6.65 5 Pa (5 OmTorr), the upper electrode power is 1 ◦ 0 0 W, the lower electrode power is 100 W, It was determined etching rate under the conditions of a r / N 2 / CF 4 /0 2 = 3 0 0/1 0 0/2 5/6 sccm. With Au rora TM , the etching rate decreases due to the nitrogen content, whereas with MP S, the etching rate increases. Further, when etching a groove pattern in S i 0 2 hardmask 1 as MP S / Au rora TM etching selectivity. It is confirmed that 7 or more can be secured. By controlling the nitrogen gas flow rate in this way, MPS etching can be stopped on Aurora . In this way, it is found that even a Si OCH film continuum can detect a change in the CZSi ratio, and the etching end point can be detected. Furthermore, when a single carbon atom such as CF 4 (C x F y : x = 1) is used as the fluorocarbon gas, the emission spectrum such as SiF becomes clear and the end point by emission spectroscopy is obtained. Detection is easy. FIGS. 3A and 3B are diagrams showing a 440 nm emission spectrum when the MPS substrate and the silicon substrate are etched by adding C 4 F 8 gas or CF 4 gas. Referring to Fig. 3A and Fig. 3B, C 4 F 8 has a molecular emission spectrum from a polymer fluorocarbon over a wide band, so the emission at 440 nm tends to be buried. If CF 4 is used, it can be clearly confirmed.
第 4図は S i 02/MP S/Au r o r a TMの構造上に溝露光を行い、 S i O 2ハードマスクをエッチングした後レジス トアツシングして、 S i O2ハードマス クで MP Sエッチングした場合の 440 nm発光スぺクトルの時間変化を観察し た図である。 第 4図を参照すると、 エッチングは、 平行平板のエッチング装置を 用いて、 電極間距離 3 5 mm、 圧力 6. 6 5 P a ( 50 mT o r r )、 上部電極パ ヮー 1 000W、 下部電極パワー 1 00W、 A r /N 2/C F 4/O 2 = 300/ 1 00/25/6 s e c mの条件で行った。 MP Sのエッチングが終了すると、 S i Fを示す 440 nmの発光スぺク トルは増大する。 これは、 MP Sよりも A u r o r a TMの方が C/S i比が小さいためである。 440 nmの発光スぺク ト ルを微分した値も併記したが、 大きく変化している。 この発光スペク トル、 ある いはその微分値を用いてエッチング時間を調整することで、 エッチング深さのゥ ェハ間ばらつきや口ットばらつきを抑制できる。 Figure 4 performs a groove exposed on the structure of S i 0 2 / MP S / Au rora TM, and Regis Toatsushingu after etching the S i O 2 hard mask, and MP S etched by S i O 2 Hadomasu click It is a diagram observing the time change of the 440 nm emission spectrum. Referring to FIG. 4, etching is performed using a parallel plate etching apparatus, the distance between electrodes is 35 mm, the pressure is 6.65 Pa (50 mTorr), the upper electrode power is 1 000 W, the lower electrode power is 1 00W, were carried out under the conditions of a r / N 2 / CF 4 / O 2 = 300/1 00/25/6 secm. When the MP S etching is completed, the emission spectrum at 440 nm indicating Si F increases. This is because Aurora TM has a smaller C / Si ratio than MP S. The value obtained by differentiating the 440 nm emission spectrum is also shown. By adjusting the etching time using this light emission spectrum or its differential value, variations in etching depth between wafers and mouths can be suppressed.
第 5 A図は、 第 5 B図、 第 5 C図、 第 5D図は S i 02/MP s/S i OCHの 構造で S i OCHの CZS i比を C/S i = 2. 22、 1. 5 3, 1. 4 1, 1. 20と夫々変化させたサンプル上に溝露光を行レ、、 S i O2ハードマスクをエッチ ングした後レジストアツシングして、 S i 02ハードマスクで MP Sエッチングし た場合の 440 nm発光スぺク トルの時間変化を観察した結果を夫々示している。 MP Sの C/S i比は 2 7である。 下層の S i 0〇11のじ 3 i比が低いほど 4 40 nmのスペク トルの時間変化は大きい。 C/S i比が 1. 4以下では特に時 間変化が大きく観察される。 Fig. 5A shows Fig. 5B, Fig. 5C, Fig. 5D shows the structure of S i 0 2 / MP s / S i OCH, and the CZS i ratio of S i OCH is C / S i = 2.22 , 1. 5 3, 1. 4 1, 1. 20 groove exposure was performed on each sample, S i O 2 hard mask was etched, resist ashing was performed, and S i 0 2 MP S etch with hard mask The results of observing the temporal change of the 440 nm emission spectrum are shown. The C / Si ratio of MP S is 27. The lower the 3 i ratio of Si i 011 in the lower layer, the larger the time change of the spectrum at 440 nm. When the C / Si ratio is 1.4 or less, the time change is particularly large.
この結果から、 S i OCH膜連続体において上層の S i OCH (ここでは MP S) の C/S i比が下層の約 2倍程度であるのが好ましいことがわかる。  From this result, it is understood that the C / Si ratio of the upper S i OCH (here MP S) is preferably about twice that of the lower layer in the S i OCH film continuum.
これらのことから、 MP Sのェツチングを A r /N2/C F 4などのガスでェッ チングすると、 下層 Au r o r aTM膜との選択比が確保できるとともに、 発光分 光により、 S i OCH膜連続体であり、 たとえば同質材料からなる 2種類の S i O C H低誘電率膜のェッチングの終点検出も可能になるため、 面内ばらつきゃパ ターンばらつきが少なく、 ウェハ間 · 口ット間ばらつきの少ない溝加工が可能に なる。 For these reasons, etching of MP S with a gas such as Ar / N 2 / CF 4 can secure a selection ratio with the lower layer of Au rora TM film, and the S i OCH film can be obtained by emission spectroscopy. Because it is a continuum, for example, it is possible to detect the end point of etching of two types of Si OCH low dielectric constant films made of the same material, so there is little pattern variation if there is in-plane variation, and variation between wafers and mouths. Fewer grooves can be machined.
また、 第 6図に示すように、 F吸蔵の観点からも、 Ar N2//CF4がArZ N2ノ C4F8よりも優れている。 〇 4に比べてじ4?! は容易に解離反応を示す (結合エネルギーが弱い) ため、 C4F8からはCF2ゃCF、 Fラジカルが多量 に発生するためである。 S i OCH膜中にフッ素が取り込まれると密着性を劣化 させたり、 膜吸湿により HFが形成され膜中にボイ ドを形成させたりするため、 CF4添加が好ましいことがわかる。 さらには、 膜のエッチング効率においては、 C F 3イオンが C F 2や C Fイオンよりも高いことが知られており、 CF3イオン を発生させる C F 4添加が好ましい。 Further, as shown in FIG. 6, in terms of F occlusion, Ar N 2 / / CF 4 is superior ArZ N2 Bruno C 4 F 8.4 compared to the Flip 4?! Is (weak binding energy) showing the easy dissociation reaction for, from C 4 F 8 is for CF 2 Ya CF, F radicals in a large amount occurs. It can be seen that addition of CF 4 is preferable because when fluorine is incorporated into the Si OCH film, adhesion is deteriorated or HF is formed by moisture absorption to form a void in the film. Furthermore, in the etching efficiency of the film, CF 3 ions are known to be higher than CF 2 and CF ion, CF 4 is added to generate a CF 3 ions.
ところで、 カーボンリッチの CZS i比〉 1の S i OCH膜では、 CxHyZN 2系ガスでプラズマエッチングを行うと側壁に炭窒化皮膜が形成される。 By the way, in a carbon-rich CZSi ratio> 1 S i OCH film, a carbonitride film is formed on the side wall when plasma etching is performed with a C x H y ZN 2 gas.
第 7図はエッチングプラズマに照射後の表面を XP Sを用いて N 1 sスぺク ト ルを観察した図を示す。 MP S膜では、 (すなわち C/S i比〉 1の S i OCH膜 では) N 1 sのスペク トルが照射後に観察される。 この炭窒化皮膜はエッチング 工程後に続く酸素アツシング工程において完全に除去されるが、 S i OCH膜側 壁からの炭素引き抜きを抑制する効果がある。 すなわち、 酸素アツシング後の側 壁の酸化量が抑制される。 この効果は、 S i OCH膜中のカーボン量が多いほど 顕著であり、 膜中に不飽和炭化水素が含まれている方がさらに顕著であった。 こ れは、 膜中の不飽和炭化水素がェツチングプラズマ中の Nラジカルと反応しゃす く、 炭窒化被膜が形成しやすいためと推察される。 また、 その詳細な原因は明ら かではないが、 カーボンリツチの CZS i比 > 1の S i OCH膜のシリカ骨格が 環状、 さらには 6員環 (S i 3O3) の場合酸素アツシング後の側壁の酸化量が抑 制される傾向も認められた。 Figure 7 shows the N 1 s spectrum observed on the surface after irradiation with etching plasma using XPS. In the MP S film (ie, in the S i OCH film with a C / Si ratio> 1), a spectrum of N 1 s is observed after irradiation. This carbonitride film is completely removed in the oxygen ashing process that follows the etching process, but it has the effect of suppressing carbon extraction from the side walls of the Si OCH film. That is, the amount of oxidation of the side wall after oxygen ashing is suppressed. This effect increases as the amount of carbon in the S i OCH film increases. This was remarkable, and the one containing unsaturated hydrocarbons in the film was even more remarkable. This is presumably because the unsaturated hydrocarbons in the film react with the N radicals in the etching plasma, making it easy to form a carbonitride film. Moreover, the detailed cause is not clear, but the carbon skeleton has a CZSi ratio> 1 S i OCH film with a silica skeleton in a ring, or a 6-membered ring (S i 3 O 3 ). There was also a tendency to suppress the amount of oxidation on the side walls.
さて、 本願発明で特徴的なカーボンリツチな S i OCH膜である配線層間低誘 電率膜とシリコンリッチ (CZS iく 1) の S i OCH膜であるビア層間低誘電 率膜とを直接積層した構造に対して、 それぞれ配線溝とビアとからなる開口部を 形成する。 カーボンリツチな S i OCH膜である配線層間低誘電率膜ではその側 壁の酸化量が抑制され、 ビア側壁の酸化量よりも薄くなる。  The wiring interlayer low dielectric constant film, which is a carbon-rich S i OCH film characteristic of the present invention, and the via interlayer low dielectric constant film, which is a silicon-rich (CZS i 1) S i OCH film, are directly laminated. For each of the structures, an opening made of a wiring groove and a via is formed. The wiring interlayer low dielectric constant film, which is a carbon-rich S i OCH film, suppresses the amount of oxidation on the side walls, and is thinner than the amount of oxidation on the via sidewalls.
第 8 A図及び第 8 B図はエッチング後にァッシンダプラズマを曝したパターン 上に Cu配線を形成し、 TEM_EELSマッピングにょりMPS膜及びAu r o r a TM膜を組成分析した結果を夫々示す図で、 第 8 C図は TEM— EEL Sマ ッビングのための試料の断面図ある。 8A and 8B are diagrams showing the results of analyzing the composition of the MPS film and the Aurora TM film by TEM_EELS mapping after forming Cu wiring on the pattern exposed to the assin plasma after etching. Figure 8C is a cross-sectional view of the sample for TEM-EEL S mapping.
第 9図はエッチング後にアツシングプラズマを曝したパターン上に C u配線を 形成し、 配線加工後の MP S, Au r o r a TMの側壁の酸化層をフッ酸ディップ によって調査した電子顕微鏡写真である。 Fig. 9 is an electron micrograph of Cu wiring formed on the pattern exposed to ashing plasma after etching, and the oxide layer on the side wall of MPS, Aurora after wiring processing was investigated by hydrofluoric acid dip.
MP Sでは側壁から 10 nm程度までに Cや Oの変化が収まっているのに対し、 Au r o r a TMを用いた場合には 30 nm程度まで深く Cや〇が変化しており、 酸化改質層の厚みが大きいことがわかる。 このような酸化量の違いはデバイスの 被断面に対して希フッ酸水溶液にディッビングすることで容易に確認できる。 本 構造で特徴的なカーボンリツチ S i OCH,シリコンリッチ S i OCH積層構造 でデュアルダマシン加工後にレジストを埋め込み、 配線の被断面形成後、 6 %H F, 30%NH4Fを含む水溶液に 5秒ディッビングした結果を示す。 Au r o r a TM側壁やトレンチ底面には酸化層が確認できる。 MP Sではほとんど改質層は 確認されない。 配線層間低誘電率膜の酸化量が少なく形成できるため、 実効比誘 電率の増加を抑制しつつ、 Cu/1 ow—k配線を形成できる構造になっている。 本発明によれば、 低誘電率膜を配線層開膜に用いた多層配線において、 溝深さ のパターンばらつき、 面内ばらつき、 ウェハ間 · ロット間ばらつきを低く抑え、 実効比誘電率が低い多層配線が形成できる。 From MP S sidewall at up to about 10 nm while changing the C and O is within, deep C or 〇 up to about 30 nm has changed in the case of using the Au RORA TM, oxidation reforming layer It can be seen that the thickness of is large. Such a difference in the amount of oxidation can be easily confirmed by dibbing the device cross section with a dilute hydrofluoric acid solution. Characteristic carbon structure of this structure S i OCH, silicon rich S i OCH laminated structure Resist is embedded after dual damascene processing, after forming the cross section of the wiring, in an aqueous solution containing 6% HF, 30% NH 4 F for 5 seconds The result of dibbing is shown. An oxide layer can be observed on the Au rora TM side wall and the bottom of the trench. In MPS, almost no modified layer is confirmed. Since the amount of oxidation of the low dielectric constant film between wiring layers can be reduced, it is possible to form a Cu / 1 ow-k wiring while suppressing an increase in effective dielectric constant. According to the present invention, in multi-layer wiring using a low dielectric constant film as a wiring layer opening film, groove depth pattern variation, in-plane variation, wafer-to-lot variation, and low effective relative dielectric constant are reduced. Wiring can be formed.
実施例:  Example:
以下、 本発明の実施例について図面を参照しながら詳細に説明する。  Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings.
(第 1の実施例)  (First example)
第 1の実施例では、 S i o2ハードマスクについて説明する。 In the first embodiment, an Sio 2 hard mask will be described.
第 1 OA図から第 1 OH図は本発明の第 1の実施例にかかわる多層配線構造の 製造プロセスを複式的に示す断面図である。 第 1の実施例は、 シリコン酸化膜 Z MP S膜 Au r o r a™/S i C Nの絶縁膜構造にビアと配線溝が形成され ている、いわゆるデュアルダマシン C u配線の形成にあたり、ビア加工をした後、 配線溝用レジストパターン形成し、 A r ZN2ZC F4プラズマにより発光による 終点検出を用いながら MP S膜をエッチングすることにより、 面内均一 I"生やバタ ーン依存性、 ウェハ間 · 口ット間ばらつきが少ない溝加工を行うことができるも のである。 FIGS. 1A to 1H are cross-sectional views illustrating a manufacturing process of a multilayer wiring structure according to the first embodiment of the present invention. In the first example, via processing was performed in forming a so-called dual damascene Cu wiring in which a via and a wiring trench were formed in an insulating film structure of a silicon oxide film ZMPS film Au rora ™ / SiCN. After that, a resist pattern for the wiring trench is formed, and the MPS film is etched by using Ar ZN 2 ZC F 4 plasma to detect the end point by light emission. · It is possible to perform grooving with little variation between the mouthpieces.
まず、 第 1 0 A図に示すように、 下層配線 20 1上に銅キャップ膜となるシリ コン炭窒化膜 20 2、 ビア層間低誘電率膜となる A u r o r a TM膜 20 3、 配線 層間低誘電率膜となる MP S膜 2 04、 ハードマスクであるシリコン酸化膜 2 0 5をこの順に例えばブラズマ C V D法により成膜し、その上に反射防止膜 20 6、 ビア用レジス ト 2 0 7をこの順に塗布し、 ビアレジストパターン 2 0 7 a、 2 0 7 bを形成する。 First, as shown in Fig. 10A, the silicon carbonitride film 202 to be the copper cap film on the lower wiring 201, the Aurora TM film 203 to be the low dielectric constant film between the vias, and the wiring interlayer low dielectric An MPS film 204, which is an index film, and a silicon oxide film 205, which is a hard mask, are formed in this order by, for example, a plasma CVD method, and an antireflection film 206 and a via resist 2007 are formed thereon. By sequentially coating, via resist patterns 2 0 7 a and 2 0 7 b are formed.
次に、 第 1 0 B図に示すように、 ビアレジストパターン 2 0 7 a、 2 0 7 bを マスクとして、 反射防止膜 2 0 6、 シリコン酸化膜 2 0 5、 MP S膜 2 04、 A u r o r a TM膜 20 3をこの順でエッチングする。 Next, as shown in FIG. 10 B, using the via resist patterns 2 0 7 a and 2 0 7 b as masks, the antireflection film 2 0 6, silicon oxide film 2 0 5, MPS film 2 04, A The urora TM film 203 is etched in this order.
その後、 第 1 0 C図に示すように、 例えば、 酸素プラズマなどでアツシングを 行うと、 ビア孔パターン 2 0 3 a、 2 0 3 bが形成される。  Thereafter, as shown in FIG. 10C, for example, by performing ashing with oxygen plasma or the like, via hole patterns 2 0 3 a and 2 0 3 b are formed.
その後、 第 1 0 D図に示すように、 シリコン酸化膜 2 0 5の上に有機膜 2 0 8 を塗布し、 シリコン酸化膜 2 0 9を例えば CVD法によって成膜する。 シリコン 酸化膜 209の上に反射防止膜 210、 配線溝用レジスト 21 1をこの順に塗布 し、 配線溝用レジストパターン 21 1 a, 21 1 bを形成する。 Thereafter, as shown in FIG. 10D, an organic film 2 08 is applied on the silicon oxide film 2 05 and a silicon oxide film 2 09 is formed by, eg, CVD. silicon On the oxide film 209, an antireflection film 210 and a wiring groove resist 211 are applied in this order to form wiring groove resist patterns 21 1a and 21 1b.
第 10E図に示すように、 配線溝用レジストパターン 21 1 a、 21 1 bをマ スクとして、 反射防止膜 210、 シリコン酸化膜 209、 有機膜 208、 シリコ ン酸化膜 205をエッチングする。 有機膜 208のエッチングの際に配線溝用レ ジスト 21 1と反射防止膜 210が消失し、 シリコン酸化膜 205のエッチング の際にシリコン酸化膜 209が消失するため、 第 10 E図に示したエッチング加 ェ後は有機膜 208が最上層になる形となる。  As shown in FIG. 10E, the antireflection film 210, the silicon oxide film 209, the organic film 208, and the silicon oxide film 205 are etched using the wiring groove resist patterns 21 1a and 21 1b as masks. When etching the organic film 208, the interconnect trench resist 211 and the antireflection film 210 disappear, and when the silicon oxide film 205 is etched, the silicon oxide film 209 disappears. Therefore, the etching shown in FIG. 10E After the addition, the organic film 208 becomes the top layer.
その後、 第 10 F図に示すように、 有機膜 208を例えば酸素プラズマにより ァクシングすると、 配線溝用パターンが転写された配線溝用ハードマスクパター ン 205 a、 205 bが形成できる。  Thereafter, as shown in FIG. 10F, when the organic film 208 is etched by, for example, oxygen plasma, wiring groove hard mask patterns 205a and 205b to which the wiring groove pattern is transferred can be formed.
さらに、 第 10 G図に示すように、 配線溝用ハードマスクパク一ン 205 a、 205 bをマスクとして、 MP S膜 204を A r ZNzZC F 4プラズマを用いて エッチングする。 このときの条件としては、 平行平板電極のプラズマ源で、 電極 間距離 35mm、 圧力 10. 6 P a ( 80 mT o r r )、 上部電極パワー 1000 W、 バイアスパワー 100W、 Ar/N2/CF4/02= 300/100/25 6 s c cmの条件などが挙げられる。 ここで重要なのは、 単炭素原子フルォロ カーボンを用いることと、 20%以上 50%未満の窒素を用いることである。 単 炭素原子フルォロカーボンを用いることで発光分光による終点検出をしやすくし、 窒素を含有させることで下層 A u r o r a TM膜との選択比を確保することがで きるためである。 これらの条件を用いると、 S i F = 440 nmなどの発光変化 を容易に観測可能になるため、 終点検出ができ、 面内ばらつきやパターンばらつ き、 ウェハ間、 ロット間ばらつきの少ない加工が可能になる。 また、 このエッチ ング中に Cuキャップ膜 202は消失する。 Further, as shown in FIG. 10G, the MPS film 204 is etched using Ar ZNzZC F 4 plasma using the wiring groove hard mask patterns 205a and 205b as a mask. At this time, the plasma source was a parallel plate electrode, the distance between the electrodes was 35 mm, the pressure was 10.6 Pa (80 mTorr), the upper electrode power was 1000 W, the bias power was 100 W, Ar / N 2 / CF 4 / For example, 0 2 = 300/100/25 6 sc cm. What is important here is the use of a single carbon atom fluorocarbon and the use of 20% or more and less than 50% nitrogen. This is because the use of a single carbon atom fluorocarbon makes it easier to detect the end point by emission spectroscopy, and the inclusion of nitrogen makes it possible to secure a selection ratio with the lower layer Aurora film. Using these conditions, it is possible to easily observe changes in light emission such as Si F = 440 nm, so that the end point can be detected, and processing with less in-plane variation, pattern variations, wafer-to-lot, and lot-to-lot variations is possible. It becomes possible. Also, the Cu cap film 202 disappears during this etching.
その後、 第 10H図に示すように、 デポジション物を除去するため、 02を含む クリーニングプラズマを若干照射する。 このとき、 C/S i =0. 7とシリコン リツチの Au r o r a TM側壁 203 'に比べて、 C/S i = 2. 7とカーボンリ ツチな MPS側壁 204' には、 薄い酸化層が形成される。 配線層間低誘電率膜 での酸化改質層が薄いので、 比誘電率が低く抑制できる。 このような配線部とビ ァ部での酸化量の違いはデバイスの破断面に対して希フッ酸水溶液にディッピン グすることで容易に確認できる。 例えば、 6 % H F、 30%NH4Fを含む水溶液 に 3カゝら 5秒デイツビングすることで確認できる。 逆に、 このような配線側壁と ビア側壁との酸化厚の違レ、を有することは、 本願発明による製造プロセスおよび 化学組成の異なる S i OCH膜を直接積層した層間絶縁膜構造を用いた証となる。 この後、 第 10 I図に示すように、 ノ リア ' Cuシードスパッタ、 Cuめっき を行い、 CMPにより C u配線 212が形成される。 さらに Cuキャップ膜とし てシリコン炭窒化膜を例えば CVD法により成膜する。 これを繰り返すことによ り、 多層配線が形成できる。 Thereafter, as shown in 10H diagram for removing deposition material, slightly irradiating the cleaning plasma containing 0 2. At this time, a thin oxide layer is formed on the MPS side wall 204 'with C / S i = 2.7 and carbon rich, compared to C / S i = 0.7 and the aurora TM side wall 203' with silicon rich. The Low dielectric constant film between wiring layers Since the oxidation-modified layer is thin, the relative dielectric constant can be kept low. Such a difference in the amount of oxidation between the wiring part and the via part can be easily confirmed by dipping in a dilute hydrofluoric acid solution with respect to the fracture surface of the device. For example, it can be confirmed by dubbing for 3 seconds for 5 seconds in an aqueous solution containing 6% HF and 30% NH 4 F. Conversely, the difference in oxide thickness between the wiring side wall and the via side wall is evidence that the manufacturing process according to the present invention and an interlayer insulating film structure in which Si OCH films having different chemical compositions are directly stacked are used. It becomes. Thereafter, as shown in FIG. 10I, noria 'Cu seed sputtering and Cu plating are performed, and Cu wiring 212 is formed by CMP. Furthermore, a silicon carbonitride film is deposited as a Cu cap film by, for example, the CVD method. By repeating this, multilayer wiring can be formed.
本実施例の配線層間低誘電率膜としては、 MPS膜を示したが、 ビア層間低誘 電率膜とのカーボンノシリコン比の差が十分確保できる. S i OCH膜であれば、 特に限定されず、 上記と同じ材料が適用できる。 望ましくは、 ビア層間低誘電率 膜のカーボンノシリコン比に対して、 配線層間低誘電率膜のカーボン シリコン 比が 2倍以上のあることが望ましい。  Although the MPS film is shown as the wiring interlayer low dielectric constant film in this example, a sufficient difference in carbonosilicon ratio from the via interlayer low dielectric constant film can be secured. The same materials as above can be applied. Desirably, the carbon silicon ratio of the low dielectric constant film between the wiring layers should be at least twice the carbon silicon ratio of the low dielectric constant film between the vias.
また、 本プラズマ発光をモニタする終点検出方法を適用したデュアルダマシン 開口パターン形成の場合、 酸素ァッシング工程による配線溝側壁の酸化を抑制す るため、 S i OCH膜中の CZS i比が 1. 5以上であることが望ましい。  In addition, in the case of dual damascene opening pattern formation using the end point detection method that monitors this plasma emission, the CZS i ratio in the S i OCH film is 1.5 to suppress the oxidation of the wiring trench sidewall due to the oxygen-ashing process. The above is desirable.
一方、 本実施例のビア層間低誘電率膜として A u r o r a TM膜を示したが、 配 線層間低誘電率膜のカーボン/シリコン比に対するビア層間低誘電率膜のカーボ ン シリコン比、 すなわち {(C/S i ) ピアノ (CZS i ) 配線 } 比が 0. 5倍 以下であることが望ましい。 ビア層間低誘電率膜中の CZS i比が 1以下である 日本 ASM社の A u r o r aシリーズ、 T r i c o n社の O r i o n, A p p 1 i e d Ma t e r i a l s社の BD/BD I I、 ノベラス社の C o r a 1など の C VD_ S i OCH膜、 Dow— Ch em i c a 1社のポーラス S i LK:、 触 媒化成社の NCSなどの塗布成膜する S i OCH膜などでも構わなレ、。さらには、 特許文献 1で示されているようなプラズマ重合で形成される S i OCH膜でもよ い。 実装耐性を考えると、 ビア層間低誘電率膜の方が配線層間低誘電率膜よりも 高い密度を持つ材料を選択するのが好ましい。 On the other hand, as a via interlayer low dielectric constant film of this example exhibited A urora TM film, via interlayer low dielectric constant film carbon emissions silicon ratio to carbon / silicon ratio of wiring interlayer low dielectric constant film, i.e. {( C / S i) Piano (CZS i) Wiring} The ratio is preferably 0.5 times or less. CZS i ratio in via interlayer low dielectric constant film is 1 or less Japan ASM Aurora series, Tricon Orion, A pp 1 ied Materials BD / BD II, Novellus Cora 1 C VD_ S i OCH film, Dow—Chica ica 1 Porous S i LK: Can be applied to NCS, etc. Furthermore, a Si OCH film formed by plasma polymerization as shown in Patent Document 1 may be used. Considering mounting resistance, the low dielectric constant film between via layers is lower than the low dielectric constant film between wiring layers. It is preferred to select a material with a high density.
本実施例では、 Cuキャップ膜としてシリコン炭窒化膜を用いた例を示したが、 低誘電率膜とのエッチング選択比が確保てき、 Cuバリア性のある材料であれば、 特に制限はなく、 どのような材料も使用することができる。 例えば、 シリコン炭 化膜、 シリコン窒化膜などが挙けられるが、 プラズマ重合法で形成された有機膜 ゃジビュルシロキサン 'ベンゾクロブテン (DVS— BCB) のようなシロキサ ン含有有機膜であってもよい。 さらには本実施例では、 MPS膜をハードマスク をマスクとして加工する例について示したが、 MP Sのエッチングをレジスト剥 離前に行っても良い。  In this example, the silicon carbonitride film was used as the Cu cap film, but the etching selectivity with the low dielectric constant film has been secured, and there is no particular limitation as long as the material has a Cu barrier property. Any material can be used. For example, a silicon carbide film, a silicon nitride film, etc. can be mentioned. An organic film formed by plasma polymerization is a siloxane-containing organic film such as dibutylsiloxane 'benzoclobutene (DVS-BCB). Also good. Furthermore, in this embodiment, an example in which the MPS film is processed using a hard mask as a mask is shown, but the MPS may be etched before the resist is stripped.
(第 2の実施例)  (Second embodiment)
本発明の第 2の実施例では、 1 o w— kハードマスクについて説明する。  In the second embodiment of the present invention, a 1 ow-k hard mask will be described.
第 1 1A図乃至第 1 1H図は本発明の第 2の実施例にかかわる多層配線構造の 製造プロセスを複式的に示す断面図である。 第 2の実施例は、 シリコン酸化膜/ B l a c k D i amo n d TM/MP S膜 /Au r o r a™/ S i CNの絶 縁膜構造にビアと配線溝が形成されている、 いわゆるデュアルダマシン C u配線 の形成にあたり、 ビア加工をした後、 配線溝用レジストパターン形成し、 Arノ N2ZCF4プラズマにより発光による終点検出を用いながら MP S膜をエッチ ングすることにより、 面内均一性やパターン依存性が少ない溝加工を行うととも に、 ハードマスクを低誘電率化することにより、 さらなる実効誘電率の低下を鑑 みたものである。 FIGS. 11A to 11H are cross-sectional views illustrating a manufacturing process of the multilayer wiring structure according to the second embodiment of the present invention. The second example is a so-called dual damascene C in which vias and wiring trenches are formed in an insulating film structure of silicon oxide film / B lack Diamond ™ / MP S film / Au rora ™ / Si CN. In forming the wiring, via processing is performed, a resist pattern for the wiring groove is formed, and the MPS film is etched using Arno N 2 ZCF 4 plasma while detecting the end point by light emission. In addition to performing groove processing with little pattern dependency, and lowering the dielectric constant of the hard mask, we further confirmed the decrease in effective dielectric constant.
まず、 第 1 1 A図に示すように、 第 1の実施例と同様に下層配線 201上に銅 キャップ膜となるシリコン炭窒化膜 202、 ビア層間低誘電率膜となる A u r o r a™S1203, 配線層間低誘電率膜となる MP S膜 204をこの順にプラズマ CVDなどにより成膜する。 さらに、 第一のハードマスクである B 1 a c k D i amo n dTM膜 305、 第二のハードマスクであるシリコン酸化膜 306をこ の順に例えばプラズマ CVD法により成膜し、 その上に反射防止膜 307、 ビア 用レジスト 308をこの順に塗布し、 ビアレジストパターン 308 a、 308 b を形成する。 次に、 第 1 1 B図に示すように、 ビアレジス トパターン 308 a、 308 bを マスクとして、 反射防止膜 307、 シリコン酸化膜 306、 B l a c k D i a mo n d TM膜 305、 MPS膜 204、 Au r o r a TM膜 203をこの順でェッ チングする。 First, as shown in FIG. 11A, as in the first embodiment, a silicon carbonitride film 202 serving as a copper cap film on the lower layer wiring 201, Aurora ™ S1203 serving as a low dielectric constant film between vias, wiring An MPS film 204 to be an interlayer low dielectric constant film is formed in this order by plasma CVD or the like. Furthermore, a B 1 ack Diamond film 305 as a first hard mask and a silicon oxide film 306 as a second hard mask are formed in this order by, for example, a plasma CVD method, and an antireflection film is formed thereon. 307 and via resist 308 are applied in this order to form via resist patterns 308a and 308b. Next, as shown in FIG. 11B, using the via resist patterns 308a and 308b as masks, the antireflection film 307, the silicon oxide film 306, the B lack Diamond TM film 305, the MPS film 204, Au The rora TM membrane 203 is etched in this order.
その後、 第 1 1 C図に示すように、 例えば、 酸素プラズマなどでアツシングを 行うと、 ビア孔パターン 203 a、 203 bが形成される。  Thereafter, as shown in FIG. 11C, via holes 203a and 203b are formed when ashing is performed using, for example, oxygen plasma.
その後、 第 1 1 D図に示すように、 シリコン酸化膜 306の上に有機膜 309 を塗布し、 シリコン酸化膜 310を、 例えば CVD法によって成膜する。 シリコ ン酸化膜 310の上に反射防止膜 3 1 1、 配線溝用レジスト 3 12をこの順に塗 布し、 配線溝用レジストパターン 312 a, 312 bを形成する。  Thereafter, as shown in FIG. 11D, an organic film 309 is applied on the silicon oxide film 306, and a silicon oxide film 310 is formed by, for example, a CVD method. An antireflection film 3 11 and a wiring groove resist 312 are applied in this order on the silicon oxide film 310 to form wiring groove resist patterns 312 a and 312 b.
第 1 1 E図に示すように、 配線溝用レジストパターン 312 a、 312 bをマ スクとして、 反射防止膜 31 1、 シリコン酸化膜 3 10、 有機膜 309、 シリコ ン酸化膜 306、 B l a c k D l amo n dTM膜 305をエッチングする。 有 機膜 309のエッチングの際に配線溝用レジスト 312と反射防止膜 31 1が消 失し、 シリコン酸化膜 306のエッチングの際にシリコン酸化膜 310が消失す るため、 第 1 1 E図に示したエッチング加工後は有機膜 309が最上層になる形 となる。 As shown in Fig. 11 E, resist patterns 312a and 312b for wiring trenches are used as masks, antireflection film 31 1, silicon oxide film 3 10, organic film 309, silicon oxide film 306, B lack D l Etch the amo nd TM film 305. When etching the organic film 309, the wiring groove resist 312 and the antireflection film 311 disappear, and when the silicon oxide film 306 is etched, the silicon oxide film 310 disappears. After the etching process shown, the organic film 309 becomes the top layer.
その後、 第 1 1 F図に示すように、 有機膜 309を例えば酸素プラズマにより アツシングすると、 配線溝用パターンが転写された配線溝用ハードマスクパター ン 305 a、 305 bが形成できる。  Thereafter, as shown in FIG. 11F, when the organic film 309 is ashed by, for example, oxygen plasma, wiring groove hard mask patterns 305a and 305b to which the wiring groove pattern is transferred can be formed.
さらに、 第 1 1 G図に示すように、 配線溝用ハードマスクパクーン 305 a、 305 bをマスクとして、 MPS膜 204を八1:ノ ^2 じ?4プラズマを用ぃて エッチングする。 このときの条件としては、 平行平板電極のプラズマ源で、 電極 間距離 35mm、 圧力 10. 6 P a ( 80 mT o r r )、 上部電極パワー 1000 W、 バイアスパワー 100W、 Ar N2/CF4/O2= 300/100/25 /6 s c cmの条件などが挙げられる。 ここで重要なのは、 単炭素原子フルォロ カーボンを用いることと、 20%以上 50%未満の窒素を用いることである。 単 炭素原子フルォロカーボンを用いることで発光分光による終点検出をしやすくし、 窒素を含有させることで下層 A u r o r a TM膜との選択比を確保することがで きるためである。 これらの条件を用いると、 S i F = 440 nmなどの発光変化 を容易に観測可能になるため、 終点検出ができ、 面内ばらつきやパターンばらつ き、 ウェハ間、 ロット間ばらつきの少ない加工が可能になる。 Furthermore, as shown in 1 1 G diagram, the interconnection trench hard mask Pas Kuhn 305 a, 305 b as a mask, the MPS film 204 eight 1: Roh ^ 2 Ji? 4 Etch using plasma. At this time, the plasma source is a parallel plate electrode, the distance between the electrodes is 35 mm, the pressure is 10.6 Pa (80 mTorr), the upper electrode power is 1000 W, the bias power is 100 W, Ar N 2 / CF 4 / O For example, 2 = 300/100/25 / 6 sc cm. What is important here is the use of a single carbon atom fluorocarbon and the use of 20% or more and less than 50% nitrogen. Using single carbon atom fluorocarbon makes it easier to detect the end point by emission spectroscopy, This is because the selection ratio with the lower layer Aurora membrane can be ensured by containing nitrogen. Using these conditions, it is possible to easily observe changes in light emission such as Si F = 440 nm, so that the end point can be detected, and processing with less in-plane variation, pattern variations, wafer-to-lot, and lot-to-lot variations is possible. It becomes possible.
また、 第 1 1 H図に示すように、 このエッチング中に Cuキャップ膜 202は 消失する。 その後、 デポジション物を除去するため、 02を含むクリーニングプラ ズマを若干照射する。 このとき、 CZS i =0. 7とシリコンリッチの Au r o r aTMの側壁 203 'に比べて、 C/S i =2. 7とカーボンリッチの MP S側 壁 204 'には薄い酸化層が形成される。 配線層間低誘電率膜での酸化改質層が 薄いので、 実効比誘電率の上昇を抑制できる。 このような配線部とビア部での酸 化量の違いはデバイスの破断面に対して希フッ酸水溶液にデイツピングすること で容易に確認できる。 例えば、 6%HF, 30%NH4Fを含む水溶液に 3から 5 秒ディッビングすることで確認できる。 逆に、 このような配線側壁とビア側壁と の酸化厚の違レ、を有することは、 本願発明による製造プロセスおよび化学組成の 異なる S i OCH膜を直接積層した層間絶縁膜構造を用いた証となる。 Further, as shown in FIG. 1 1 H, the Cu cap film 202 disappears during this etching. Then, to remove the deposition was slightly irradiating the cleaning plasmas containing 0 2. At this time, a thin oxide layer is formed on the C / S i = 2.7 and carbon-rich MP S side wall 204 ′, compared to CZS i = 0.7 and silicon-rich Aurora TM side wall 203 ′. The Since the oxidized modified layer in the low dielectric constant film between the wiring layers is thin, an increase in the effective relative dielectric constant can be suppressed. Such a difference in the oxidation amount between the wiring portion and the via portion can be easily confirmed by dipping in a dilute hydrofluoric acid solution with respect to the fracture surface of the device. For example, it can be confirmed by dipping for 3 to 5 seconds in an aqueous solution containing 6% HF and 30% NH 4 F. On the other hand, the difference in oxide thickness between the wiring side wall and the via side wall is proved by using an interlayer insulating film structure in which Si OCH films having different manufacturing processes and chemical compositions according to the present invention are directly stacked. It becomes.
この後、 第 1 1 I図に示すように、 ノくリア ' Cuシードスパック、 Cuめっき を行い、 CMPにより Cu配線 31 3が形成される。 このとき、 シリコン酸化膜 を削り取ってしまうことにより、 実効誘電率の低下が見込める。 さらに Cuキヤ ップ膜としてシリコン炭窒化膜を例えば CVD法より成膜する。 これを繰り返す ことにより、 多層配線が形成できる。  After this, as shown in FIG. 11 I, a copper “Cu seed spuck” and Cu plating are performed, and Cu wiring 313 is formed by CMP. At this time, the effective dielectric constant can be reduced by scraping the silicon oxide film. Furthermore, a silicon carbonitride film is deposited as a Cu cap film by, for example, the CVD method. By repeating this, multilayer wiring can be formed.
本実施例の配線層間低誘電率膜としては、 MPS膜を示したが、 ビア層間低誘 電率膜とのカーボン シリコン比の差が十分確保できる S i OCH膜であれば、 特に限定されず、 上記と同じ材料が適用できる。 望ましくは、 ビア層間低誘電率 膜のカーボン Zシリコン比に対して、 配線層間低誘電率膜のカーボン シリコン 比が 2倍以上のあることが望ましい。 また、 本プラズマ発光をモニタする終点検 出方法を適用したデュアルダマシン開口パターン形成の場合、 酸素アツシングェ 程による配線溝側壁の酸化を抑制するため、 S i OCH膜中の CZS i比が 1. 5以上であることが望ましい。 実施例のビア層間低誘電率膜として Au r o r a TM膜を示したが、 例えば、 配 線層間低誘電率膜のカーボン シリコン比に対するビア層間低誘電率膜のカーボ ン Zシリコン比、 すなわち {(C/S i ) ピアノ (C/S i ) 配線 } 比が 0. 5倍 以下であることが望ましく、 ビア層間低誘電率膜中の CZS i比が 1以下である 日本 ASM社の A u r o r aシリーズ、 T r i c o n社の O r i o n、 Ap p 1 i e d Ma t e r i a l s社の B D^B D I I、 ノベラズ社の C o r a 1など の C VD— S i OCH膜、 Dow— Ch em i c a 1杜のポーラス S i LK:、 触 媒化成社の NCSなどの塗布成膜する S i OCH膜などでも構わなレ、。さらには、 特許文献 1に示されているようなプラズマ重合で形成される S i OCH膜でもよ い。 実装耐性を考えると、 ビア層間低誘電率膜の方が配線層間低誘電率膜よりも 高い密度を持つ材料を選択するのが好ましい。 低誘電率ハードマスクとしても上 記の材料のいずれもが使用可能である。 CMP耐性のある膜であれば、 種類を問 わない。 Although the MPS film is shown as the wiring interlayer low dielectric constant film of this example, it is not particularly limited as long as it is a Si OCH film that can secure a sufficient difference in carbon silicon ratio from the via interlayer low dielectric constant film. The same material as above can be applied. Desirably, the carbon silicon ratio of the low dielectric constant film between the wiring layers should be twice or more the carbon Z silicon ratio of the via interlayer low dielectric constant film. In addition, in the case of dual damascene opening pattern formation using the final inspection method that monitors this plasma emission, the CZS i ratio in the S i OCH film is 1.5 to suppress the oxidation of the wiring trench side wall due to the oxygen ashing process. The above is desirable. As an example, an aurora TM film is shown as a low dielectric constant film between via layers. For example, a carbon Z ratio of a low dielectric constant film between wiring layers and a carbon Z ratio of a low dielectric constant film between vias, that is, {(C / S i) Piano (C / S i) wiring} The ratio is preferably 0.5 times or less, and the CZS i ratio in the low dielectric constant film between vias is 1 or less. Trion's Orion, Ap p 1 ied Materials BD ^ BDII, Novella's Cora 1 etc. C VD—S i OCH film, Dow—Ch em ica 1 杜 Porous S i LK: Si OCH film can be used to apply NCS and other films. Furthermore, a Si OCH film formed by plasma polymerization as shown in Patent Document 1 may be used. In consideration of mounting resistance, it is preferable to select a material having a higher density for the via interlayer low dielectric constant film than for the wiring interlayer low dielectric constant film. Any of the above materials can be used as a low dielectric constant hard mask. Any film that has CMP resistance can be used.
本実施例では、 Cuキャップ膜としてシリコン炭窯化膜を用いた例を示した力 低誘電率膜とのェツチング選択比が確保でき、 C uバリァ性のある材料であれば、 特に制限はなく、 どのような材料も使用することができる。 例えば、 シリコン炭 化膜、 シリコン窒化膜などが挙けられるが、 プラズマ重合法で形成された有機膜 やジビニ シロキサン .ベンゾクロブテン (DVS— BCB) のようなシロキサ ンン含有有機膜であってもよい。  In this example, the example of using a silicon charcoal kiln film as a Cu cap film shows the etching selection ratio with the low dielectric constant film, and there is no particular limitation as long as it is a Cu barrier material. Any material can be used. Examples include silicon carbide films and silicon nitride films, but even organic films formed by plasma polymerization or siloxane-containing organic films such as divinylsiloxane benzoclobutene (DVS-BCB). Good.
さらには本実施例では、 MP S膜をハードマスクをマスクとして加工する例に ついて示したが、 MP Sのエッチングをレジスト剥離前に行っても良い。  Further, in this embodiment, an example in which the MPS film is processed using a hard mask as a mask is shown, but the MPS etching may be performed before the resist is stripped.
(第 3の実施例)  (Third embodiment)
第 3の実施例では、 多層構造について説明する。  In the third embodiment, a multilayer structure will be described.
第 1 2図はシリコン基板 401に素子分離酸化膜 402で分離された MO S FET403上に炭素含有の低誘電率絶縁膜に銅多層配線を形成した実施例を示 す図である。 以下に、 その構造的特徴を示す。 本実施例においても、 MP Sのェ ツチングには A r /N 2ノ C F 4の混合ガスプラズマを用いることにより、 面内ば らつき、 パターン依存性、 ウェハ間 ' ロット間ばらつきの少ない溝加工が可能で ある。 ここては、 ビア層間低誘電率膜として Au r o r aTM膜、 配線溝用層間膜 として MPS膜、 Low— kパードマスクとして BDTM膜を用いた場合を示し たが、 日本 ASM社の Au r o r aシリーズ、 T r i c o n社の O r i o n、 A p p l i e d Ma t e r i a l s社の BD/BD I I、 ノベラズ社の C o r a 1などの CVD— S i OCH膜、 D o w— C h e m i c a 1社のポーラス S i L K、 触媒化成社の NCSなどの塗布成膜する S i OCH膜などでも構わない。 さ らには、 特許文献 1で示されているようなプラズマ重合で形成される S i OCH 膜でもよい。 MOSFET403上には、 Wコンククトプラグ 404を持つシリ コン酸化膜 405が形成されており、 前記シリコン酸化膜 405上に第 1層目銅 配線 406に対応する配線溝のエッチストップ膜として 30 nm厚のシリコン炭 窒素化膜 413が形成されている。 このシリコン炭窒化膜上には 1 10 nm厚の MP S膜 414とそのハードマスクとして 30 nm厚の BD膜 41 5が形成され ている。 第 1層目の銀配線は、 かかる BD膜 41 5ノ MP S膜 414 /シリコン 炭窒化膜 413からなる積層絶縁膜を貫く配線溝に T a (1 O nm) /TaN (5 nm) のバリア膜 420で覆われた Cu膜 421が埋め込まれた構造となってい る。 この第 1層目の Cu配線 406は、 Wコンクク トプラグ 404に接続されて いる。 第 1層目の Cu配線 406上には、 ビアエッチングストップ層として 30 nm厚のシリコン炭窒化膜 416が形成されている。 さらに、 150 nm厚の A u r o r a™膜 41 7とが形成されている。 Au r o r aTM膜 41 7は CMP等 によって平坦化されていても良い。 さらに、 この Au r o r aTM膜 41 7上には 130 nm厚の MP S膜 418とそのハードマスクとして 30 nm厚の BD膜 4 19が形成されている。 この積層構造絶縁膜に対して、 BD膜 41 9ZMPS膜 418を貫く配線溝に Cu膜の埋め込まれた第 2の Cu配線 408が形成されて いる。 この第 2の銅配線 408の底部より、 Au r o r aTM膜 41 7とシリコン 炭窒化膜 416を貫く第 1の Cuビアプラグ 407が形成されており、 第 1層目 の C u配線 406に接続されている。 FIG. 12 is a view showing an embodiment in which a copper multilayer wiring is formed on a carbon-containing low dielectric constant insulating film on a MOS FET 403 separated by an element isolation oxide film 402 on a silicon substrate 401. The structural features are shown below. Also in this example, by using mixed gas plasma of Ar / N 2 / CF 4 for MP S etching, in-plane variation, pattern dependence, and wafer-to-wafer 'lot-to-lot variation with less variation Is possible is there. This shows the case where an Au rora TM film is used as a low dielectric constant film between via layers, an MPS film is used as an interlayer film for wiring trenches, and a BD TM film is used as a low-k pad mask. Oricon from ricon, BD / BD II from Applied Materials, CORA 1 from Novella's COR-Si OCH film, Dow-Chemica 1 porous S i LK, NCS from Catalytic Chemicals It is also possible to use a Si OCH film for coating. Further, an Si OCH film formed by plasma polymerization as shown in Patent Document 1 may be used. A silicon oxide film 405 having a W contact plug 404 is formed on the MOSFET 403. The silicon oxide film 405 has a thickness of 30 nm as an etch stop film for a wiring groove corresponding to the first-layer copper wiring 406. A silicon carbon nitride film 413 is formed. A 110 nm thick MPS film 414 and a 30 nm thick BD film 415 are formed as a hard mask on the silicon carbonitride film. The silver wiring of the first layer has a Ta (1 O nm) / TaN (5 nm) barrier in the wiring trench that penetrates the laminated insulating film made of such BD film 41 5 MP S film 414 / silicon carbonitride film 413. A Cu film 421 covered with a film 420 is embedded. This first layer Cu wiring 406 is connected to a W contact plug 404. A 30 nm thick silicon carbonitride film 416 is formed on the first layer Cu wiring 406 as a via etching stop layer. Furthermore, a 150 nm thick Aurora ™ film 417 is formed. The Au rora film 417 may be planarized by CMP or the like. Further, a 130 nm-thick MPS film 418 and a 30 nm-thick BD film 419 are formed as a hard mask on the Aurora film 417. A second Cu wiring 408 in which a Cu film is embedded is formed in the wiring groove that penetrates the BD film 419ZMPS film 418 with respect to the laminated structure insulating film. From the bottom of the second copper wiring 408, a first Cu via plug 407 penetrating through the Aurora film 417 and the silicon carbonitride film 416 is formed and connected to the first-layer Cu wiring 406. Yes.
酸素プラズマクリーニング工程により Au r o r aTM膜 41 7側壁には酸化 改質層 422が存在し、 MP S膜 418側壁には、 422より薄い酸化改質層 4 23が存在する。 このような配線部とビア部での酸化量の違いはデバイスの破断 面に対して希フッ酸水溶液にディッビングすることで容易に確認できる。例えば、 6%HF, 30%NH4Fを含む水溶液に 3から 5秒ディッビングすることで確認 できる。逆に、このような配線側壁とビア側壁との酸化厚の違いを有することは、 本願発明による製造プロセスおよび化学組成の異なる S i OCH膜を直接積層し た層間絶縁膜構造を用いた証となる。 第 3層の Cu配線層 410、 第 3層と第 2 層を繋ぐ Cuビアプラグ 409に対しても、 第二配線層 408、 ビアプラグ 40 7と同じ構造を形成することが可能であり、 この構造を重ねることによって多層 配線を形成することが可能である。 産業上の利用可能性: Au rora TM film 41 7 Oxide modified layer 422 exists on the side wall of the oxygen plasma cleaning process, and MP S film 418 side wall has an oxidized modified layer 4 thinner than 422. There are 23. Such a difference in the amount of oxidation between the wiring portion and the via portion can be easily confirmed by dibbing with a dilute hydrofluoric acid aqueous solution on the fracture surface of the device. For example, it can be confirmed by dipping for 3 to 5 seconds in an aqueous solution containing 6% HF and 30% NH 4 F. Conversely, the difference in oxide thickness between the wiring side wall and the via side wall is evidence that the manufacturing process according to the present invention and an interlayer insulating film structure in which Si OCH films having different chemical compositions are directly laminated are used. Become. The same structure as the second wiring layer 408 and the via plug 40 7 can be formed for the Cu wiring layer 410 of the third layer and the Cu via plug 409 connecting the third layer and the second layer. Multi-layer wiring can be formed by overlapping. Industrial applicability:
以上の説明の通り、 本発明の多層配線製造方法と多層配線構造と多層配線製造 装置は、 半導体装置及び電子装置やその製造に適用される。  As described above, the multilayer wiring manufacturing method, multilayer wiring structure, and multilayer wiring manufacturing apparatus of the present invention are applied to semiconductor devices, electronic devices, and their manufacture.

Claims

請 求 の 範 囲 The scope of the claims
1. 下層に位置する第 1の S i O C H低誘電率膜と上層に位置する第 2の S i OCH低誘電率膜とが直接積層された S i OCH膜連続体であって、 前記第 1 の S i OCH低誘電率膜のカーボンノシリコン比よりも前記第 2の S i OCH低 誘電率膜のカーボン/シリコン比が大きいことを特徴とする多層配線の製造方法 において、 前記上層に位置する第 2の S i OCH低誘電率膜を溝加工して前記下 層に位置する第 1の S i OCH低誘電率膜上で停止させる際、 N2と CHxFyを 少なくとも含む混合ガスプラズマの発光分光による終点検出を用いて加工するこ とを特徴とする多層配線の製造方法。 1. A continuous S i OCH film in which a first S i OCH low dielectric constant film located in a lower layer and a second S i OCH low dielectric constant film located in an upper layer are directly laminated, In the multilayer wiring manufacturing method, the carbon / silicon ratio of the second S i OCH low dielectric constant film is larger than the carbon silicon ratio of the S i OCH low dielectric constant film of When the second S i OCH low dielectric constant film is grooved and stopped on the first S i OCH low dielectric constant film located in the lower layer, a mixed gas plasma containing at least N 2 and CH x F y A method of manufacturing a multilayer wiring, characterized by processing using end point detection by emission spectroscopy.
2. 請求項 1に記載の多層配線の製造方法において、 前記 2種類の低誘電率 膜のうち、 前記上層に位置する第 2の S i OCH低誘電率膜のカーボンノシリコ ン比が、 前記下層に位置する第 1の S i OCH低誘電率膜のカーボン シリコン 比に比べて 2倍以上大きいことを特徴とする多層配線の製造方法  2. The method for manufacturing a multilayer wiring according to claim 1, wherein a carbon silicon ratio of a second Si OCH low dielectric constant film located in the upper layer of the two types of low dielectric constant films is Multilayer wiring manufacturing method characterized by being at least twice as large as the carbon silicon ratio of the first S i OCH low dielectric constant film located in the lower layer
3. 請求項 1又は 2に記載の多層配線の製造方法において、 前記混合ガスプ ラズマにおける、 窒素の含有量が 20%から 50%であることを特徴とする多層 配線の製造方法。  3. The method for producing a multilayer wiring according to claim 1 or 2, wherein the mixed gas plasma has a nitrogen content of 20% to 50%.
4. 請求項 1乃至 3の内のいずれか一項に記載の多層配線の製造方法におい て、 前記混合ガスプラズマにおいて、 CHxFyが CF4、 CHF3、 CH2F2ある いはこれらの混合ガスであることを特徴とする多層配線の製造方法。 4. The method for manufacturing a multilayer wiring according to any one of claims 1 to 3, wherein CH x F y is CF 4 , CHF 3 , CH 2 F 2 or these in the mixed gas plasma. A method for producing a multilayer wiring, wherein the mixed gas is a mixed gas.
5. 請求項 1乃至 4の内のいずれか一項に記載の多層配線の製造方法におい て、 下層配線上にバリァ絶縁膜、 前記第 1の S i O C H低誘電率膜からなるビア 層間低誘電率膜、前記第 2の S i OCH低誘電率膜からなる配線層間低誘電率膜、 ハードマスク膜を順に形成する工程と、 前記ハードマスク膜上にビア孔レジスト パターンを形成する工程と、 絶縁膜構造内にビア孔を形成する工程と、 酸素ブラ ズマアツシングによってビア孔レジストを除去する工程と、 前記ビア孔上に配線 溝レジストパターンを形成する工程と、 前記配線溝レジストパターンをドライエ ッチングによつて前記ハードマスク膜に転写する工程と、 酸素プラズマアツシン グによって配線溝レジストを除去する工程と、 N 2と C H x F yを含む混合ガスプ ラズマを用いて発光分光による終点検出を行いながら前記第 2の S i O C H低誘 電率膜からなるビア層間低誘電率膜内に溝力卩ェを行う工程とを特徴とする多層配 線の製造方法。 5. The method for manufacturing a multilayer wiring according to claim 1, wherein a barrier insulating film is formed on a lower wiring, and the via interlayer low dielectric is formed of the first Si OCH low dielectric constant film. An insulating film, a wiring interlayer low dielectric constant film made of the second S i OCH low dielectric constant film, a step of forming a hard mask film, a step of forming a via hole resist pattern on the hard mask film, A step of forming a via hole in the film structure; a step of removing a via hole resist by oxygen plasma ashing; a step of forming a wiring groove resist pattern on the via hole; and the wiring groove resist pattern by dry etching. Transferring to the hard mask film, and oxygen plasma atssin And a via layer formed of the second Si OCH low dielectric constant film while performing end point detection by emission spectroscopy using a mixed gas plasma containing N 2 and CH x F y. A method of manufacturing a multilayer wiring, characterized by a step of performing a groove force check in a low dielectric constant film.
6 . 請求項 1乃至 5の内のいずれか一項に記載の多層配線の製造方法におい て、 下層配線上にバリァ絶縁膜、 前記第 1の S i O C H低誘電率膜からなるビア 層間低誘電率膜、前記第 2の S i O C H低誘電率膜からなる配線層間低誘電率膜、 第 3の S i O C H低誘電率膜からなるハードマスク膜、 無機ハードマスク膜を順 に形成する工程と、 前記無機ハードマスク謹上にビア孔レジストパターンを形成 する工程と、 絶縁膜構造内にビア孔を形成する工程と、 酸素プラズマアツシング によってビア孔レジストを除去する工程と、 前記ビア孔上に配線溝レジストパタ ーンを形成する工程と、 前記配線溝レジストパターンをドライエッチングによつ て前記無機および第 3の S i O C H低誘電率膜からなるハードマスク膜に転写す る工程と、 酸素プラズマアツシングによって配線溝レジストを除去する工程と、 N 2と C H x F yを含む混合ガスプラズマを用いて発光分光による終点検出を行レヽ ながら前記第 2の S i〇じ 1低誘電率3 i O C H低誘電率膜からなるビア層間低 誘電率膜内に溝加工を行う工程とを特徴とする多層配線の製造方法。 6. The method for manufacturing a multilayer wiring according to any one of claims 1 to 5, wherein a barrier insulating film is formed on a lower wiring, and the via interlayer low dielectric is formed of the first Si OCH low dielectric constant film. A step of sequentially forming a dielectric constant film, a wiring interlayer low dielectric constant film made of the second S i OCH low dielectric constant film, a hard mask film made of the third S i OCH low dielectric constant film, and an inorganic hard mask film; A step of forming a via hole resist pattern on the inorganic hard mask, a step of forming a via hole in the insulating film structure, a step of removing the via hole resist by oxygen plasma ashing, and a wiring on the via hole A step of forming a groove resist pattern, a step of transferring the wiring groove resist pattern to the hard mask film made of the inorganic and third Si OCH low dielectric constant films by dry etching, and an oxygen plasma etching process. Thing Thus removing the wiring trench resist, N 2 and CH x F end point detection by emission spectroscopy using a mixed gas plasma containing y rows Rere while 1 Ji said second S I_〇 low dielectric 3 i OCH low A method of manufacturing a multilayer wiring, characterized by comprising a step of forming a groove in a low dielectric constant film between via layers made of a dielectric constant film.
7 . 半導体基板又は半導体層に形成された少なくとも 1つの回路素子と、 前 記少なくとも 1つの回路素子に電気的に接続された状態で前記半導体基板上又は 前記半導体層上に形成された多層配線構造であって、 絶縁膜に形成される配線溝 およびビア孔に金属配線を充填して形成された配線およびビア孔プラグを有する 単位配線構造が複数積層される多層配線構造において、 配線層間低誘電率膜中の カーボン シリコン比がビア層間低誘電率膜中のカーボン シリコン比に比べて 大きいことを特徴とする多層配線構造。  7. At least one circuit element formed on a semiconductor substrate or semiconductor layer, and a multilayer wiring structure formed on the semiconductor substrate or on the semiconductor layer in a state of being electrically connected to the at least one circuit element In a multilayer wiring structure in which a plurality of unit wiring structures having wiring grooves formed in an insulating film and via holes filled with metal wiring and via hole plugs are stacked, a low dielectric constant between wiring layers A multilayer wiring structure characterized in that the carbon silicon ratio in the film is larger than the carbon silicon ratio in the low dielectric constant film between the via layers.
8 . 請求項 7に記載の多層配線構造において、 前記配線層間低誘電率膜中の カーボン zシリコン比が前記ビア層間低誘電率膜中のカーボンノシリコン比に比 ベて 2倍以上大きレ、ことを特徴とする多層配線構造。  8. The multilayer wiring structure according to claim 7, wherein a carbon z silicon ratio in the wiring interlayer low dielectric constant film is at least twice as large as a carbon no silicon ratio in the via interlayer low dielectric constant film. A multilayer wiring structure characterized by that.
9 . 請求項 7または 8の内のいずれか一項に記載の多層配線構造前記配線層 間低誘電率膜および前記ビア層間低誘電率膜の少なくとも 1種類は空孔を内含す るポーラス膜であることを特徴とする多層配線構造。 9. The multilayer wiring structure according to any one of claims 7 and 8, wherein the wiring layer A multilayer wiring structure characterized in that at least one of a low dielectric constant film and a low dielectric constant film between vias is a porous film containing pores.
1 0 . 請求項 7乃至 9の内のいずれか一項に記載の多層配線構造において、 前記配線層間低誘電率膜が S i O C Hから構成され、 そのカーボン Zシリコン比 が 1 5以上であることを特徴とする多層配線構造。  10. The multilayer wiring structure according to any one of claims 7 to 9, wherein the wiring interlayer low dielectric constant film is made of Si OCH, and a carbon Z silicon ratio thereof is 15 or more. Multi-layer wiring structure characterized by
1 1 . 請求項 7乃至 1 0の内のいずれか一項に記載の多層配線構造前記配線 層間低誘電率膜が S i O C Hから構成され、 そのカーボン Zシリコン比が 1以上 であり、 かつ環状シリカ骨格を有することを特徴とする多層配線構造。  1 1. The multilayer wiring structure according to any one of claims 7 to 10, wherein the wiring interlayer low dielectric constant film is made of Si OCH, the carbon Z silicon ratio is 1 or more, and A multilayer wiring structure characterized by having a silica skeleton.
1 2 . 請求項 7乃至 1 1の内のいずれか一項に記載の多層配線構造において、 前記配線層間低誘電率膜が S i O C Hから構成され、 そのカーボン/シリコン比 が 1 5以上であり、 かつ 3個のシリコン原子と 3個の酸素原子からなる 6員環状 シリカ骨格を有することを特徴とする多層配線構造。  1 2. The multilayer wiring structure according to any one of claims 7 to 11, wherein the wiring interlayer low dielectric constant film is made of Si OCH, and the carbon / silicon ratio is 15 or more. A multilayer wiring structure characterized by having a six-membered cyclic silica skeleton composed of three silicon atoms and three oxygen atoms.
1 3 . 請求項 7乃至 1 2の内のいずれか一項に記載の多層配線構造において、 前記配線層間低誘電率膜中に不飽和炭化水素を含むことを特徴とする多層配線構 造。  13. The multilayer wiring structure according to any one of claims 7 to 12, wherein the wiring interlayer low dielectric constant film contains an unsaturated hydrocarbon.
1 4 . 請求項 7乃至 1 3の内のいずれか一項に記載の多層配線構造において、 前記配線層間低誘電率膜の上にシリコン酸化膜が積層されていることを特徴とす る多層配線構造。  14. The multilayer wiring structure according to any one of claims 7 to 13, wherein a silicon oxide film is laminated on the wiring interlayer low dielectric constant film. Construction.
1 5 . 請求項 7乃至 1 4の内のいずれか一項に記載の多層配線構造において、 前記ビア層間低誘電率膜の側壁に形成される酸化改質層の厚さよりも前記配線層 間低誘電率膜の側壁に形成される酸化改質層の厚さが薄いことを特徴とする多層 配線構造。  15. The multilayer wiring structure according to any one of claims 7 to 14, wherein the thickness between the wiring layers is lower than a thickness of an oxidation modified layer formed on a sidewall of the via interlayer low dielectric constant film. A multilayer wiring structure characterized in that an oxidation-modified layer formed on a side wall of a dielectric constant film is thin.
1 6 . 化学組成の異なる S i O C H膜を直接積層した層間絶縁膜の内部を終 点とする開口加工に対し、 プラズマ中の S i Fの発光強度の時間変化から終点検 出を行って自動的に停止させるプログラムを有するマイクロコンピュータを備え ている多層配線製造装置。  16 6. For the opening process starting from the inside of the interlayer insulating film directly laminated with Si OCH films with different chemical compositions, the final inspection is performed automatically from the time variation of the emission intensity of Si F in the plasma. A multilayer wiring manufacturing apparatus provided with a microcomputer having a program to be stopped automatically.
PCT/JP2007/061253 2006-06-09 2007-05-29 Multi-layered wiring manufacturing method, multi-layered wiring structure, and multi-layered wiring manufacturing apparatus WO2007142172A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008520553A JPWO2007142172A1 (en) 2006-06-09 2007-05-29 Multilayer wiring manufacturing method, multilayer wiring structure and multilayer wiring manufacturing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006161204 2006-06-09
JP2006-161204 2006-06-09

Publications (1)

Publication Number Publication Date
WO2007142172A1 true WO2007142172A1 (en) 2007-12-13

Family

ID=38801423

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2007/061253 WO2007142172A1 (en) 2006-06-09 2007-05-29 Multi-layered wiring manufacturing method, multi-layered wiring structure, and multi-layered wiring manufacturing apparatus

Country Status (2)

Country Link
JP (1) JPWO2007142172A1 (en)
WO (1) WO2007142172A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010171081A (en) * 2009-01-20 2010-08-05 Toshiba Corp Semiconductor device and manufacturing method thereof
CN102237272A (en) * 2010-05-07 2011-11-09 瑞萨电子株式会社 Semiconductor device and method of manufacturing semiconductor device
WO2013045854A1 (en) 2011-09-29 2013-04-04 Ecole Normale Superieure De Lyon Fluorogenic peptidase substrate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07240405A (en) * 1994-02-25 1995-09-12 Tokyo Electron Ltd Etching termination detecting method
JPH10261624A (en) * 1997-03-19 1998-09-29 Nec Corp Etching and multilayered interconnection structure
JP2001093975A (en) * 1999-09-21 2001-04-06 Toshiba Corp Semiconductor device and its manufacturing method
JP2003012776A (en) * 2001-06-29 2003-01-15 Nec Corp Method for preparing copolymer film, copolymer film prepared by the same method and semiconductor device utilizing the same polymer film
JP2003133411A (en) * 2001-10-30 2003-05-09 Nec Corp Semiconductor device and its manufacturing method
WO2005053009A1 (en) * 2003-11-28 2005-06-09 Nec Corporation Porous insulating film, method for producing same, and semiconductor device using porous insulating film
JP2005191254A (en) * 2003-12-25 2005-07-14 Fujitsu Ltd Method of manufacturing semiconductor device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4173454B2 (en) * 1999-06-24 2008-10-29 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
JP2003347279A (en) * 2002-05-24 2003-12-05 Renesas Technology Corp Method for manufacturing semiconductor device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07240405A (en) * 1994-02-25 1995-09-12 Tokyo Electron Ltd Etching termination detecting method
JPH10261624A (en) * 1997-03-19 1998-09-29 Nec Corp Etching and multilayered interconnection structure
JP2001093975A (en) * 1999-09-21 2001-04-06 Toshiba Corp Semiconductor device and its manufacturing method
JP2003012776A (en) * 2001-06-29 2003-01-15 Nec Corp Method for preparing copolymer film, copolymer film prepared by the same method and semiconductor device utilizing the same polymer film
JP2003133411A (en) * 2001-10-30 2003-05-09 Nec Corp Semiconductor device and its manufacturing method
WO2005053009A1 (en) * 2003-11-28 2005-06-09 Nec Corporation Porous insulating film, method for producing same, and semiconductor device using porous insulating film
JP2005191254A (en) * 2003-12-25 2005-07-14 Fujitsu Ltd Method of manufacturing semiconductor device

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010171081A (en) * 2009-01-20 2010-08-05 Toshiba Corp Semiconductor device and manufacturing method thereof
CN102237272A (en) * 2010-05-07 2011-11-09 瑞萨电子株式会社 Semiconductor device and method of manufacturing semiconductor device
JP2011238704A (en) * 2010-05-07 2011-11-24 Renesas Electronics Corp Semiconductor device and method of manufacturing semiconductor device
US8759212B2 (en) 2010-05-07 2014-06-24 Renesas Electronics Corporation Semiconductor device and method of manufacturing semiconductor device
WO2013045854A1 (en) 2011-09-29 2013-04-04 Ecole Normale Superieure De Lyon Fluorogenic peptidase substrate

Also Published As

Publication number Publication date
JPWO2007142172A1 (en) 2009-10-22

Similar Documents

Publication Publication Date Title
US7871923B2 (en) Self-aligned air-gap in interconnect structures
KR100768363B1 (en) Production method for semiconductor integrated circuit device and semiconductor integrated circuit device
US6617244B2 (en) Etching method
US7754601B2 (en) Semiconductor interconnect air gap formation process
US7125792B2 (en) Dual damascene structure and method
TW441015B (en) Dual-damascene interconnect structures and methods for fabricating same
TWI528454B (en) Semiconductor device and method of manufacturing semiconductor device
US7968451B2 (en) Method for forming self-assembled mono-layer liner for Cu/porous low-k interconnections
TW200809923A (en) Dual-damascene process to fabricate thick wire structure
US20090017563A1 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
KR20010077813A (en) The method of producing for semiconductor device
EP1233449A2 (en) A method of fabricating a semiconductor device
JP2009152544A (en) Method of forming void in multilevel interconnection structure
KR101354126B1 (en) Semiconductor device and method for manufacturing semiconductor device
JP2009182000A (en) Semiconductor device and manufacturing method therefor
US7091612B2 (en) Dual damascene structure and method
WO2007142172A1 (en) Multi-layered wiring manufacturing method, multi-layered wiring structure, and multi-layered wiring manufacturing apparatus
KR100426147B1 (en) Method for forming an interlayer insulating film, and semiconductor devices
JP4173454B2 (en) Manufacturing method of semiconductor integrated circuit device
US7172965B2 (en) Method for manufacturing semiconductor device
US20100301494A1 (en) Re-establishing a hydrophobic surface of sensitive low-k dielectrics in microstructure devices
JP2003133411A (en) Semiconductor device and its manufacturing method
KR100399909B1 (en) Method of forming inter-metal dielectric in a semiconductor device
JP2005005697A (en) Manufacturing method of semiconductor device
JP2004363447A (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07744638

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2008520553

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07744638

Country of ref document: EP

Kind code of ref document: A1