JPWO2007142172A1 - Multilayer wiring manufacturing method, multilayer wiring structure and multilayer wiring manufacturing apparatus - Google Patents

Multilayer wiring manufacturing method, multilayer wiring structure and multilayer wiring manufacturing apparatus Download PDF

Info

Publication number
JPWO2007142172A1
JPWO2007142172A1 JP2008520553A JP2008520553A JPWO2007142172A1 JP WO2007142172 A1 JPWO2007142172 A1 JP WO2007142172A1 JP 2008520553 A JP2008520553 A JP 2008520553A JP 2008520553 A JP2008520553 A JP 2008520553A JP WO2007142172 A1 JPWO2007142172 A1 JP WO2007142172A1
Authority
JP
Japan
Prior art keywords
film
dielectric constant
low dielectric
wiring
sioch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008520553A
Other languages
Japanese (ja)
Inventor
浩人 大竹
浩人 大竹
宗弘 多田
宗弘 多田
田上 政由
政由 田上
林 喜宏
喜宏 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of JPWO2007142172A1 publication Critical patent/JPWO2007142172A1/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

多層配線構造は、半導体基板又は半導体層に形成された少なくとも1つの回路素子と、前記少なくとも1つの回路素子に電気的に接続された状態で前記半導体基板上又は前記半導体層上に形成され、絶縁膜に形成される配線溝およびビア孔に金属配線を充填して形成された配線およびビア孔プラグを有する単位配線構造が複数積層される。この多層配線構造において、配線層間低誘電率膜中のカーボン/シリコン比がビア層間低誘電率膜中のカーボン/シリコン比に比べて大きい。この多層配線構造を製造するには、上層に位置する第2のSiOCH低誘電率膜を溝加工して前記下層に位置する第1のSiOCH低誘電率膜上で停止させる際、N2とCHxFyを少なくとも含む混合ガスプラズマの発光分光による終点検出を用いて加工する。The multilayer wiring structure is formed on the semiconductor substrate or on the semiconductor layer in a state of being electrically connected to the at least one circuit element formed on the semiconductor substrate or the semiconductor layer. A plurality of unit wiring structures having wiring and via hole plugs formed by filling the wiring grooves and via holes formed in the film with metal wiring are stacked. In this multilayer wiring structure, the carbon / silicon ratio in the wiring interlayer low dielectric constant film is larger than the carbon / silicon ratio in the via interlayer low dielectric constant film. In order to manufacture this multilayer wiring structure, when the second SiOCH low dielectric constant film located in the upper layer is grooved and stopped on the first SiOCH low dielectric constant film located in the lower layer, N2 and CHxFy are Processing is performed using endpoint detection by emission spectroscopy of at least mixed gas plasma.

Description

本発明は、2006年6月9日に提出された日本国特許出願第2006−161204号に基づき、且つ、その優先権の恩恵を主張するものであり、その開示は、参照することによりここにその全体を組み入れる。
技術分野:
本発明は溝配線を有する多層配線製造方法と多層配線構造と多層配線製造装置に関する。
The present invention is based on Japanese Patent Application No. 2006-161204 filed on June 9, 2006 and claims the benefit of its priority, the disclosure of which is hereby incorporated by reference. Incorporate the whole.
Technical field:
The present invention relates to a multilayer wiring manufacturing method having a trench wiring, a multilayer wiring structure, and a multilayer wiring manufacturing apparatus.

近年の超LSIデバイスでは、数mm角のチップに数百万個以上の素子を集積する必要があるため、素子を微細化、多層化することが不可欠である。特にデバイス動作速度の高速化のため、配線抵抗および層間容量の低減が重要な課題となる。
配線抵抗および層間容量の低減のために、銅を配線材料に、シリコン酸化膜より誘電率の低い膜を層間絶線膜に用いる方法が用いられている。さらには、工程の低減、配線抵抗低減のため、デュアルダマシン工法が採用されている。デュアルダマシン工法では、シングルダマシンに比べて銅の埋め込み工程や銅の機械的化学的研磨工程が削減できるなど、工程が大きく短縮される。また、ビア上部のバリア膜が存在しないため、ビア抵抗が低減できる。
例えば、銅/低誘電率膜で構成された一般的なデュアルダマシン配線では、下層配線1の上にSiCNなどの材料で形成されるCuキャップ膜、SiOCHなどの低誘電率膜で形成されるビア層間低誘電率膜、SiOなどの無機膜で形成されるエッチングストッパー膜、ポーラスSiOCHなどの低誘電率膜で形成される配線層間低誘電率膜、SiOなどの無機膜で形成されるハードマスク、SiCNなどの材料で形成されるCuキャップ膜の絶縁膜構造内に、主たる配線を構成する銅、Ta/TaNなどのCuバリア膜で構成される配線/ビアが埋め込まれた構造になっている。
この構造は特願2006−001864号公報(以下、参考文献1と呼ぶ)などに記載されているデュアルダマシン方法によって形成される。しかし、さらに配線層間やビア層間の誘電率を下けるには、無機膜で構成されているCuキャップ膜や、エッチングストッパー膜、ハードマスクを低誘電率膜に置き換えるのが効果的である。
特にエッチングストッパー膜やハードマスクは電極間に存在するため、低誘電率膜に置き換えると実効的な比誘電率が低減できる。そこで、エッチングストッパーがなく、ハードマスクも低誘電率膜ハードマスクになっているものも検討されている。
しかしながら、エッチストッパー膜をなくすと、溝エッチング時のエッチングを停止する層がなく、結果として面内ばらつきやパターンばらつきを生じやすい。また、エッチングストッパーがある場合には、エッチングの停止を発光分光の終点検出プログラムを用いることで自動的に停止することができるが、エッチングストッパーがないと終点検出プログラムを用いることができず、ウエハ間やロット間の深さばらつきが生じやすくなる。例えば、ビア層間低誘電率膜としてプラズマCVD−SiOCH膜であるAuroraTM膜、配線層間低誘電率膜として分子細孔膜であるMPS膜(Molecular Pore Stack 膜)を使用する場合を考える。平行平板型のプラズマ源を用いて、電極間距離45mm、圧力25mTorr(3.33Pa)、上部電極パワー1kW、下部電極パワー150W、N/C/O=150/8/30sccmの条件を用いてMPSに溝加工を行う場合、MPSエッチング終点を発光分光で検出することはできなかった。Arで大量希釈した場合にも同じであった。
In recent VLSI devices, since it is necessary to integrate several million elements or more on a chip of several mm square, it is indispensable to miniaturize and multilayer the elements. In particular, reduction of wiring resistance and interlayer capacitance is an important issue in order to increase device operation speed.
In order to reduce wiring resistance and interlayer capacitance, a method of using copper as a wiring material and a film having a dielectric constant lower than that of a silicon oxide film as an interlayer dielectric film is used. Furthermore, a dual damascene method is employed to reduce processes and reduce wiring resistance. In the dual damascene method, the copper embedding process and the copper mechanical chemical polishing process can be reduced compared to the single damascene process. In addition, since there is no barrier film above the via, the via resistance can be reduced.
For example, in a general dual damascene wiring composed of a copper / low dielectric constant film, a via cap formed of a Cu cap film formed of a material such as SiCN on the lower wiring 1 and a low dielectric constant film of SiOCH or the like. Interlayer low dielectric constant film, etching stopper film formed of inorganic film such as SiO 2 , wiring interlayer low dielectric constant film formed of low dielectric constant film such as porous SiOCH, hard formed of inorganic film such as SiO 2 In the insulating film structure of the Cu cap film formed of a material such as a mask or SiCN, a structure in which wirings / vias composed of copper and Ta barrier layers such as Ta / TaN are embedded in the main wirings. Yes.
This structure is formed by a dual damascene method described in Japanese Patent Application No. 2006-001864 (hereinafter referred to as Reference Document 1). However, in order to further lower the dielectric constant between the wiring layers and via layers, it is effective to replace the Cu cap film, the etching stopper film, and the hard mask made of an inorganic film with a low dielectric constant film.
In particular, since an etching stopper film and a hard mask exist between the electrodes, the effective relative dielectric constant can be reduced by replacing with a low dielectric constant film. In view of this, studies have been made on a case in which there is no etching stopper and the hard mask is a low dielectric constant film hard mask.
However, when the etch stopper film is eliminated, there is no layer that stops the etching at the time of groove etching, and as a result, in-plane variations and pattern variations are likely to occur. If there is an etching stopper, the etching stop can be automatically stopped by using an end point detection program of emission spectroscopy. However, if there is no etching stopper, the end point detection program cannot be used, and the wafer is stopped. Variations in depth between lots and lots are likely to occur. For example, consider a case where an Aurora film, which is a plasma CVD-SiOCH film, is used as a low interlayer dielectric film, and an MPS film (Molecular Pore Stack film), which is a molecular pore film, is used as a low interlayer dielectric film. Using a parallel plate type plasma source, the distance between the electrodes is 45 mm, the pressure is 25 mTorr (3.33 Pa), the upper electrode power is 1 kW, the lower electrode power is 150 W, and N 2 / C 4 F 8 / O 2 = 150/8/30 sccm. When groove processing was performed on MPS using conditions, the MPS etching end point could not be detected by emission spectroscopy. The same was true when diluted in large quantities with Ar.

そこで、本発明の一目的は、低誘電率膜中に形成されるデュアルダマシン配線において、溝エッチング時の面内ばらつきやパターンばらつきを抑制し、かつ、終点検出を可能にするエッチング加工における製造方法と、結果として形成される配線間絶縁性の高い多層配線構造とを提供することにある。
また、本発明のもう一つの目的は、前記多層配線の製造方法を実施することによって多層配線構造の製造を可能にする多層配線製造装置を提供することにある。
Accordingly, an object of the present invention is to provide a manufacturing method in etching processing that suppresses in-plane variation and pattern variation during groove etching and enables end point detection in dual damascene wiring formed in a low dielectric constant film. Another object of the present invention is to provide a multilayer wiring structure having high insulation between wirings as a result.
Another object of the present invention is to provide a multi-layer wiring manufacturing apparatus that enables a multi-layer wiring structure to be manufactured by carrying out the multi-layer wiring manufacturing method.

具体的には、下層に位置する第1のSiOCH低誘電率膜と上層に位置する第2のSiOCH低誘電率膜とが直接積層されたSiOCH膜連続体であって、前記第1のSiOCH低誘電率膜のカーボン/シリコン比よりも前記第2のSiOCH低誘電率膜のカーボン/シリコン比が大きいことを特徴とする多層配線の製造方法において、前記上層に位置する第2のSiOCH低誘電率膜を溝加工して前記下層に位置する第1のSiOCH低誘電率膜上で停止させる際、NとCHXFを少なくとも含む混合ガスプラズマの発光分光による終点検出を用いて加工することを特徴とする。好ましくは、前記上層に位置する第2のSiOCH低誘電率膜のカーボン/シリコン比が、前記下層に位置する第1のSiOCH低誘電率膜のカーボン/シリコン比に比べて2倍以上大きいことを特徴とする。混合カスプラズマ中のCHはCF、CHF、CHあるいはこれらの混合ガスであって、窒素の含有量は20から50%であるのが好ましい。
さらには、下層配線上にバリア絶縁膜、前記第1のSiOCH低誘電率膜からなるビア層間低誘電率膜、前記第2のSiOCH低誘電率膜からなる配線層間低誘電率膜、ハードマスク膜を順に形成する工程と、前記ハードマスク膜上にビア孔レジストパターンを形成する工程と、絶縁膜構造内にビア孔を形成する工程と、酸素プラズマアッシングによってビア孔レジストを除去する工程と、前記ビア孔上に配線溝レジストパターンを形成する工程と、前記配線溝レジストパターンをドライエッチングによって前記ハードマスク膜に転写する工程と、酸素プラズマアッシングによって配線溝レジストを除去する工程と、NとCHxFyを含む混合ガスプラズマを用いて発光分光による終点検出を行いながら前記第2のSiOCH低誘電率膜からなるビア層間低誘電率膜内に溝加工を行う工程とを特徴とする。このとき、第2のポーラスSiOCH膜は複数のSiOCH膜から構成されていても良い。
また、本発明で示される多層配線構造は、配線層間低誘電率膜中のカーボン/シリコン比がビア層間低誘電率膜中に比べて大きいことを特徴とする。このとき、配線層間低誘電率膜中のカーボン/シリコン比がビア層間低誘電率膜中に比べて2倍以上大きいことが好ましい。また、記配線層間低誘電率膜およびビア層間低誘電率膜の少なくとも1種類は空孔を内含するポーラス膜であることを特徴とする。配線層間低誘電率膜はSiOCHから構成され、そのカーボン/シリコン比が15以上であり、6員環シリカ骨格を有したり、膜中に不飽和炭化水素を含んでいてもよい。さらには、配線層間低誘電率膜の上にシリコン酸化膜が積層されている構造でも良い。また、ビア層間低誘電率膜の側壁に形成される酸化改質層の厚さよりも前記配線層間低誘電率膜の側壁に形成される酸化改質層の厚さが薄いことも特徴のひとつである。
さらには、本発明で示される多層配線製造装置は、SiOCHを主成分とする積層構造の層間絶縁膜に開口部形成に用いられ、プラズマ中のSiFの発光強度の時間変化から終点検出を行って、前記積層構造の層間絶縁膜内部を終点とする加工を自動的に停止させるプログラムを有するマイクロコンピュータを備えていることを特徴とする。
Specifically, the first SiOCH low dielectric constant film located in the lower layer and the second SiOCH low dielectric constant film located in the upper layer are directly laminated, and the first SiOCH low dielectric constant is formed. In the method of manufacturing a multilayer wiring, wherein the carbon / silicon ratio of the second SiOCH low dielectric constant film is larger than the carbon / silicon ratio of the dielectric constant film, the second SiOCH low dielectric constant located in the upper layer When the film is grooved and stopped on the first SiOCH low dielectric constant film positioned in the lower layer, the film is processed using end point detection by emission spectroscopy of mixed gas plasma containing at least N 2 and CHXF 7 And Preferably, the carbon / silicon ratio of the second SiOCH low dielectric constant film located in the upper layer is at least twice as large as the carbon / silicon ratio of the first SiOCH low dielectric constant film located in the lower layer. Features. CH x F y in the mixed casplasm is CF 4 , CHF 3 , CH 2 F 2 or a mixed gas thereof, and the nitrogen content is preferably 20 to 50%.
Furthermore, a barrier insulating film on the lower layer wiring, a via interlayer low dielectric constant film made of the first SiOCH low dielectric constant film, a wiring interlayer low dielectric constant film made of the second SiOCH low dielectric constant film, and a hard mask film Forming a via hole resist pattern on the hard mask film, forming a via hole in the insulating film structure, removing the via hole resist by oxygen plasma ashing, Forming a wiring groove resist pattern on the via hole; transferring the wiring groove resist pattern to the hard mask film by dry etching; removing the wiring groove resist by oxygen plasma ashing; and N 2 and CHxFy The second SiOCH low dielectric constant film while detecting the end point by emission spectroscopy using a mixed gas plasma containing And a step of forming a groove in the via interlayer low dielectric constant film. At this time, the second porous SiOCH film may be composed of a plurality of SiOCH films.
The multilayer wiring structure shown in the present invention is characterized in that the carbon / silicon ratio in the wiring interlayer low dielectric constant film is larger than that in the via interlayer low dielectric constant film. At this time, the carbon / silicon ratio in the wiring interlayer low dielectric constant film is preferably at least twice as large as that in the via interlayer low dielectric constant film. In addition, at least one of the wiring interlayer low dielectric constant film and the via interlayer low dielectric constant film is a porous film including pores. The wiring interlayer low dielectric constant film is made of SiOCH, has a carbon / silicon ratio of 15 or more, may have a six-membered silica skeleton, or may contain unsaturated hydrocarbons in the film. Further, a structure in which a silicon oxide film is laminated on a wiring interlayer low dielectric constant film may be used. Another feature is that the thickness of the oxidation-modified layer formed on the sidewall of the low-dielectric-constant interlayer between the wiring layers is smaller than the thickness of the oxidation-modified layer formed on the sidewall of the low-dielectric-layer interlayer. is there.
Furthermore, the multilayer wiring manufacturing apparatus shown in the present invention is used for forming an opening in an interlayer insulating film having a laminated structure mainly composed of SiOCH, and performs end point detection from time variation of the emission intensity of SiF in plasma. And a microcomputer having a program for automatically stopping processing having the inside of the interlayer insulating film of the laminated structure as an end point.

本発明により、低誘電率膜を配線/ビア層間膜に用いた多層配線において、面内ばらつきやパターン依存性が少なく、ウエハ間・ロット間ばらつきも少なく、実効比誘電率が低い多層配線が実現される。
さらに、本発明によれば、低誘電率膜を配線/ビア層間低誘電率膜に用いた多層配線において、面内ばらつきやパターン依存性が少なく、実効比誘電率が低い多層配線形成が可能になる。
In accordance with the present invention, multilayer wiring using a low dielectric constant film as a wiring / via interlayer film has less in-plane variation and pattern dependency, less wafer-to-lot and lot-to-lot variation, and realizes a multilayer wiring with a low effective relative dielectric constant. Is done.
Furthermore, according to the present invention, in multilayer wiring using a low dielectric constant film as a wiring / via interlayer low dielectric constant film, it is possible to form a multilayer wiring with low in-plane variation and pattern dependency and low effective relative dielectric constant. Become.

第1A図は従来のデュアルダマシン配線を示す図である。
第1B図は従来の従来のデュアルダマシン配線のより実効比誘電率を下げた構造を示す図である。
第2図はMPS、AuroraTMのエッチング速度のN流量依存性を示した図である。
第3A図はAr/N/CFプラズマ中の発光スペクトルを示す図である。
第3B図はAr/N/Cプラズマ中の発光スペクトルを示す図である。
第4図はMPSエッチング時の発光スペクトル440nmの時間変化を示す図である
第5A図はMPSエッチング時の発光スペクトル440nmの時間変化の下層膜CHのC/Si比依存性を示す図で、C/Si=2.22の場合を示す。
第5B図はMPSエッチング時の発光スペクトル440nmの時間変化の下層膜CHのC/Si比依存性を示す図で、C/Si=1.20の場合を示す。
第5C図はMPSエッチング時の発光スペクトル440nmの時間変化の下層膜CHのC/Si比依存性を示す図で、C/Si=1.41の場合を示す。
第5D図はMPSエッチング時の発光スペクトル440nmの時間変化の下層膜CHのC/Si比依存性を示す図で、C/Si=1.20の場合を示す。
第6図はMPSをエッチングプラズマに曝した後のTDSスペクトルである。
第7図はMPS,AuroraTMをエッチングプラズマに曝した後のNls(XPS)スペクトルである。
第8A図は配線加工後のMPS側壁からの組成分析をTEM−EELSマッピングによって観察した結果の図である。
第8B図は配線加工後のAuroraTM側壁からの組成分析をTEM−EELSマッピングによって観察した結果の図である。
第8C図は第8A図及び第8B図の配線加工後のMPS,AuroraTMの各側壁からの組成分析を行うためのTEM−EELSマッピングの為の試料の断面図である。
第9図は配線加工後のMPS,AuroraTMの側壁の酸化層をフッ酸ディップによって調査した電子顕微鏡写真である。
第10A図は本発明の第1の実施例による多層配線の製造方法の一工程を示す断面図である。
第10B図は本発明の第1の実施例による多層配線の製造方法の第10A図の次の工程を示す断面図である。
第10C図は本発明の第1の実施例による多層配線の製造方法の第10B図の次の工程を示す断面図である。
第10D図本発明の第1の実施例による多層配線の製造方法の第10C図の次の工程を示す断面図である。
第10E図は本発明の第1の実施例による多層配線の製造方法の第10D図の次の工程を示す断面図である。
第10F図本発明の第1の実施例による多層配線の製造方法の第10E図の次の工程を示す断面図である。
第10G図は本発明の第1の実施例による多層配線の製造方法の第10F図の次の工程を示す断面図である。
第10H図は本発明の第1の実施例による多層配線の製造方法の第10G図の次の工程を示す断面図である。
第10I図は本発明の第1の実施例による多層配線の製造方法の第10H図の次の工程を示す断面図である。
第11A図は本発明の第2の実施例による多層配線の製造方法の一工程を示す断面図である。
第11B図は本発明の第2の実施例による多層配線の製造方法の第11A図の次の工程を示す断面図である。
第11C図乃至第11H図は本発明の第2の実施例による多層配線の製造方法を第11B図の次の工程を示す断面図である。
第11D図は本発明の第2の実施例による多層配線の製造方法の第11C図の次の工程を示す断面図である。
第11E図は本発明の第2の実施例による多層配線の製造方法の第11D図の次の工程を示す断面図である。
第11F図は本発明の第2の実施例による多層配線の製造方法の第11E図の次の工程を示す断面図である。
第11G図は本発明の第2の実施例による多層配線の製造方法の第11F図の次の工程を示す断面図である。
第11H図は本発明の第2の実施例による多層配線の製造方法の第11G図の次の工程を示す断面図である。
第11I図は本発明の第2の実施例による多層配線の製造方法の第11H図の次の工程を示す断面図である。
第12図は本発明の第3の実施例による多層配線構造を示す図である。
FIG. 1A shows a conventional dual damascene wiring.
FIG. 1B is a diagram showing a structure in which the effective relative dielectric constant is lowered than that of a conventional conventional dual damascene wiring.
FIG. 2 is a graph showing the N 2 flow rate dependency of the etching rate of MPS and Aurora .
FIG. 3A is a diagram showing an emission spectrum in Ar / N 2 / CF 4 plasma.
FIG. 3B is a diagram showing an emission spectrum in Ar / N 2 / C 4 F 8 plasma.
FIG. 4 is a diagram showing the time change of the emission spectrum 440 nm at the time of MPS etching. FIG. 5A is a diagram showing the C / Si ratio dependency of the time change of the emission spectrum 440 nm at the time of MPS etching of the lower layer film CH. The case of /Si=2.22 is shown.
FIG. 5B is a graph showing the C / Si ratio dependency of the lower layer film CH of the time change of the emission spectrum of 440 nm during the MPS etching, and shows the case of C / Si = 1.20.
FIG. 5C is a graph showing the C / Si ratio dependency of the lower layer film CH of the time change of the emission spectrum of 440 nm during the MPS etching, and shows the case of C / Si = 1.41.
FIG. 5D is a diagram showing the C / Si ratio dependence of the lower layer film CH of the time change of the emission spectrum of 440 nm during MPS etching, and shows the case where C / Si = 1.20.
FIG. 6 is a TDS spectrum after exposing MPS to etching plasma.
FIG. 7 is a Nls (XPS) spectrum after exposing MPS, Aurora to etching plasma.
FIG. 8A is a diagram showing a result of TEM-EELS mapping observing composition analysis from the MPS side wall after wiring processing.
FIG. 8B is a diagram showing the result of TEM-EELS mapping observing the composition analysis from the Aurora TM side wall after wiring processing.
FIG. 8C is a cross-sectional view of a sample for TEM-EELS mapping for performing composition analysis from each side wall of MPS and Aurora after wiring processing of FIGS. 8A and 8B.
FIG. 9 is an electron micrograph in which the oxide layer on the side wall of MPS, Aurora after wiring processing is examined by hydrofluoric acid dip.
FIG. 10A is a cross-sectional view showing a step of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
FIG. 10B is a sectional view showing a step subsequent to FIG. 10A of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
FIG. 10C is a sectional view showing a step subsequent to FIG. 10B of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
FIG. 10D is a sectional view showing a step subsequent to FIG. 10C of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
FIG. 10E is a sectional view showing a step subsequent to FIG. 10D of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
FIG. 10F is a sectional view showing a step subsequent to FIG. 10E in the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
FIG. 10G is a sectional view showing a step subsequent to FIG. 10F of the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
FIG. 10H is a sectional view showing a step subsequent to FIG. 10G in the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
FIG. 10I is a sectional view showing a step subsequent to FIG. 10H in the method for manufacturing a multilayer wiring according to the first embodiment of the present invention.
FIG. 11A is a cross-sectional view showing one step of a method for manufacturing a multilayer wiring according to a second embodiment of the present invention.
FIG. 11B is a sectional view showing a step subsequent to FIG. 11A in the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
FIGS. 11C to 11H are cross-sectional views showing the next step of FIG. 11B in the multilayer wiring manufacturing method according to the second embodiment of the present invention.
FIG. 11D is a sectional view showing a step subsequent to FIG. 11C in the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
FIG. 11E is a sectional view showing a step subsequent to FIG. 11D in the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
FIG. 11F is a sectional view showing a step subsequent to FIG. 11E in the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
FIG. 11G is a sectional view showing a step subsequent to FIG. 11F of the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
FIG. 11H is a sectional view showing a step subsequent to FIG. 11G in the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
FIG. 11I is a cross-sectional view showing the next step of FIG. 11H in the method for manufacturing a multilayer wiring according to the second embodiment of the present invention.
FIG. 12 shows a multilayer wiring structure according to the third embodiment of the present invention.

本発明の実施例を説明する前に、従来技術によるデュアルダマシン配線について説明する。
第1A図を参照すると、銅/低誘電率膜で構成された一般的なデュアルダマシン配線の構造では、下層配線1の上にSiCNなどの材料で形成されるCuキャップ膜2、SiOCHなどの低誘電率膜で形成されるビア層間低誘電率膜3、SiOなどの無機膜で形成されるエッチングストッパー膜4、ポーラスSiOCHなどの低誘電率膜で形成される配線層間低誘電率膜5、SiOなどの無機膜で形成されるハードマスク6、SiCNなどの材料で形成されるCuキャンプ膜7の絶縁膜構造内に、主たる配線を構成する銅8、Ta/TaNなどのCuバリア膜9で構成される配線/ビアが埋め込まれた構造になっている。この構造は特開2004−047873号公報(以下、特許文献1と呼ぶ)などに記載されているデュアルダマシン方法によって形成される。しかし、さらに配線層間やビア層間の誘電率を下げるには、無機膜で構成されているCuキャップ膜2と7や、エッチングストッパー膜4、ハードマスク6を低誘電率膜に置き換えるのが効果的である。特にエッチングストッパー膜4やハードマスク6は電極間に存在するため、低誘電率膜に置き換えると実効的な比誘電率が低減できる。
そこで、第1B図に示すように、エッチングストッパーがなく、ハードマスクも低誘電率膜ハードマスク6’になっている構造が検討されている。
それでは、本発明の実施例について第2図乃至第12図を参照しながら説明する。
本発明は、下層に位置する第1のSiOCH低誘電率膜と上層に位置する第2のSiOCH低誘電率膜とが直接積層されたSiOCH膜連続体において、膜中のカーボン/シリコン比(C/Si比)が異なる2種類のSiOCH低誘電率膜をN/CH混合ガス系で上層のSiOCH膜をエッチングすると、上層と下層のSiOCH膜のエッチング選択比が確保できるとともに、発光分光による終点検出が可能であるという発見に基づく。
また、本発明の多層配線の製造方法では、例えばビア層間低誘電率膜としてプラズマCVD−SiOCH膜であるAuroraTM膜、配線層間低誘電率膜として分子細孔膜であるMPS−SiOCH膜(Molecular Pore Stack膜、以後単に“MPS”と記す)を使用する。ここで、MPS−SiOCH膜中のカーボン/シリコン比=2.7であり、AuroraTM膜(プラズマCVD−SiOCH膜)中のカーボン/シリコン比=0.7よりも大きい。ハードマスクとしては膜2を使用する。
本発明の多層配線の形成方法では、CFなどの単炭素原子からなるフルオロカーボンと20から50%の窒素ガスからなる混合ガスプラズマを用いてエッチング加工を行う。
第2図は、ブランケットウエハーで評価したSiOCH(AuroraTM、MPS)エッチング速度の窒素添加量依存性を示す図である。第2図を参照すると、平行平板のエッチング装置を用いて、電極間距離35mm、圧力6.65Pa(50mTorr)、上部電極パワー1000W、下部電極パワー100W、Ar/N/CF/O=300/100/25/6sccmの条件でエッチング速度を求めた。AuroraTMでは窒素含有によりエッチング速度が低下するのに対し、MPSではエッチング速度が増加する。また、SiOハードマスクで溝パターンをエッチングした場合には、MPS/AuroraTMエッチング選択比として1.7以上が確保できることを確認している。このように窒素ガス流量を制御することにより、MPSエッチングをAuroraTM上で停止させることが可能になる。このように、SiOCH膜連続体であっても、そのC/Si比の変化を検出しうることを見出し、エッチングの終点検出が可能となる。さらには、CFなどの単炭素原子(C:x=1)をフルオロカーボンガスとして用いた場合、SiFなどの発光スペクトルが明瞭になり、発光分光による終点検出が容易になる。
第3A図及び第3B図は、MPS基板とシリコン基板のエッチングを、CガスあるいはCF4ガス添加で行った場合の440nm発光スペクトルを示す図である。第3A図及び第3B図を参照すると、Cでは高分子フルオロカーボンからの分子性発光スペクトルが広い帯域にわたり存在するため、440nmの発光も埋もれてしまいやすいが、CF4を用いた場合には、はっきりと確認できる。
第4図はSiO/MPS/AuroraTMの構造上に溝露光を行い、SiO2ハードマスクをエッチングした後レジストアッシングして、SiOハードマスクでMPSエッチングした場合の440nm発光スペクトルの時間変化を観察した図である。第4図を参照すると、エッチングは、平行平板のエッチング装置を用いて、電極間距離35mm、圧力6.65Pa(50mTorr)、上部電極パワー1000W、下部電極パワー100W、Ar/N/CF/O=300/100/25/6sccmの条件で行った。MPSのエッチングが終了すると、SiFを示す440nmの発光スペクトルは増大する。これは、MPSよりもAuroraTMの方がC/Si比が小さいためである。440nmの発光スペクトルを微分した値も併記したが、大きく変化している。この発光スペクトル、あるいはその微分値を用いてエッチング時間を調整することで、エッチング深さのウエハ間ばらつきやロットばらつきを抑制できる。
第5A図は、第5B図、第5C図、第5D図はSiO/MPs/SiOCHの構造でSiOCHのC/Si比をC/Si=2.22、1.53,1.41,1.20と夫々変化させたサンプル上に溝露光を行い、SiOハードマスクをエッチングした後レジストアッシングして、SiOハードマスクでMPSエッチングした場合の440nm発光スペクトルの時間変化を観察した結果を夫々示している。MPSのC/Si比は27である。下層のSiOCHのC/Si比が低いほど440nmのスペクトルの時間変化は大きい。C/Si比が1.4以下では特に時間変化が大きく観察される。
この結果から、SiOCH膜連続体において上層のSiOCH(ここではMPS)のC/Si比が下層の約2倍程度であるのが好ましいことがわかる。
これらのことから、MPSのエッチングをAr/N/CFなどのガスでエッチングすると、下層AuroraTM膜との選択比が確保できるとともに、発光分光により、SiOCH膜連続体であり、たとえば同質材料からなる2種類のSiOCH低誘電率膜のエッチングの終点検出も可能になるため、面内ばらつきやパターンばらつきが少なく、ウエハ間・ロット間ばらつきの少ない溝加工が可能になる。
また、第6図に示すように、F吸蔵の観点からも、Ar/N/CFがAr/N/Cよりも優れている。CFに比べてCFRは容易に解離反応を示す(結合エネルギーが弱い)ため、CからはCFやCF、Fラジカルが多量に発生するためである。SiOCH膜中にフッ素が取り込まれると密着性を劣化させたり、膜吸湿によりHFが形成され膜中にボイドを形成させたりするため、CF添加が好ましいことがわかる。さらには、膜のエッチング効率においては、CFイオンがCFやCFイオンよりも高いことが知られており、CFイオンを発生させるCF添加が好ましい。
ところで、カーボンリッチのC/Si比>1のSiOCH膜では、C/N系ガスでプラズマエッチングを行うと側壁に炭窒化皮膜が形成される。
第7図はエッチングプラズマに照射後の表面をXPSを用いてN1sスペクトルを観察した図を示す。MPS膜では、(すなわちC/Si比>1のSiOCH膜では)N1sのスペクトルが照射後に観察される。この炭窒化皮膜はエッチング工程後に続く酸素アッシング工程において完全に除去されるが、SiOCH膜側壁からの炭素引き抜きを抑制する効果がある。すなわち、酸素アッシング後の側壁の酸化量が抑制される。この効果は、SiOCH膜中のカーボン量が多いほど顕著であり、膜中に不飽和炭化水素が含まれている方がさらに顕著であった。これは、膜中の不飽和炭化水素がエッチングプラズマ中のNラジカルと反応しやすく、炭窒化被膜が形成しやすいためと推察される。また、その詳細な原因は明らかではないが、カーボンリッチのC/Si比>1のSiOCH膜のシリカ骨格が環状、さらには6員環(Si)の場合酸素アッシング後の側壁の酸化量が抑制される傾向も認められた。
さて、本願発明で特徴的なカーボンリッチなSiOCH膜である配線層間低誘電率膜とシリコンリッチ(C/Si<1)のSiOCH膜であるビア層間低誘電率膜とを直接積層した構造に対して、それぞれ配線溝とビアとからなる開口部を形成する。カーボンリッチなSiOCH膜である配線層間低誘電率膜ではその側壁の酸化量が抑制され、ビア側壁の酸化量よりも薄くなる。
第8A図及び第8B図はエッチング後にアッシングプラズマを曝したパターン上にCu配線を形成し、TEM−EELSマッピングによりMPS膜及びAuroraTM膜を組成分析した結果を夫々示す図で、第8C図はTEM−EELSマッピングのための試料の断面図ある。
第9図はエッチング後にアッシングプラズマを曝したパターン上にCu配線を形成し、配線加工後のMPS,AuroraTMの側壁の酸化層をフッ酸ディップによって調査した電子顕微鏡写真である。
MPSでは側壁から10nm程度までにCやOの変化が収まっているのに対し、AuroraTMを用いた場合には30nm程度まで深くCや○が変化しており、酸化改質層の厚みが大きいことがわかる。このような酸化量の違いはデバイスの被断面に対して希フッ酸水溶液にディッピングすることで容易に確認できる。本構造で特徴的なカーボンリッチSiOCH/シリコンリッチSiOCH積層構造でデュアルダマシン加工後にレジストを埋め込み、配線の被断面形成後、6%HF,30%NHFを含む水溶液に5秒ディッピングした結果を示す。AuroraTM側壁やトレンチ底面には酸化層が確認できる。MPSではほとんど改質層は確認されない。配線層間低誘電率膜の酸化量が少なく形成できるため、実効比誘電率の増加を抑制しつつ、Cu/low−k配線を形成できる構造になっている。
本発明によれば、低誘電率膜を配線層開膜に用いた多層配線において、溝深さのパターンばらつき、面内ばらつき、ウエハ間・ロット間ばらつきを低く抑え、実効比誘電率が低い多層配線が形成できる。
Prior to describing embodiments of the present invention, dual damascene wiring according to the prior art will be described.
Referring to FIG. 1A, in a general dual damascene wiring structure composed of a copper / low dielectric constant film, a Cu cap film 2 formed of a material such as SiCN on a lower wiring 1 and a low thickness of SiOCH or the like. Via interlayer low dielectric constant film 3 formed of a dielectric constant film, etching stopper film 4 formed of an inorganic film such as SiO 2 , wiring interlayer low dielectric constant film 5 formed of a low dielectric constant film such as porous SiOCH, In a hard mask 6 formed of an inorganic film such as SiO 2 and an insulating film structure of a Cu camp film 7 formed of a material such as SiCN, copper 8 constituting a main wiring, Cu barrier film 9 such as Ta / TaN, etc. It is a structure in which a wiring / via formed by is embedded. This structure is formed by a dual damascene method described in Japanese Unexamined Patent Application Publication No. 2004-047873 (hereinafter referred to as Patent Document 1). However, in order to further reduce the dielectric constant between the wiring layers and via layers, it is effective to replace the Cu cap films 2 and 7 made of an inorganic film, the etching stopper film 4 and the hard mask 6 with a low dielectric constant film. It is. In particular, since the etching stopper film 4 and the hard mask 6 exist between the electrodes, the effective relative dielectric constant can be reduced by replacing with the low dielectric constant film.
Therefore, as shown in FIG. 1B, a structure in which there is no etching stopper and the hard mask is a low dielectric constant film hard mask 6 ′ has been studied.
Now, an embodiment of the present invention will be described with reference to FIGS.
The present invention relates to a carbon / silicon ratio (C) in a SiOCH film continuum in which a first SiOCH low dielectric constant film located in a lower layer and a second SiOCH low dielectric constant film located in an upper layer are directly laminated. Etching the upper SiOCH film with two types of SiOCH low dielectric constant films with different / Si ratios in a N 2 / CH x F y mixed gas system can ensure the etching selectivity of the upper and lower SiOCH films and emit light Based on the discovery that end point detection by spectroscopy is possible.
In the multilayer wiring manufacturing method of the present invention, for example, an Aurora film which is a plasma CVD-SiOCH film as a via interlayer low dielectric constant film, and an MPS-SiOCH film (Molecular) which is a molecular pore film as a wiring interlayer low dielectric constant film. Pore Stack film, hereinafter simply referred to as “MPS”). Here, the carbon / silicon ratio in the MPS-SiOCH film = 2.7, which is larger than the carbon / silicon ratio in the Aurora film (plasma CVD-SiOCH film) = 0.7. The film 2 is used as a hard mask.
In the method for forming a multilayer wiring of the present invention, etching is performed using a mixed gas plasma composed of a fluorocarbon composed of a single carbon atom such as CF 4 and 20 to 50% nitrogen gas.
FIG. 2 is a graph showing the dependency of SiOCH (Aurora , MPS) etching rate on nitrogen addition amount evaluated with a blanket wafer. Referring to FIG. 2, using a parallel plate etching apparatus, the distance between electrodes is 35 mm, the pressure is 6.65 Pa (50 mTorr), the upper electrode power is 1000 W, the lower electrode power is 100 W, Ar / N 2 / CF 4 / O 2 = The etching rate was determined under the conditions of 300/100/25/6 sccm. In Aurora , the etching rate decreases due to nitrogen content, whereas in MPS, the etching rate increases. Further, it has been confirmed that when the groove pattern is etched with the SiO 2 hard mask, an MPS / Aurora etching selection ratio of 1.7 or more can be secured. By controlling the nitrogen gas flow rate in this way, MPS etching can be stopped on Aurora . Thus, it is found that even a SiOCH film continuum can detect a change in the C / Si ratio, and the end point of etching can be detected. Furthermore, when a single carbon atom (C x F y : x = 1) such as CF 4 is used as the fluorocarbon gas, the emission spectrum of SiF or the like becomes clear, and the end point detection by the emission spectrum becomes easy.
3A and 3B are diagrams showing emission spectra of 440 nm when the MPS substrate and the silicon substrate are etched by adding C 4 F 8 gas or CF 4 gas. Referring to FIGS. 3A and 3B, in C 4 F 8 , the molecular emission spectrum from the polymer fluorocarbon exists over a wide band, so that the emission at 440 nm is likely to be buried, but when CF 4 is used, You can see clearly.
Figure 4 performs a groove exposed on the structure of SiO 2 / MPS / Aurora TM, and resist ashing after etching the SiO2 hard mask, observing the time variation of the 440nm emission spectrum in the case of MPS etched in SiO 2 hard mask FIG. Referring to FIG. 4, etching is performed using a parallel plate etching apparatus with a distance between electrodes of 35 mm, a pressure of 6.65 Pa (50 mTorr), an upper electrode power of 1000 W, a lower electrode power of 100 W, Ar / N 2 / CF 4 / The measurement was performed under the condition of O 2 = 300/100/25/6 sccm. When the MPS etching is completed, the emission spectrum of 440 nm indicating SiF increases. This is because Aurora has a smaller C / Si ratio than MPS. A value obtained by differentiating the emission spectrum of 440 nm is also shown, but it is greatly changed. By adjusting the etching time using this emission spectrum or its differential value, variation in etching depth between wafers and lot variation can be suppressed.
5A is a structure of SiO 2 / MPs / SiOCH, and the C / Si ratio of SiOCH is C / Si = 2.22, 1.53, 1.41,1. Each of the samples changed to .20 was exposed to a groove, etched the SiO 2 hard mask, resist ashed, and MPS etched with the SiO 2 hard mask. Show. The C / Si ratio of MPS is 27. The lower the lower SiOCH C / Si ratio, the greater the time variation of the 440 nm spectrum. When the C / Si ratio is 1.4 or less, the time change is particularly large.
From this result, it can be seen that the C / Si ratio of the upper SiOCH (here, MPS) in the SiOCH film continuum is preferably about twice that of the lower layer.
From these facts, when etching MPS with a gas such as Ar / N 2 / CF 4 , a selection ratio with the lower layer Aurora TM film can be secured, and by luminescence spectroscopy, it is a SiOCH film continuum. Since the end point of the etching of the two types of SiOCH low dielectric constant films made of can be detected, there is little in-plane variation and pattern variation, and groove processing with little variation between wafers and lots is possible.
Further, as shown in FIG. 6, Ar / N 2 / CF 4 is superior to Ar / N 2 / C 4 F 8 also from the viewpoint of F occlusion. This is because C 4 FR easily exhibits a dissociation reaction (binding energy is weak) as compared with CF 4 , so that a large amount of CF 2 , CF, and F radicals are generated from C 4 F 8 . When fluorine is taken into the SiOCH film, adhesion is deteriorated or HF is formed by moisture absorption to form voids in the film, so that it is understood that addition of CF 4 is preferable. Furthermore, in the etching efficiency of the film, CF 3 ions are known to be higher than CF 2 and CF ion, CF 4 is added to generate a CF 3 ions.
By the way, in a carbon-rich SiOCH film having a C / Si ratio> 1, when plasma etching is performed with a C x H y / N 2 -based gas, a carbonitride film is formed on the sidewall.
FIG. 7 shows the N1s spectrum observed on the surface after irradiation with etching plasma using XPS. In the MPS film (ie in the case of a SiOCH film with a C / Si ratio> 1) the N1s spectrum is observed after irradiation. This carbonitride film is completely removed in the oxygen ashing process subsequent to the etching process, but has an effect of suppressing carbon extraction from the side wall of the SiOCH film. That is, the amount of oxidation on the side wall after oxygen ashing is suppressed. This effect becomes more prominent as the amount of carbon in the SiOCH film is larger, and it is more remarkable that the film contains unsaturated hydrocarbons. This is presumably because unsaturated hydrocarbons in the film are likely to react with N radicals in the etching plasma, and a carbonitride film is likely to be formed. Further, although the detailed cause is not clear, when the silica skeleton of the SiOCH film having a carbon-rich C / Si ratio> 1 is a ring, and further a six-membered ring (Si 3 O 3 ), oxidation of the side wall after oxygen ashing is performed. There was also a tendency for the amount to be suppressed.
Now, with respect to a structure in which a wiring interlayer low dielectric constant film that is a carbon-rich SiOCH film and a via interlayer low dielectric constant film that is a silicon-rich (C / Si <1) layer are directly laminated. Thus, an opening made of a wiring groove and a via is formed. In the wiring interlayer low dielectric constant film, which is a carbon-rich SiOCH film, the amount of oxidation on the side walls is suppressed and becomes thinner than the amount of oxidation on the via side walls.
8A and 8B are diagrams showing the results of analyzing the composition of the MPS film and the Aurora TM film by TEM-EELS mapping after forming Cu wiring on the pattern exposed to ashing plasma after etching, respectively. It is sectional drawing of the sample for TEM-EELS mapping.
FIG. 9 is an electron micrograph in which Cu wiring is formed on a pattern exposed to ashing plasma after etching, and the oxide layer on the side wall of MPS, Aurora after wiring processing is investigated by hydrofluoric acid dip.
In MPS, the change of C and O is reduced to about 10 nm from the side wall, whereas when Aurora TM is used, C and ○ are changed deeply to about 30 nm, and the thickness of the oxidation-modified layer is large. I understand that. Such a difference in the amount of oxidation can be easily confirmed by dipping the device cross-section into a dilute hydrofluoric acid aqueous solution. The characteristic carbon-rich SiOCH / silicon-rich SiOCH layered structure in this structure is filled with a resist after dual damascene processing, and the result of dipping for 5 seconds in an aqueous solution containing 6% HF and 30% NH 4 F after forming the cross section of the wiring Show. An oxide layer can be confirmed on the Aurora side wall and the bottom of the trench. In MPS, almost no modified layer is confirmed. Since the wiring layer low dielectric constant film can be formed with a small amount of oxidation, it is possible to form a Cu / low-k wiring while suppressing an increase in effective relative dielectric constant.
According to the present invention, in multilayer wiring using a low dielectric constant film as a wiring layer opening film, it is possible to suppress groove depth pattern variation, in-plane variation, wafer-to-lot / lot-to-lot variation, and low effective relative dielectric constant. Wiring can be formed.

以下、本発明の実施例について図面を参照しながら詳細に説明する。
(第1の実施例)
第1の実施例では、SiOハードマスクについて説明する。
第10A図から第10H図は本発明の第1の実施例にかかわる多層配線構造の製造プロセスを複式的に示す断面図である。第1の実施例は、シリコン酸化膜/MPS膜/AuroraTM/SiCNの絶縁膜構造にビアと配線溝が形成されている、いわゆるデュアルダマシンCu配線の形成にあたり、ビア加工をした後、配線溝用レジストパターン形成し、Ar/N/CFプラズマにより発光による終点検出を用いながらMPS膜をエッチングすることにより、面内均―性やパターン依存性、ウエハ間・ロット間ばらつきが少ない溝加工を行うことができるものである。
まず、第10A図に示すように、下層配線201上に銅キャップ膜となるシリコン炭窒化膜202、ビア層間低誘電率膜となるAuroraTM膜203、配線層間低誘電率膜となるMPS膜204、ハードマスクであるシリコン酸化膜205をこの順に例えばプラズマCVD法により成膜し、その上に反射防止膜206、ビア用レジスト207をこの順に塗布し、ビアレジストパターン207a、207bを形成する。
次に、第10B図に示すように、ビアレジストパターン207a、207bをマスクとして、反射防止膜206、シリコン酸化膜205、MPS膜204、AuroraTM膜203をこの順でエッチングする。
その後、第10C図に示すように、例えば、酸素プラズマなどでアッシングを行うと、ビア孔パターン203a、203bが形成される。
その後、第10D図に示すように、シリコン酸化膜205の上に有機膜208を塗布し、シリコン酸化膜209を例えばCVD法によって成膜する。シリコン酸化膜209の上に反射防止膜210、配線溝用レジスト211をこの順に塗布し、配線溝用レジストパターン211a、211bを形成する。
第10E図に示すように、配線溝用レジストパターン211a、211bをマスクとして、反射防止膜210、シリコン酸化膜209、有機膜208、シリコン酸化膜205をエッチングする。有機膜208のエッチングの際に配線溝用レジスト211と反射防止膜210が消失し、シリコン酸化膜205のエッチングの際にシリコン酸化膜209が消失するため、第10E図に示したエッチング加工後は有機膜208が最上層になる形となる。
その後、第10F図に示すように、有機膜208を例えば酸素プラズマによりアクシングすると、配線溝用パターンが転写された配線溝用ハードマスクパターン205a、205bが形成できる。
さらに、第10G図に示すように、配線溝用ハードマスクパクーン205a、205bをマスクとして、MPS膜204をAr/N/CFプラズマを用いてエッチングする。このときの条件としては、平行平板電極のプラズマ源で、電極間距離35mm、圧力10.6Pa(80mTorr)、上部電極パワー1000W、バイアスパワー100W、Ar/N/CF/O=300/100/25/6sccmの条件などが挙げられる。ここで重要なのは、単炭素原子フルオロカーボンを用いることと、20%以上50%未満の窒素を用いることである。単炭素原子フルオロカーボンを用いることで発光分光による終点検出をしやすくし、窒素を含有させることで下層AuroraTM膜との選択比を確保することができるためである。これらの条件を用いると、SiF=440nmなどの発光変化を容易に観測可能になるため、終点検出ができ、面内ばらつきやパターンばらつき、ウエハ間、ロット間ばらつきの少ない加工が可能になる。また、このエッチング中にCuキャップ膜202は消失する。
その後、第10H図に示すように、デポジション物を除去するため、Oを含むクリーニングプラズマを若干照射する。このとき、C/Si=0.7とシリコンリッチのAuroraTM側壁203’に比べて、C/Si=2.7とカーボンリッチなMPS側壁204′には、薄い酸化層が形成される。配線層間低誘電率膜での酸化改質層が薄いので、比誘電率が低く抑制できる。このような配線部とビア部での酸化量の違いはデバイスの破断面に対して希フッ酸水溶液にディッピングすることで容易に確認できる。例えば、6%HF、30%NHFを含む水溶液に3から5秒ディッピングすることで確認できる。逆に、このような配線側壁とビア側壁との酸化厚の違いを有することは、本願発明による製造プロセスおよび化学組成の異なるSiOCH膜を直接積層した層間絶縁膜構造を用いた証となる。
この後、第10I図に示すように、バリア・Cuシードスパッタ、Cuめっきを行い、CMPによりCu配線212が形成される。さらにCuキャップ膜としてシリコン炭窒化膜を例えばCVD法により成膜する。これを繰り返すことにより、多層配線が形成できる。
本実施例の配線層間低誘電率膜としては、MPS膜を示したが、ビア層間低誘電率膜とのカーボン/シリコン比の差が十分確保できるSiOCH膜であれば、特に限定されず、上記と同じ材料が適用できる。望ましくは、ビア層間低誘電率膜のカーボン/シリコン比に対して、配線層間低誘電率膜のカーボン/シリコン比が2倍以上のあることが望ましい。
また、本プラズマ発光をモニタする終点検出方法を適用したデュアルダマシン開口パターン形成の場合、酸素アッシング工程による配線溝側壁の酸化を抑制するため、SiOCH膜中のC/Si比が1.5以上であることが望ましい。
一方、本実施例のビア層間低誘電率膜としてAuroraTM膜を示したが、配線層間低誘電率膜のカーボン/シリコン比に対するビア層間低誘電率膜のカーボン/シリコン比、すなわち{(C/Si)ビアノ(C/Si)配線}比が0.5倍以下であることが望ましい。ビア層間低誘電率膜中のC/Si比が1以下である日本ASM社のAuroraシリーズ、Tricon社のOrion、Applied Materials社のBD/BDII、ノベラス社のCoralなどのCVD−SiOCH膜、Dow−Chemical社のポーラスSiLK、触媒化成社のNCSなどの塗布成膜するSiOCH膜などでも構わない。さらには、特許文献1で示されているようなプラズマ重合で形成されるSiOCH膜でもよい。実装耐性を考えると、ビア層間低誘電率膜の方が配線層間低誘電率膜よりも高い密度を持つ材料を選択するのが好ましい。
本実施例では、Cuキャップ膜としてシリコン炭窒化膜を用いた例を示したが、低誘電率膜とのエッチング選択比が確保てき、Cuバリア性のある材料であれば、特に制限はなく、どのような材料も使用することができる。例えば、シリコン炭化膜、シリコン窒化膜などが挙けられるが、プラズマ重合法で形成された有機膜やジビニルシロキサン・ベンゾクロブテン(DVS−BCB)のようなシロキサン含有有機膜であってもよい。さらには本実施例では、MPS膜をハードマスクをマスクとして加工する例について示したが、MPSのエッチングをレジスト剥離前に行っても良い。
(第2の実施例)
本発明の第2の実施例では、low−kハードマスクについて説明する。
第11A図乃至第11H図は本発明の第2の実施例にかかわる多層配線構造の製造プロセスを複式的に示す断面図である。第2の実施例は、シリコン酸化膜/Black DiamondTM/MPS膜/AuroraTM/SiCNの絶縁膜構造にビアと配線溝が形成されている、いわゆるデュアルダマシンCu配線の形成にあたり、ビア加工をした後、配線溝用レジストパターン形成し、Ar/N/CFプラズマにより発光による終点検出を用いながらMPS膜をエッチングすることにより、面内均一性やパターン依存性が少ない溝加工を行うとともに、ハードマスクを低誘電率化することにより、さらなる実効誘電率の低下を鑑みたものである。
まず、第11A図に示すように、第1の実施例と同様に下層配線201上に銅キャップ膜となるシリコン炭窒化膜202、ビア層間低誘電率膜となるAuroraTM膜203、配線層間低誘電率膜となるMPS膜204をこの順にプラズマCVDなどにより成膜する。さらに、第一のハードマスクであるBlack DiamondTM膜305、第二のハードマスクであるシリコン酸化膜306をこの順に例えばプラズマCVD法により成膜し、その上に反射防止膜307、ビア用レジスト308をこの順に塗布し、ビアレジストパターン308a、308bを形成する。
次に、第11B図に示すように、ビアレジストパターン308a、308bをマスクとして、反射防止膜307、シリコン酸化膜306、Black DiamondTM膜305、MPS膜204、AuroraTM膜203をこの順でエッチングする。
その後、第11C図に示すように、例えば、酸素プラズマなどでアッシングを行うと、ビア孔パターン203a、203bが形成される。
その後、第11D図に示すように、シリコン酸化膜306の上に有機膜309を塗布し、シリコン酸化膜310を、例えばCVD法によって成膜する。シリコン酸化膜310の上に反射防止膜311、配線溝用レジスト312をこの順に塗布し、配線溝用レジストパターン312a、312bを形成する。
第11E図に示すように、配線溝用レジストパターン312a、312bをマスクとして、反射防止膜311、シリコン酸化膜310、有機膜309、シリコン酸化膜306、Black DlamondTM膜305をエッチングする。有機膜309のエッチングの際に配線溝用レジスト312と反射防止膜311が消失し、シリコン酸化膜306のエッチングの際にシリコン酸化膜310が消失するため、第11E図に示したエッチング加工後は有機膜309が最上層になる形となる。
その後、第11F図に示すように、有機膜309を例えば酸素プラズマによりアッシングすると、配線溝用パターンが転写された配線溝用ハードマスクパターン305a、305bが形成できる。
さらに、第11G図に示すように、配線溝用ハードマスクパクーン305a、305bをマスクとして、MPS膜204をAr/N/CFプラズマを用いてエッチングする。このときの条件としては、平行平板電極のプラズマ源で、電極間距離35mm、圧力10.6Pa(80mTorr)、上部電極パワー1000W、バイアスパワー100W、Ar/N/CF/O=300/100/25/6sccmの条件などが挙げられる。ここで重要なのは、単炭素原子フルオロカーボンを用いることと、20%以上50%未満の窒素を用いることである。単炭素原子フルオロカーボンを用いることで発光分光による終点検出をしやすくし、窒素を含有させることで下層AuroraTM膜との選択比を確保することができるためである。これらの条件を用いると、SiF=440nmなどの発光変化を容易に観測可能になるため、終点検出ができ、面内ばらつきやパターンばらつき、ウエハ間、ロット間ばらつきの少ない加工が可能になる。
また、第11H図に示すように、このエッチング中にCuキャップ膜202は消失する。その後、デポジション物を除去するため、Oを含むクリーニングプラズマを若干照射する。このとき、C/Si=0.7とシリコンリッチのAuroraTMの側壁203’に比べて、C/Si=2.7とカーボンリッチのMPS側壁204’には薄い酸化層が形成される。配線層間低誘電率膜での酸化改質層が薄いので、実効比誘電率の上昇を抑制できる。このような配線部とビア部での酸化量の違いはデバイスの破断面に対して希フッ酸水溶液にディッピングすることで容易に確認できる。例えば、6%HF,30%NHFを含む水溶液に3から5秒ディッピングすることで確認できる。逆に、このような配線側壁とビア側壁との酸化厚の違いを有することは、本願発明による製造プロセスおよび化学組成の異なるSiOCH膜を直接積層した層間絶縁膜構造を用いた証となる。
この後、第11I図に示すように、バリア・Cuシードスパック、Cuめっきを行い、CMPによりCu配線313が形成される。このとき、シリコン酸化膜を削り取ってしまうことにより、実効誘電率の低下が見込める。さらにCuキャップ膜としてシリコン炭窒化膜を例えばCVD法より成膜する。これを繰り返すことにより、多層配線が形成できる。
本実施例の配線層間低誘電率膜としては、MPS膜を示したが、ビア層間低誘電率膜とのカーボン/シリコン比の差が十分確保できるSiOCH膜であれば、特に限定されず、上記と同じ材料が適用できる。望ましくは、ビア層間低誘電率膜のカーボン/シリコン比に対して、配線層間低誘電率膜のカーボン/シリコン比が2倍以上のあることが望ましい。また、本プラズマ発光をモニタする終点検出方法を適用したデュアルダマシン開口パターン形成の場合、酸素アッシング工程による配線溝側壁の酸化を抑制するため、SiOCH膜中のC/Si比が1.5以上であることが望ましい。
実施例のビア層間低誘電率膜としてAuroraTM膜を示したが、例えば、配線層間低誘電率膜のカーボン/シリコン比に対するビア層間低誘電率膜のカーボン/シリコン比、すなわち{(C/Si)ビア/(C/Si)配線}比が0.5倍以下であることが望ましく、ビア層間低誘電率膜中のC/Si比が1以下である日本ASM社のAuroraシリーズ、Tricon社のOrion、Applied Materials社のBD/BDII、ノベラズ社のCoralなどのCVD−SiOCH膜、Dow−Chemical社のポーラスSiLK、触媒化成社のNCSなどの塗布成膜するSiOCH膜などでも構わない。さらには、特許文献1に示されているようなプラズマ重合で形成されるSiOCH膜でもよい。実装耐性を考えると、ビア層間低誘電率膜の方が配線層間低誘電率膜よりも高い密度を持つ材料を選択するのが好ましい。低誘電率ハードマスクとしても上記の材料のいずれもが使用可能である。CMP耐性のある膜であれば、種類を問わない。
本実施例では、Cuキャップ膜としてシリコン炭窯化膜を用いた例を示したが、低誘電率膜とのエッチング選択比が確保でき、Cuバリア性のある材料であれば、特に制限はなく、どのような材料も使用することができる。例えば、シリコン炭化膜、シリコン窒化膜などが挙けられるが、プラズマ重合法で形成された有機膜やジビニルシロキサン・ベンゾクロブテン(DVS−BCB)のようなシロキサンン含有有機膜であってもよい。
さらには本実施例では、MPS膜をハードマスクをマスクとして加工する例について示したが、MPSのエッチングをレジスト剥離前に行っても良い。
(第3の実施例)
第3の実施例では、多層構造について説明する。
第12図はシリコン基板401に素子分離酸化膜402で分離されたMOSFET403上に炭素含有の低誘電率絶縁膜に銅多層配線を形成した実施例を示す図である。以下に、その構造的特徴を示す。本実施例においても、MPSのエッチングにはAr/N/CFの混合ガスプラズマを用いることにより、面内ばらつき、パターン依存性、ウエハ間・ロット間ばらつきの少ない溝加工が可能である。ここては、ビア層間低誘電率膜としてAuroraTM膜、配線溝用層間膜としてMPS膜、Low−kハードマスクとしてBDTM膜を用いた場合を示したが、日本ASM社のAuroraシリーズ、Tricon社のOrion、Applied Materials社のBD/BDII、ノベラズ社のCoralなどのCVD−SiOCH膜、Dow−Chemical社のポーラスSiLK、触媒化成社のNCSなどの塗布成膜するSiOCH膜などでも構わない。さらには、特許文献1で示されているようなプラズマ重合で形成されるSiOCH膜でもよい。MOSFET403上には、Wコンククトプラグ404を持つシリコン酸化膜405が形成されており、前記シリコン酸化膜405上に第1層目銅配線406に対応する配線溝のエッチストップ膜として30nm厚のシリコン炭窒素化膜413が形成されている。このシリコン炭窒化膜上には110nm厚のMPS膜414とそのハードマスクとして30nm厚のBD膜415が形成されている。第1層目の銀配線は、かかるBD膜415/MPS膜414/シリコン炭窒化膜413からなる積層絶縁膜を貫く配線溝にTa(10nm)/TaN(5nm)のバリア膜420で覆われたCu膜421が埋め込まれた構造となっている。この第1層目のCu配線406は、Wコンククトプラグ404に接続されている。第1層目のCu配線406上には、ビアエッチングストップ層として30nm厚のシリコン炭窒化膜416が形成されている。さらに、150nm厚のAuroraTM膜417とが形成されている。AuroraTM膜417はCMP等によって平坦化されていても良い。さらに、このAuroraTM膜417上には130nm厚のMPS膜418とそのハードマスクとして30nm厚のBD膜419が形成されている。この積層構造絶縁膜に対して、BD膜419/MPS膜418を貫く配線溝にCu膜の埋め込まれた第2のCu配線408が形成されている。この第2の銅配線408の底部より、AuroraTM膜417とシリコン炭窒化膜416を貫く第1のCuビアプラグ407が形成されており、第1層目のCu配線406に接続されている。
酸素プラズマクリーニング工程によりAuroraTM膜417側壁には酸化改質層422が存在し、MPS膜418側壁には、422より薄い酸化改質層423が存在する。このような配線部とビア部での酸化量の違いはデバイスの破断面に対して希フッ酸水溶液にディッピングすることで容易に確認できる。例えば、6%HF,30%NHFを含む水溶液に3から5秒ディッピングすることで確認できる。逆に、このような配線側壁とビア側壁との酸化厚の違いを有することは、本願発明による製造プロセスおよび化学組成の異なるSiOCH膜を直接積層した層間絶縁膜構造を用いた証となる。第3層のCu配線層410、第3層と第2層を繋ぐCuビアプラグ409に対しても、第二配線層408、ビアプラグ407と同じ構造を形成することが可能であり、この構造を重ねることによって多層配線を形成することが可能である。
Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings.
(First embodiment)
In the first embodiment, an SiO 2 hard mask will be described.
FIGS. 10A to 10H are cross-sectional views illustrating a manufacturing process of a multilayer wiring structure according to the first embodiment of the present invention. In the first embodiment, in forming a so-called dual damascene Cu wiring in which a via and a wiring groove are formed in an insulating film structure of silicon oxide film / MPS film / Aurora / SiCN, a wiring groove is formed after via processing. Groove processing with less in-plane uniformity, pattern dependency, and wafer-to-lot / lot-to-lot variations by forming a resist pattern for etching and etching the MPS film using Ar / N 2 / CF 4 plasma to detect the end point by light emission Is something that can be done.
First, as shown in FIG. 10A, a silicon carbonitride film 202 serving as a copper cap film on the lower wiring 201, an Aurora TM film 203 serving as a via interlayer low dielectric constant film, and an MPS film 204 serving as a wiring interlayer low dielectric constant film. Then, a silicon oxide film 205 as a hard mask is formed in this order by, for example, a plasma CVD method, and an antireflection film 206 and a via resist 207 are applied thereon in this order to form via resist patterns 207a and 207b.
Next, as shown in FIG. 10B, the antireflection film 206, the silicon oxide film 205, the MPS film 204, and the Aurora film 203 are etched in this order using the via resist patterns 207a and 207b as masks.
Thereafter, as shown in FIG. 10C, ashing is performed using, for example, oxygen plasma to form via hole patterns 203a and 203b.
Thereafter, as shown in FIG. 10D, an organic film 208 is applied on the silicon oxide film 205, and a silicon oxide film 209 is formed by, for example, a CVD method. On the silicon oxide film 209, an antireflection film 210 and a wiring groove resist 211 are applied in this order to form wiring groove resist patterns 211a and 211b.
As shown in FIG. 10E, the antireflection film 210, the silicon oxide film 209, the organic film 208, and the silicon oxide film 205 are etched using the wiring groove resist patterns 211a and 211b as a mask. Since the wiring groove resist 211 and the antireflection film 210 disappear when the organic film 208 is etched, and the silicon oxide film 209 disappears when the silicon oxide film 205 is etched, after the etching process shown in FIG. 10E, The organic film 208 is the uppermost layer.
Thereafter, as shown in FIG. 10F, when the organic film 208 is axed by, for example, oxygen plasma, wiring groove hard mask patterns 205a and 205b to which the wiring groove pattern is transferred can be formed.
Further, as shown in FIG. 10G, the MPS film 204 is etched using Ar / N 2 / CF 4 plasma using the wiring groove hard mask pacoons 205a and 205b as masks. The conditions at this time were a plasma source of parallel plate electrodes, a distance between electrodes of 35 mm, a pressure of 10.6 Pa (80 mTorr), an upper electrode power of 1000 W, a bias power of 100 W, Ar / N 2 / CF 4 / O 2 = 300 / The condition of 100/25/6 sccm is mentioned. What is important here is to use a single carbon atom fluorocarbon and to use 20% or more and less than 50% nitrogen. This is because the use of a single carbon atom fluorocarbon facilitates end point detection by emission spectroscopy, and the inclusion of nitrogen can ensure the selectivity with the lower Aurora film. When these conditions are used, it is possible to easily observe a change in light emission such as SiF = 440 nm, so that the end point can be detected, and processing with less in-plane variation, pattern variation, wafer-to-lot, and lot-to-lot variation is possible. Further, the Cu cap film 202 disappears during the etching.
Thereafter, as shown in FIG. 10H, a cleaning plasma containing O 2 is slightly irradiated to remove the deposits. At this time, a thinner oxide layer is formed on the C / Si = 2.7 and carbon-rich MPS side wall 204 ′ than on the silicon-rich Aurora side wall 203 ′ with C / Si = 0.7. Since the oxidized modified layer in the wiring interlayer low dielectric constant film is thin, the relative dielectric constant can be suppressed low. Such a difference in the amount of oxidation between the wiring portion and the via portion can be easily confirmed by dipping the fracture surface of the device in a dilute hydrofluoric acid aqueous solution. For example, it can be confirmed by dipping for 3 to 5 seconds in an aqueous solution containing 6% HF and 30% NH 4 F. On the other hand, the difference in oxide thickness between the wiring sidewall and the via sidewall is evidence that the manufacturing process according to the present invention and the interlayer insulating film structure in which SiOCH films having different chemical compositions are directly laminated are used.
Thereafter, as shown in FIG. 10I, barrier / Cu seed sputtering and Cu plating are performed, and a Cu wiring 212 is formed by CMP. Further, a silicon carbonitride film is formed as a Cu cap film by, for example, a CVD method. By repeating this, a multilayer wiring can be formed.
Although the MPS film is shown as the wiring interlayer low dielectric constant film of this embodiment, it is not particularly limited as long as it is a SiOCH film that can sufficiently ensure the difference in carbon / silicon ratio from the via interlayer low dielectric constant film. The same materials can be applied. Desirably, the carbon / silicon ratio of the wiring interlayer low dielectric constant film is preferably twice or more the carbon / silicon ratio of the via interlayer low dielectric constant film.
In addition, in the case of forming a dual damascene opening pattern using the end point detection method for monitoring the plasma emission, the C / Si ratio in the SiOCH film is 1.5 or more in order to suppress the oxidation of the wiring trench side wall by the oxygen ashing process. It is desirable to be.
On the other hand, although the Aurora film is shown as the low dielectric constant film between the via layers of this example, the carbon / silicon ratio of the low dielectric constant film between the via layers with respect to the carbon / silicon ratio of the low dielectric constant film between the wiring layers, that is, {(C / The Si) Viano (C / Si) wiring ratio is preferably 0.5 times or less. CVD-SiOCH film such as Aurora series by ASM Japan, Orion from Tricon, BD / BDII from Applied Materials, Coral from Novellus, etc., C / Si ratio in via interlayer low dielectric constant film is 1 or less A SiOCH film to be formed by coating such as Porous SiLK of Chemical Company or NCS of Catalytic Chemical Company may be used. Furthermore, a SiOCH film formed by plasma polymerization as shown in Patent Document 1 may be used. In view of mounting tolerance, it is preferable to select a material having a higher density in the via interlayer low dielectric constant film than in the wiring interlayer low dielectric constant film.
In this example, an example using a silicon carbonitride film as the Cu cap film was shown, but the etching selectivity with the low dielectric constant film has been secured, and there is no particular limitation as long as the material has a Cu barrier property. Any material can be used. For example, a silicon carbide film, a silicon nitride film, and the like are listed, but an organic film formed by a plasma polymerization method or a siloxane-containing organic film such as divinylsiloxane / benzoclobutene (DVS-BCB) may be used. Furthermore, in the present embodiment, an example in which the MPS film is processed using a hard mask as a mask is shown, but MPS etching may be performed before resist stripping.
(Second embodiment)
In the second embodiment of the present invention, a low-k hard mask will be described.
FIGS. 11A to 11H are cross-sectional views illustrating a manufacturing process of a multilayer wiring structure according to the second embodiment of the present invention. In the second embodiment, after forming a so-called dual damascene Cu wiring in which a via and a wiring groove are formed in an insulating film structure of silicon oxide film / Black Diamond / MPS film / Aurora / SiCN, By forming the resist pattern for the wiring groove and etching the MPS film while using the Ar / N 2 / CF 4 plasma to detect the end point by light emission, the groove processing with less in-plane uniformity and pattern dependency is achieved. This is in view of further reduction in effective dielectric constant by reducing the dielectric constant of the mask.
First, as shown in FIG. 11A, a silicon carbonitride film 202 serving as a copper cap film, an Aurora TM film 203 serving as a via interlayer low dielectric constant film, and a wiring interlayer low layer are formed on the lower layer wiring 201 as in the first embodiment. An MPS film 204 serving as a dielectric constant film is formed in this order by plasma CVD or the like. Further, a black diamond TM film 305 as a first hard mask and a silicon oxide film 306 as a second hard mask are formed in this order by, for example, a plasma CVD method, and an antireflection film 307 and a via resist 308 are formed thereon. Are applied in this order to form via resist patterns 308a and 308b.
Next, as shown in FIG. 11B, using the via resist patterns 308a and 308b as a mask, the antireflection film 307, the silicon oxide film 306, the Black Diamond TM film 305, the MPS film 204, and the Aurora TM film 203 are etched in this order. To do.
Thereafter, as shown in FIG. 11C, for example, when ashing is performed with oxygen plasma, via hole patterns 203a and 203b are formed.
Thereafter, as shown in FIG. 11D, an organic film 309 is applied on the silicon oxide film 306, and a silicon oxide film 310 is formed by, for example, a CVD method. An antireflection film 311 and a wiring groove resist 312 are applied in this order on the silicon oxide film 310 to form wiring groove resist patterns 312a and 312b.
As shown in FIG. 11E, the antireflection film 311, the silicon oxide film 310, the organic film 309, the silicon oxide film 306, and the Black Diamond film 305 are etched using the wiring groove resist patterns 312a and 312b as masks. Since the wiring groove resist 312 and the antireflection film 311 disappear when the organic film 309 is etched, and the silicon oxide film 310 disappears when the silicon oxide film 306 is etched, after the etching process shown in FIG. The organic film 309 is the uppermost layer.
Thereafter, as shown in FIG. 11F, when the organic film 309 is ashed by, for example, oxygen plasma, wiring groove hard mask patterns 305a and 305b to which the wiring groove pattern is transferred can be formed.
Further, as shown in FIG. 11G, the MPS film 204 is etched using Ar / N 2 / CF 4 plasma using the wiring groove hard mask pacoons 305a and 305b as masks. The conditions at this time were a plasma source of parallel plate electrodes, a distance between electrodes of 35 mm, a pressure of 10.6 Pa (80 mTorr), an upper electrode power of 1000 W, a bias power of 100 W, Ar / N 2 / CF 4 / O 2 = 300 / The condition of 100/25/6 sccm is mentioned. What is important here is to use a single carbon atom fluorocarbon and to use 20% or more and less than 50% nitrogen. This is because the use of a single carbon atom fluorocarbon facilitates end point detection by emission spectroscopy, and the inclusion of nitrogen can ensure the selectivity with the lower Aurora film. When these conditions are used, it is possible to easily observe a change in light emission such as SiF = 440 nm, so that the end point can be detected, and processing with less in-plane variation, pattern variation, wafer-to-lot, and lot-to-lot variation is possible.
Further, as shown in FIG. 11H, the Cu cap film 202 disappears during this etching. Thereafter, in order to remove the deposit, a cleaning plasma containing O 2 is slightly irradiated. At this time, a thinner oxide layer is formed on the C / Si = 2.7 and carbon-rich MPS side wall 204 'than on the silicon-rich Aurora side wall 203'. Since the oxidized modified layer in the wiring interlayer low dielectric constant film is thin, an increase in the effective relative dielectric constant can be suppressed. Such a difference in the amount of oxidation between the wiring portion and the via portion can be easily confirmed by dipping in a dilute hydrofluoric acid solution with respect to the fracture surface of the device. For example, it can be confirmed by dipping for 3 to 5 seconds in an aqueous solution containing 6% HF and 30% NH 4 F. On the other hand, the difference in oxide thickness between the wiring sidewall and the via sidewall is evidence that the manufacturing process according to the present invention and the interlayer insulating film structure in which SiOCH films having different chemical compositions are directly laminated are used.
Thereafter, as shown in FIG. 11I, barrier / Cu seed spuck and Cu plating are performed, and a Cu wiring 313 is formed by CMP. At this time, the effective dielectric constant can be reduced by scraping the silicon oxide film. Further, a silicon carbonitride film is formed as a Cu cap film by, for example, a CVD method. By repeating this, a multilayer wiring can be formed.
Although the MPS film is shown as the wiring interlayer low dielectric constant film of this embodiment, it is not particularly limited as long as it is a SiOCH film that can sufficiently ensure the difference in carbon / silicon ratio from the via interlayer low dielectric constant film. The same materials can be applied. Desirably, the carbon / silicon ratio of the wiring interlayer low dielectric constant film is preferably twice or more the carbon / silicon ratio of the via interlayer low dielectric constant film. In addition, in the case of forming a dual damascene opening pattern using the end point detection method for monitoring the plasma emission, the C / Si ratio in the SiOCH film is 1.5 or more in order to suppress the oxidation of the wiring trench side wall by the oxygen ashing process. It is desirable to be.
Although the Aurora film is shown as the via interlayer low dielectric constant film of the embodiment, for example, the carbon / silicon ratio of the via interlayer low dielectric constant film to the carbon / silicon ratio of the wiring interlayer low dielectric constant film, that is, {(C / Si ) Via / (C / Si) wiring} ratio is desirably 0.5 times or less, and the C / Si ratio in the via interlayer low dielectric constant film is 1 or less. Orion, BD / BDII from Applied Materials, CVD-SiOCH film such as Coral from Novellaz, porous SiLK from Dow-Chemical, SiOCH film formed by coating such as NCS from Catalytic Kasei, etc. may be used. Furthermore, a SiOCH film formed by plasma polymerization as disclosed in Patent Document 1 may be used. In view of mounting tolerance, it is preferable to select a material having a higher density in the via interlayer low dielectric constant film than in the wiring interlayer low dielectric constant film. Any of the above materials can be used as the low dielectric constant hard mask. Any film may be used as long as it is a CMP resistant film.
In this example, an example using a silicon charcoal kiln film as a Cu cap film was shown, but the etching selectivity with the low dielectric constant film can be secured, and there is no particular limitation as long as the material has a Cu barrier property, Any material can be used. For example, a silicon carbide film, a silicon nitride film, and the like are listed, but an organic film formed by a plasma polymerization method or a siloxane-containing organic film such as divinylsiloxane / benzoclobutene (DVS-BCB) may be used. .
Furthermore, in the present embodiment, an example in which the MPS film is processed using a hard mask as a mask is shown, but MPS etching may be performed before resist stripping.
(Third embodiment)
In the third embodiment, a multilayer structure will be described.
FIG. 12 is a view showing an embodiment in which a copper multilayer wiring is formed on a carbon-containing low dielectric constant insulating film on a MOSFET 403 separated on a silicon substrate 401 by an element isolation oxide film 402. FIG. The structural features are shown below. Also in this embodiment, by using a mixed gas plasma of Ar / N 2 / CF 4 for MPS etching, groove processing with less in-plane variation, pattern dependency, and wafer-to-lot / lot-to-lot variation is possible. This shows the case where an Aurora film is used as a low dielectric constant film between vias, an MPS film is used as an interlayer film for wiring trenches, and a BDTM film is used as a low-k hard mask. Orion of Applied Materials, BD / BDII of Applied Materials, CVD-SiOCH film such as Coral of Novellaz, porous SiLK of Dow-Chemical, SiOCH film of coating film such as NCS of Catalytic Chemical Co., etc. may be used. Furthermore, a SiOCH film formed by plasma polymerization as shown in Patent Document 1 may be used. A silicon oxide film 405 having a W contact plug 404 is formed on the MOSFET 403, and a 30 nm thick silicon is formed on the silicon oxide film 405 as an etch stop film for a wiring trench corresponding to the first layer copper wiring 406. A carbonitrided film 413 is formed. A 110 nm thick MPS film 414 and a 30 nm thick BD film 415 are formed as a hard mask on the silicon carbonitride film. The silver wiring of the first layer was covered with a barrier film 420 of Ta (10 nm) / TaN (5 nm) in a wiring groove penetrating the laminated insulating film composed of the BD film 415 / MPS film 414 / silicon carbonitride film 413. A Cu film 421 is embedded. This first layer Cu wiring 406 is connected to the W contact plug 404. A 30 nm thick silicon carbonitride film 416 is formed as a via etching stop layer on the first layer Cu wiring 406. Further, an Aurora film 417 having a thickness of 150 nm is formed. The Aurora film 417 may be planarized by CMP or the like. Further, an MPS film 418 having a thickness of 130 nm and a BD film 419 having a thickness of 30 nm are formed as a hard mask on the Aurora film 417. A second Cu wiring 408 in which a Cu film is embedded is formed in a wiring groove that penetrates the BD film 419 / MPS film 418 with respect to the laminated structure insulating film. A first Cu via plug 407 penetrating the Aurora film 417 and the silicon carbonitride film 416 is formed from the bottom of the second copper wiring 408 and connected to the first-layer Cu wiring 406.
By the oxygen plasma cleaning process, the oxidized modified layer 422 exists on the side wall of the Aurora film 417, and the oxidized modified layer 423 thinner than 422 exists on the side wall of the MPS film 418. Such a difference in the amount of oxidation between the wiring portion and the via portion can be easily confirmed by dipping the fracture surface of the device in a dilute hydrofluoric acid aqueous solution. For example, it can be confirmed by dipping for 3 to 5 seconds in an aqueous solution containing 6% HF and 30% NH 4 F. On the other hand, the difference in oxide thickness between the wiring sidewall and the via sidewall is evidence that the manufacturing process according to the present invention and the interlayer insulating film structure in which SiOCH films having different chemical compositions are directly laminated are used. The same structure as the second wiring layer 408 and the via plug 407 can be formed for the Cu wiring layer 410 of the third layer and the Cu via plug 409 connecting the third layer and the second layer, and this structure is overlapped. Thus, a multilayer wiring can be formed.

以上の説明の通り、本発明の多層配線製造方法と多層配線構造と多層配線製造装置は、半導体装置及び電子装置やその製造に適用される。   As described above, the multilayer wiring manufacturing method, multilayer wiring structure, and multilayer wiring manufacturing apparatus according to the present invention are applied to semiconductor devices, electronic devices, and their manufacture.

Claims (16)

下層に位置する第1のSiOCH低誘電率膜と上層に位置する第2のSiOCH低誘電率膜とが直接積層されたSiOCH膜連続体であって、前記第1のSiOCH低誘電率膜のカーボン/シリコン比よりも前記第2のSiOCH低誘電率膜のカーボン/シリコン比が大きいことを特徴とする多層配線の製造方法において、前記上層に位置する第2のSiOCH低誘電率膜を溝加工して前記下層に位置する第1のSiOCH低誘電率膜上で停止させる際、NとCHを少なくとも含む混合ガスプラズマの発光分光による終点検出を用いて加工することを特徴とする多層配線の製造方法。A SiOCH film continuous body in which a first SiOCH low dielectric constant film located in a lower layer and a second SiOCH low dielectric constant film located in an upper layer are directly laminated, and the carbon of the first SiOCH low dielectric constant film In the multilayer wiring manufacturing method, wherein the second SiOCH low dielectric constant film has a larger carbon / silicon ratio than the / silicon ratio, the second SiOCH low dielectric constant film located in the upper layer is grooved. When stopping on the first SiOCH low dielectric constant film located in the lower layer, processing is performed using end point detection by emission spectroscopy of mixed gas plasma containing at least N 2 and CH x F y Wiring manufacturing method. 請求項1に記載の多層配線の製造方法において、前記2種類の低誘電率膜のうち、前記上層に位置する第2のSiOCH低誘電率膜のカーボン/シリコン比が、前記下層に位置する第1のSiOCH低誘電率膜のカーボン/シリコン比に比べて2倍以上大きいことを特徴とする多層配線の製造方法 2. The method for manufacturing a multilayer wiring according to claim 1, wherein a carbon / silicon ratio of a second SiOCH low dielectric constant film located in the upper layer of the two types of low dielectric constant films is located in the lower layer. A method of manufacturing a multilayer wiring, characterized in that it is at least twice as large as the carbon / silicon ratio of a SiOCH low dielectric constant film of 1 請求項1又は2に記載の多層配線の製造方法において、前記混合ガスプラズマにおける、窒素の含有量が20%から50%であることを特徴とする多層配線の製造方法。 3. The method of manufacturing a multilayer wiring according to claim 1, wherein the nitrogen content in the mixed gas plasma is 20% to 50%. 請求項1乃至3の内のいずれか一項に記載の多層配線の製造方法において、前記混合ガスプラズマにおいて、CHがCF、CHF、CHあるいはこれらの混合ガスであることを特徴とする多層配線の製造方法。4. The method for manufacturing a multilayer wiring according to claim 1, wherein CH x F y is CF 4 , CHF 3 , CH 2 F 2, or a mixed gas thereof in the mixed gas plasma. A method for manufacturing a multilayer wiring. 請求項1乃至4の内のいずれか一項に記載の多層配線の製造方法において、下層配線上にバリア絶縁膜、前記第1のSiOCH低誘電率膜からなるビア層間低誘電率膜、前記第2のSiOCH低誘電率膜からなる配線層間低誘電率膜、ハードマスク膜を順に形成する工程と、前記ハードマスク膜上にビア孔レジストパターンを形成する工程と、絶縁膜構造内にビア孔を形成する工程と、酸素プラズマアッシングによってビア孔レジストを除去する工程と、前記ビア孔上に配線溝レジストパターンを形成する工程と、前記配線溝レジストパターンをドライエッチングによって前記ハードマスク膜に転写する工程と、酸素プラズマアッシングによって配線溝レジストを除去する工程と、NとCHを含む混合ガスプラズマを用いて発光分光による終点検出を行いながら前記第2のSiOCH低誘電率膜からなるビア層間低誘電率膜内に溝加工を行う工程とを特徴とする多層配線の製造方法。5. The method for manufacturing a multilayer wiring according to claim 1, wherein a barrier insulating film on a lower wiring, a via interlayer low dielectric constant film made of the first SiOCH low dielectric constant film, A step of forming a wiring interlayer low dielectric constant film composed of two SiOCH low dielectric constant films, a hard mask film, a step of forming a via hole resist pattern on the hard mask film, and a via hole in the insulating film structure; A step of forming, a step of removing the via hole resist by oxygen plasma ashing, a step of forming a wiring groove resist pattern on the via hole, and a step of transferring the wiring groove resist pattern to the hard mask film by dry etching A step of removing the wiring groove resist by oxygen plasma ashing, and a light emission component using a mixed gas plasma containing N 2 and CH x F y And a step of forming a groove in the via interlayer low dielectric constant film made of the second SiOCH low dielectric constant film while detecting the end point by light. 請求項1乃至5の内のいずれか一項に記載の多層配線の製造方法において、下層配線上にバリア絶縁膜、前記第1のSiOCH低誘電率膜からなるビア層間低誘電率膜、前記第2のSiOCH低誘電率膜からなる配線層間低誘電率膜、第3のSiOCH低誘電率膜からなるハードマスク膜、無機ハードマスク膜を順に形成する工程と、前記無機ハードマスク謹上にビア孔レジストパターンを形成する工程と、絶縁膜構造内にビア孔を形成する工程と、酸素プラズマアッシングによってビア孔レジストを除去する工程と、前記ビア孔上に配線溝レジストパターンを形成する工程と、前記配線溝レジストパターンをドライエッチングによって前記無機および第3のSiOCH低誘電率膜からなるハードマスク膜に転写する工程と、酸素プラズマアッシングによって配線溝レジストを除去する工程と、NとCH含む混合ガスプラズマを用いて発光分光による終点検出を行いながら前記第2のSiOCH低誘電率SiOCH低誘電率膜からなるビア層間低誘電率膜内に溝加工を行う工程とを特徴とする多層配線の製造方法。6. The method of manufacturing a multilayer wiring according to claim 1, wherein a barrier insulating film on a lower wiring, a via interlayer low dielectric constant film made of the first SiOCH low dielectric constant film, A step of forming a wiring interlayer low dielectric constant film made of 2 SiOCH low dielectric constant film, a hard mask film made of a third SiOCH low dielectric constant film, an inorganic hard mask film, and a via hole resist on the inorganic hard mask ridge A step of forming a pattern, a step of forming a via hole in the insulating film structure, a step of removing a via hole resist by oxygen plasma ashing, a step of forming a wiring groove resist pattern on the via hole, and the wiring Transferring a groove resist pattern to the hard mask film made of the inorganic and third SiOCH low dielectric constant films by dry etching; Removing the wiring trench resist by sequencing, via layer consisting of N 2 and CH x F y wherein while the end point detection by emission spectroscopy using a mixed gas plasma containing a second SiOCH low-k SiOCH low-k layer A method of manufacturing a multilayer wiring, comprising a step of performing groove processing in a low dielectric constant film. 半導体基板又は半導体層に形成された少なくとも1つの回路素子と、前記少なくとも1つの回路素子に電気的に接続された状態で前記半導体基板上又は前記半導体層上に形成された多層配線構造であって、絶縁膜に形成される配線溝およびビア孔に金属配線を充填して形成された配線およびビア孔プラグを有する単位配線構造が複数積層される多層配線構造において、配線層間低誘電率膜中のカーボン/シリコン比がビア層間低誘電率膜中のカーボン/シリコン比に比べて大きいことを特徴とする多層配線構造。 A multilayer wiring structure formed on the semiconductor substrate or on the semiconductor layer in a state of being electrically connected to the at least one circuit element and at least one circuit element formed on the semiconductor substrate or semiconductor layer; In a multi-layer wiring structure in which a plurality of unit wiring structures having wiring and via hole plugs formed by filling metal grooves in wiring grooves and via holes formed in an insulating film are stacked, A multilayer wiring structure characterized in that the carbon / silicon ratio is larger than the carbon / silicon ratio in the via interlayer low dielectric constant film. 請求項7に記載の多層配線構造において、前記配線層間低誘電率膜中のカーボン/シリコン比が前記ビア層間低誘電率膜中のカーボン/シリコン比に比べて2倍以上大きいことを特徴とする多層配線構造。 8. The multilayer wiring structure according to claim 7, wherein a carbon / silicon ratio in the wiring interlayer low dielectric constant film is twice or more larger than a carbon / silicon ratio in the via interlayer low dielectric constant film. Multi-layer wiring structure. 請求項7または8の内のいずれか一項に記載の多層配線構造前記配線層間低誘電率膜および前記ビア層間低誘電率膜の少なくとも1種類は空孔を内含するポーラス膜であることを特徴とする多層配線構造。 The multilayer wiring structure according to any one of claims 7 and 8, wherein at least one of the wiring interlayer low dielectric constant film and the via interlayer low dielectric constant film is a porous film including pores. Characteristic multilayer wiring structure. 請求項7乃至9の内のいずれか一項に記載の多層配線構造において、前記配線層間低誘電率膜がSiOCHから構成され、そのカーボン/シリコン比が15以上であることを特徴とする多層配線構造。 10. The multilayer wiring structure according to claim 7, wherein the wiring interlayer low dielectric constant film is made of SiOCH, and a carbon / silicon ratio thereof is 15 or more. Construction. 請求項7乃至10の内のいずれか一項に記載の多層配線構造前記配線層間低誘電率膜がSiOCHから構成され、そのカーボン/シリコン比が1以上であり、かつ環状シリカ骨格を有することを特徴とする多層配線構造。 The multilayer wiring structure according to any one of claims 7 to 10, wherein the wiring interlayer low dielectric constant film is made of SiOCH, has a carbon / silicon ratio of 1 or more, and has a cyclic silica skeleton. Characteristic multilayer wiring structure. 請求項7乃至11の内のいずれか一項に記載の多層配線構造において、前記配線層間低誘電率膜がSiOCHから構成され、そのカーボン/シリコン比が15以上であり、かつ3個のシリコン原子と3個の酸素原子からなる6員環状シリカ骨格を有することを特徴とする多層配線構造。 12. The multilayer wiring structure according to claim 7, wherein the wiring interlayer low dielectric constant film is made of SiOCH, the carbon / silicon ratio is 15 or more, and three silicon atoms And a six-membered cyclic silica skeleton composed of three oxygen atoms. 請求項7乃至12の内のいずれか一項に記載の多層配線構造において、前記配線層間低誘電率膜中に不飽和炭化水素を含むことを特徴とする多層配線構造。 The multilayer wiring structure according to any one of claims 7 to 12, wherein the wiring interlayer low dielectric constant film contains an unsaturated hydrocarbon. 請求項7乃至13の内のいずれか一項に記載の多層配線構造において、前記配線層間低誘電率膜の上にシリコン酸化膜が積層されていることを特徴とする多層配線構造。 14. The multilayer wiring structure according to any one of claims 7 to 13, wherein a silicon oxide film is laminated on the wiring interlayer low dielectric constant film. 請求項7乃至14の内のいずれか一項に記載の多層配線構造において、前記ビア層間低誘電率膜の側壁に形成される酸化改質層の厚さよりも前記配線層間低誘電率膜の側壁に形成される酸化改質層の厚さが薄いことを特徴とする多層配線構造。 15. The multilayer wiring structure according to claim 7, wherein the sidewall of the low-dielectric-constant film between the wiring layers is thicker than the thickness of the oxidation-modified layer formed on the sidewall of the low-dielectric-constant film between the vias. A multilayer wiring structure characterized in that the thickness of the oxidation-modified layer formed on the substrate is thin. 化学組成の異なるSiOCH膜を直接積層した層間絶縁膜の内部を終点とする開口加工に対し、プラズマ中のSiFの発光強度の時間変化から終点検出を行って自動的に停止させるプログラムを有するマイクロコンピュータを備えている多層配線製造装置。 Microcomputer having a program for automatically stopping by detecting the end point from the temporal change of the emission intensity of SiF in the plasma for the opening process having the end point in the interlayer insulating film in which SiOCH films having different chemical compositions are directly laminated A multilayer wiring manufacturing apparatus comprising:
JP2008520553A 2006-06-09 2007-05-29 Multilayer wiring manufacturing method, multilayer wiring structure and multilayer wiring manufacturing apparatus Pending JPWO2007142172A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2006161204 2006-06-09
JP2006161204 2006-06-09
PCT/JP2007/061253 WO2007142172A1 (en) 2006-06-09 2007-05-29 Multi-layered wiring manufacturing method, multi-layered wiring structure, and multi-layered wiring manufacturing apparatus

Publications (1)

Publication Number Publication Date
JPWO2007142172A1 true JPWO2007142172A1 (en) 2009-10-22

Family

ID=38801423

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008520553A Pending JPWO2007142172A1 (en) 2006-06-09 2007-05-29 Multilayer wiring manufacturing method, multilayer wiring structure and multilayer wiring manufacturing apparatus

Country Status (2)

Country Link
JP (1) JPWO2007142172A1 (en)
WO (1) WO2007142172A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010171081A (en) * 2009-01-20 2010-08-05 Toshiba Corp Semiconductor device and manufacturing method thereof
JP5671253B2 (en) 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
WO2013045854A1 (en) 2011-09-29 2013-04-04 Ecole Normale Superieure De Lyon Fluorogenic peptidase substrate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003012776A (en) * 2001-06-29 2003-01-15 Nec Corp Method for preparing copolymer film, copolymer film prepared by the same method and semiconductor device utilizing the same polymer film
JP2003133411A (en) * 2001-10-30 2003-05-09 Nec Corp Semiconductor device and its manufacturing method
JP2003347279A (en) * 2002-05-24 2003-12-05 Renesas Technology Corp Method for manufacturing semiconductor device
JP2004193627A (en) * 1999-06-24 2004-07-08 Renesas Technology Corp Method of manufacturing semiconductor integrated circuit device

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3217581B2 (en) * 1994-02-25 2001-10-09 東京エレクトロン株式会社 Etching end point detection method
JPH10261624A (en) * 1997-03-19 1998-09-29 Nec Corp Etching and multilayered interconnection structure
JP2001093975A (en) * 1999-09-21 2001-04-06 Toshiba Corp Semiconductor device and its manufacturing method
WO2005053009A1 (en) * 2003-11-28 2005-06-09 Nec Corporation Porous insulating film, method for producing same, and semiconductor device using porous insulating film
JP2005191254A (en) * 2003-12-25 2005-07-14 Fujitsu Ltd Method of manufacturing semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004193627A (en) * 1999-06-24 2004-07-08 Renesas Technology Corp Method of manufacturing semiconductor integrated circuit device
JP2003012776A (en) * 2001-06-29 2003-01-15 Nec Corp Method for preparing copolymer film, copolymer film prepared by the same method and semiconductor device utilizing the same polymer film
JP2003133411A (en) * 2001-10-30 2003-05-09 Nec Corp Semiconductor device and its manufacturing method
JP2003347279A (en) * 2002-05-24 2003-12-05 Renesas Technology Corp Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
WO2007142172A1 (en) 2007-12-13

Similar Documents

Publication Publication Date Title
US7125792B2 (en) Dual damascene structure and method
JP4492947B2 (en) Manufacturing method of semiconductor device
KR100768363B1 (en) Production method for semiconductor integrated circuit device and semiconductor integrated circuit device
US6617244B2 (en) Etching method
JP5671253B2 (en) Manufacturing method of semiconductor device
EP1233449A2 (en) A method of fabricating a semiconductor device
JP4256347B2 (en) Manufacturing method of semiconductor device
TW200809923A (en) Dual-damascene process to fabricate thick wire structure
JP2010016083A (en) Method for manufacturing semiconductor device
JP4492949B2 (en) Manufacturing method of electronic device
JP2005116801A (en) Method for manufacturing semiconductor device
US7091612B2 (en) Dual damascene structure and method
US7816279B2 (en) Semiconductor device and method for manufacturing the same
JPWO2007142172A1 (en) Multilayer wiring manufacturing method, multilayer wiring structure and multilayer wiring manufacturing apparatus
JP4523351B2 (en) Manufacturing method of semiconductor device
US7172965B2 (en) Method for manufacturing semiconductor device
JP2006216964A (en) Method for manufacturing dual damascene wiring of semiconductor element using via capping protection film
JP2005328060A (en) Manufacturing method of semiconductor device
JP2003332337A (en) Manufacturing method of semiconductor device
JP4067357B2 (en) Etching method
KR20030050951A (en) Method for forming metal wiring of semiconductor device
JP2005005697A (en) Manufacturing method of semiconductor device
JP2004363447A (en) Semiconductor device and method of manufacturing the same
JP2011077468A (en) Semiconductor device manufacturing method and semiconductor device
JP2010016236A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100614

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110406

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110928