WO2004094692A1 - Continuous flow atomic layer deposition system - Google Patents

Continuous flow atomic layer deposition system Download PDF

Info

Publication number
WO2004094692A1
WO2004094692A1 PCT/US2004/006455 US2004006455W WO2004094692A1 WO 2004094692 A1 WO2004094692 A1 WO 2004094692A1 US 2004006455 W US2004006455 W US 2004006455W WO 2004094692 A1 WO2004094692 A1 WO 2004094692A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
reaction chamber
processing region
deposition
reaction
Prior art date
Application number
PCT/US2004/006455
Other languages
French (fr)
Inventor
Piero Sferlazzo
Original Assignee
Fluens Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fluens Corporation filed Critical Fluens Corporation
Publication of WO2004094692A1 publication Critical patent/WO2004094692A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Definitions

  • CVD Chemical Vapor Deposition
  • the reaction of precursor gas molecule A and precursor gas molecule B at a surface of a substrate or work piece is activated or enhanced by adding energy.
  • Energy can be added in many ways. For example, energy can be added by increasing the temperature at the surface and/or by exposing the surface to a plasma discharge or an ultraviolet (UV) radiation source.
  • the product of the reaction is the desired film and some gaseous by-products, which are typically pumped away from the process chamber.
  • CVD reactions occur in the gaseous phase.
  • the CVD reactions are strongly dependent on the spatial distribution of the precursor gas molecules.
  • Non-uniform gas flow adjacent to the substrate can result in poor film uniformity and shadowing effects in three-dimensional features, such as vias, steps and other over-structures.
  • the poor film uniformity and shadowing effects result in poor step coverage.
  • some of the precursor molecules stick to a surface of the CVD chamber and react with other impinging molecules, thereby changing the spatial distribution of the precursor gases and, therefore, the uniformity of the deposited film.
  • FIG. 1 illustrates a perspective view of an embodiment of an ALD system according the present invention.
  • FIG. 2 illustrates a flow chart of a method of performing ALD according to the present invention for the ALD system described in connection with FIG. 1 .
  • FIG. 3 illustrates a top view of the ALD system of FIG. 1 that shows a particular reaction chamber and gas injection manifold design according to the present invention.
  • FIG. 4A,B illustrate two embodiments of a gas injection manifold for an ALD system according to the present invention.
  • FIG. 5 illustrates a perspective view of an embodiment of an ALD system having a reaction chamber including a plasma generator that is used for plasma enhanced ALD processing.
  • FIG. 6 illustrates a perspective view of an embodiment of an ALD system including a plurality of reaction chambers according the present invention.
  • FIG. 7 illustrates a perspective view of an embodiment of an ALD system that includes reaction chambers that rotate relative to the substrates according the present invention.
  • FIG. 8A,B illustrate two techniques of sealing the reaction chambers according to the present invention to prevent reactants from escaping from the reaction chambers.
  • FIG. 9 illustrates a differentially pumped interface that can be used to seal the reaction chambers according to the present invention to prevent reactants from escaping from the reaction chambers.
  • Atomic Layer Deposition is a variation of CVD that uses a self-limiting reaction.
  • self-limiting reaction is defined herein to mean a reaction that limits itself in some way. For example, a self-limiting reaction can limit itself by terminating after a reactant is completely consumed by the reaction.
  • One method of ALD sequentially injects a pulse of one type of precursor gas into a reaction chamber. After a predetermined time, another pulse of a different type of precursor gas is injected into the reaction chamber to form a monolayer of the desired material. This method is repeated until a film having the desired thickness is deposited onto the surface of the substrate.
  • ALD can be performed by sequentially combining precursor gas A and precursor gas B in a process chamber.
  • a gas source injects a pulse of precursor gas A molecules into the process chamber. After a short exposure time, a monolayer of precursor gas A molecules deposits on the surface of the substrate.
  • the process chamber is then purged with an inert gas.
  • precursor gas A molecules stick to the surface of the substrate in a relatively uniform and conformal manner.
  • the monolayer of precursor gas A molecules covers the exposed areas including vias, steps and surface structures in a relatively conformal manner with relatively high uniformity and minimal shadowing.
  • Process parameters such as chamber pressure, surface temperature, gas injection time, and gas flow rate can be selected so that only one monolayer remains stable on the surface of the substrate at any given time.
  • the process parameters can be selected for a particular sticking coefficient.
  • Plasma pre-treatment can also be used to control the sticking coefficient.
  • another gas source briefly injects precursor gas B molecules into the process chamber.
  • a reaction between the injected precursor gas B molecules and the precursor gas A molecules that are stuck to the substrate surface occurs and that forms a monolayer of the desired film that is typically about 1 -2 Angstroms thick. This reaction is self-limiting because the reaction terminates after all the precursor gas A molecules are consumed in the reaction.
  • the process chamber is then purged with an inert gas.
  • the monolayer of the desired film covers the exposed areas including vias, steps and surface structures in a relatively conformal manner with relatively high uniformity and minimal shadowing.
  • the precursor gas A and the precursor gas B molecules are then cycled sequentially until a film having the desired total film thickness is deposited on the substrate. Cycling the precursor gas A and the precursor gas B prevents reactions from occurring in the gaseous phase and generates a more controlled reaction.
  • Atomic Layer Deposition has been shown to be effective in producing relatively uniform, pinhole-free films having thickness that are only a few Angstroms thick.
  • Dielectrics have been deposited using ALD that exhibit relatively high breakdown voltages and relatively high film integrity compared with other methods, such as PVD, thermal evaporation and CVD.
  • the ALD processing system eliminates non- uniformities and poor film integrity that are caused when the precursor gas sources are cycled by injection and purging in known ALD systems.
  • the ALD system according to the present invention includes at least two spatially separated reaction chambers and a transport mechanism that transports substrates relative to the reaction chambers.
  • the substrates can be transported relative to the reaction chambers in a continuous motion.
  • Another transport mechanism can transport the reaction chambers relative to the substrates.
  • FIG. 1 illustrates a perspective view of an embodiment of an ALD system 1 00 according the present invention.
  • the ALD system 100 includes a deposition chamber 102 that includes a first 104 and a second reaction chamber 106, a processing region 108, and a transport mechanism 1 1 0 that supports substrates 1 1 2 and transfers the substrates 1 1 2 relative to the first reaction chamber 104, the second reaction chamber 1 06, and the processing region 1 08.
  • a vacuum pump 1 14 is positioned in fluid communication with the deposition chamber 1 02.
  • the vacuum pump 1 14 evacuates the deposition chamber 1 02 to the desired operating pressure.
  • the vacuum pump 1 1 4 and the associated control system can also be used to control the pressure during processing and can purge the deposition chamber 1 02 of reactant gases and gas by-products during and after processing.
  • the first 104 and the second reaction chamber 1 06 are positioned inside of the deposition chamber 102 and are designed to contain a reactant and also to prevent that reactant species from escaping into other areas of the deposition chamber 102. There are numerous ways to seal the reaction chambers 1 04, 1 06 relative to the deposition chamber 1 02 to prevent reactants from escaping from the reaction chambers 1 04, 1 06 as described herein.
  • the reaction chambers 1 04, 1 06 each include a gas injection manifold 1 1 6a,b that injects precursor gas molecules into the reaction chambers 1 04, 106.
  • Numerous types of gas injection manifolds can be used.
  • the shape of the gas injection manifold 1 1 6a,b can be chosen to provide a substantially constant flow of reactant species as the substrate passes through the reaction chambers 1 04, 1 06 at a particular rotation rate.
  • the ALD system 1 00 does not require a gas injection system with precise control over the gas injection volume and time interval of injection that is commonly used in many other known ALD systems. Therefore, the ALD system 1 00 is relatively simple and inexpensive to manufacture.
  • At least one of the reaction chambers 104, 106 includes a plasma generator that is used for plasma enhanced ALD processing.
  • the plasma generator can be physically located 'in the reaction chambers 1 04, 1 06 so that it generates a plasma directly in the reaction chambers 1 04, 1 06.
  • the plasma generator can be remotely located relative to the reaction chambers 1 04, 1 06 in a downstream configuration. In the downstream configuration, a plasma is generated by a remote plasma source that is physically located outside of the reaction chambers 1 04, 1 06 and then the plasma is directed into the reaction chambers 1 04, 106.
  • the processing region 108 is positioned inside the deposition chamber 102 and includes one or more apparatus 1 1 8 for performing at least one surface treatment or combination of surface treatments on the substrates 1 1 2 passing through the processing region 1 08.
  • the apparatus 1 1 8 for performing the surface treatments on the substrates can be physically located inside the processing region 1 08 or can be remotely located relative to the processing region 1 08 as shown in FIG. 1 .
  • the processing region 1 08 is shaped so as to causes a substantially constant exposure of the surface treatment performed on the substrates 1 1 2 passing through the processing region 1 08.
  • the apparatus 1 1 8 for performing the surface treatments in the processing region 1 08 can perform one or more types of surface treatments.
  • the apparatus 1 1 8 in the processing region 1 08 can be used to clean the surface of the substrates 1 1 2 passing through the processing region 1 08.
  • the apparatus 1 1 8 in the processing region 1 08 can also be used to modify the sticking coefficient on the surface of the substrates 1 1 2 and/or to activate a reaction on the surface of the substrates 1 1 2.
  • the apparatus 1 1 8 in the processing region 108 can be used to deposit a metallic, semiconductor, or dielectric film on the surface of the substrates 1 1 2.
  • the apparatus 1 18 in the processing region 108 is a plasma generator.
  • the plasma generator can be used to expose the substrates 1 1 2 passing through the processing region 1 08 to a plasma that performs a surface treatment on the substrates 1 1 2.
  • the plasma generator can be a magnetron plasma generator.
  • the plasma generator can be a down-stream plasma generator, such as a down-stream microwave or ECR plasma source, that is remotely located relative to the processing region 108.
  • the plasma generated by the plasma generator can be used to clean the surface of the substrates 1 1 2 before ALD processing.
  • the plasma generated by the plasma generator can be used to surface treat the substrates 1 1 2 between exposures of precursor gas molecules during ALD processing.
  • the plasma generated by the plasma generator can be used to sputter metallic or dielectric material on the surface of the substrates 1 1 2.
  • the apparatus 1 1 8 for performing surface treatments in the processing region 1 08 is an energy source.
  • the apparatus 1 1 8 for performing surface treatments can include at least one of an ion beam source, an electron beam source or an UV radiation source.
  • the energy source can be positioned inside the processing region 108 or can be remotely located relative to the processing region 1 08 as shown in FIG. 1 .
  • the processing region 1 08 is used to expose the substrates 1 1 2 to an energy source.
  • the energy source can be used for many applications, such as activating a reaction on the surface of the substrate 1 1 2, removing by-product materials, and cleaning the surface of the substrates 1 1 2.
  • the energy source can include a distribution grid 1 20 to direct the energy to substrates 1 12 passing through the processing region 108.
  • the hole pattern in the distribution grid 1 20 is chosen so that the substrates 1 1 2 are exposed to a constant dose of energy as they pass through the processing region 1 08.
  • the transport mechanism 1 10 includes at least one substrate support 1 22 that supports the substrates 1 1 2 or work pieces during ALD processing.
  • the transport mechanism 1 1 0 is mechanically connected to a motor 1 24 that rotates the substrate support 1 22.
  • the desired number of substrate supports 1 22 depends upon the desired throughput of the ALD system 1 00.
  • a port 1 26 in the deposition chamber 1 02 provides access to inside the deposition chamber 1 02 so that the substrates 1 1 2 can be transported onto the substrate supports 1 22 for ALD processing and removed from the deposition chamber 1 02 after ALD processing.
  • a transfer mechanism (not shown) positions the substrates
  • the port 1 26 is in fluid communication with another processing tool (not shown) so that substrates 1 1 2 can be transported to and from the other processing tool without exposing the substrates 1 1 2 to atmospheric pressure.
  • the deposition chamber 102 can be part of a cluster tool (not shown) in which the substrates 1 1 2 are transported to and from another process chamber in the cluster tool to and from the deposition chamber 1 02.
  • the transport mechanism 1 10 transports at least one substrate 1 1 2 relative to the first reaction chamber 1 04, the second reaction chamber 1 06, and the processing region 1 08.
  • the transport mechanism 1 10 transports the substrate supports 1 22 holding the substrates 1 1 2 while the first 1 04 and the second reaction chamber 1 06 remain in a fixed position.
  • the transport mechanism 1 1 0 transports the substrate supports 1 22 in a path through the first reaction chamber 1 04, through the second reaction chamber 1 06, and through the processing region 1 08 during ALD processing.
  • the transport mechanism 1 10 can be a rotating member, such as a rotating disk, that is attached to the substrate supports 1 22 and the motor 1 24.
  • the rotating member rotates the substrates 1 1 2 in the path through the first reaction chamber 1 04, through the second reaction chamber 106, and through the processing region 1 08.
  • the rotating member transport mechanism 1 1 0 can provide a high- degree of deposition uniformity because of the rotational symmetry provided by the disk.
  • the transport mechanism 1 1 0 transports the first reaction chamber 1 04, the second reaction chamber 1 06, and the processing region 1 08 relative to the substrates 1 1 2, while the substrates 1 1 2 remain in a fixed position.
  • the reaction chambers 104, 1 06 and the processing region 108 are attached to a rotating member (not shown) that rotates the reaction chambers 1 04, 1 06 and the processing region 1 08 relative to the substrate supports 1 22.
  • the transport mechanism 1 10 rotates the substrates
  • reaction chambers 1 04, 106, and the processing region 108 relative to each other.
  • the reaction chambers 1 04, 1 06 and the processing region 1 08 are attached to a first rotating member (not shown) and the substrate supports 1 22 are attached to a second rotating member (not shown).
  • FIG. 2 illustrates a flow chart 1 50 of a method of performing ALD according to the present invention for the ALD system 1 00 described in connection with FIG. 1 .
  • the method is described in connection with the ALD system of FIG. 1 where the first 104 and the second reaction chamber 1 06 remain in a fixed position while the substrates 1 1 2 are transported through the reaction chambers 1 04, 1 06.
  • the substrates 1 1 2 and/or the reaction chambers 1 04, 106 are transported are within the scope of the present invention.
  • a first step 1 52 the deposition chamber 1 02 is evacuated to the desired operating pressure by the vacuum pump 1 14.
  • precursor gas A molecules are injected into the first reaction chamber 1 04 to create the desired partial pressure of precursor gas A in the first reaction chamber 104.
  • precursor gas A and a second precursor gas are injected into the first reaction chamber 1 04.
  • precursor gas A and a non-reactive gas are injected into the first reaction chamber 104.
  • the temperature of the first reaction chamber 104 is controlled to a temperature that promotes the desired reaction with the surface of the substrates 1 1 2 passing through the first reaction chamber 1 04.
  • precursor gas B molecules are injected into the second reaction chamber 1 06 to create the desired partial pressure of precursor gas B.
  • precursor gas B and a second precursor gas are injected into the second reaction chamber 1 06.
  • precursor gas B and a non-reactive gas are injected into the second reaction chamber 1 06.
  • the temperature of the second reaction chamber 1 06 is controlled to a temperature that promotes the desired reaction with the surface of the substrates 1 1 2 passing through the second reaction chamber 1 06.
  • the second step 1 54 and the third step 1 56 can be performed in any order or can be performed simultaneously.
  • a fourth step 1 58 is used to pre-treat a substrate 1 1 2 in the deposition chamber 1 02.
  • the substrate can be exposed to a plasma or energy source, such as an ion beam, electron beam, or UV radiation source.
  • the pre-treatment can clean the substrate 1 1 2 and/or control the sticking coefficient on the surface of the substrate 1 1 2.
  • the fourth step 1 58 can be performed at any time during the process.
  • the fourth step 1 58 can also be performed directly after the deposition chamber 102 is evacuated to the desired operating pressure in the first step 1 52.
  • a fifth step 1 60 the substrate 1 1 2 is transported from the deposition chamber
  • the substrate 1 1 2 is then transported through the first reaction chamber 1 04 to expose the substrate 1 1 2 to precursor gas A molecules.
  • the substrate 1 1 2 is processed while it is being transported through the first reaction chamber 104.
  • the transportation or rotation rate is chosen so that the substrate 1 1 2 remains in the first reaction chamber 1 04 for a first predetermined time that is sufficient to cause the desired exposure of the substrate 1 1 2 to the partial pressure of precursor gas A molecules in the first reaction chamber 1 04.
  • the first predetermined time is also chosen so that the substrate 1 1 2 has the desired exposure to the ALD processing.
  • precursor gas A molecules stick to the surface of the substrate 1 1 2 in a highly uniform and conformal manner and form a monolayer of precursor gas A molecules that covers every exposed area including vias, steps and surface structures.
  • a sixth step 1 62 the substrate 1 12 containing the monolayer of precursor gas A molecules is transported out of the first reaction chamber 1 04 and back into the deposition chamber 1 02.
  • the substrate 1 1 2 containing the monolayer of precursor gas A molecules are processed in the processing region 1 08 of the deposition chamber 1 02.
  • the substrate 1 12 is exposed to a plasma, an energy source, or other type of surface treatment in the deposition chamber 102.
  • the substrate 1 1 2 containing the monolayer of precursor gas A molecules is transported from the deposition chamber 1 02 to the second reaction chamber 106.
  • the substrate 1 12 is then transported through the second reaction chamber 1 06 to expose the substrate 1 1 2 to precursor gas B molecules.
  • the substrate 1 1 2 is processed while it is being transported through the second reaction chamber 1 06.
  • the transportation or rotation rate is chosen so that the substrate 1 1 2 remains in the second reaction chamber 1 06 for a second predetermined time that is sufficient to cause the desired exposure of the substrate 1 1 2 to the partial pressure of precursor gas B molecules in the second reaction chamber 106.
  • the second predetermined time is also chosen so that the substrate 1 1 2 has the desired exposure to the ALD processing.
  • precursor gas B molecules stick to the surface of the conformal coating of precursor gas A molecules.
  • a reaction between the precursor gas B molecules and the precursor gas A molecules occurs.
  • the reaction is self-limiting because the reaction terminates after all the precursor gas A molecules are consumed in the reaction.
  • a monolayer of the desired film develops on the surface of the substrate 1 1 2 that is typically about 1 -2 Angstroms thick. The monolayer covers all of the exposed areas, including vias, steps or surface structures, in a relatively uniform manner without any shadowing.
  • the substrate 1 1 2 is transported out of the second reaction chamber 1 06 and back into the deposition chamber 1 02.
  • the substrate 1 1 2 containing the monolayer of the desired film is processed in processing region 108 of the deposition chamber 1 02.
  • the substrate 1 1 2 is exposed to a plasma, ion beam, electron beam or other type of surface treatment while in the processing region 1 08 of the deposition chamber 1 02.
  • the substrate 1 1 2 remains in the deposition chamber 1 02 for a predetermined time interval.
  • the fifth step 1 60 through the tenth step 1 70 are then repeated until a film having the desired film thickness and film properties is deposited on the surface of the substrate 1 1 2.
  • the substrate 1 1 2 is sequentially transported from the deposition chamber 1 02 to the first reaction chamber 104, back to the processing region 1 08 in the deposition chamber 1 02, to the second reaction chamber 1 06, and then back to the deposition chamber 102.
  • the substrate 1 1 2 is rotated at a substantially continuous rotation rate from the deposition chamber 102 to the first reaction chamber! 04, back to the processing region 108 of the deposition chamber 1 02, to the second reaction chamber 1 06, and then back to the deposition chamber 1 02.
  • the time period that the substrate 1 1 2 is exposed to the precursor gas A molecules and the precursor gas B molecules in the first 104 and the second reaction chamber 1 06, respectively, is determined by the rotation rate of the substrate 1 1 2 within the deposition chamber 102.
  • the time periods that the substrate 1 1 2 is pretreated in the fourth step 1 58, and processed in the seventh 1 64 and the tenth step 1 70, is determined by the rotation rate of the substrate 1 1 2 within the deposition chamber 1 02.
  • FIG. 3 illustrates a top view 200 of the ALD system of FIG. 1 that shows a particular reaction chamber and gas injection manifold design according to the present invention.
  • the reaction chambers 1 04, 106 shown in FIG. 3 are shaped and positioned to achieve a constant exposure of the substrates 1 1 2 to reactant species when the transport mechanism 1 10 transports the substrates 1 1 2 in the path through the reaction chambers 1 04, 1 06 at a constant rotation rate.
  • a first 202 and a second radial edge 204 of the first 1 04 and the second reaction chamber 1 06 are approximately aligned to a center 206 of the deposition chamber 102.
  • This design provides a constant exposure to substrates 1 1 2 passing through the reaction chambers 1 04, 1 06 by compensating for the radial dependence on the velocity of the substrates 1 12 rotating through the reaction chambers 1 04, 106.
  • Providing a constant exposure can increase the throughput a deposition system because the predetermined exposure times can be minimized. Providing a constant exposure can even increase the throughput of ALD deposition systems having self- limiting reactions because achieving a constant exposure will eliminate the need to over-expose some areas of the substrates 1 1 2.
  • the top view 200 of the ALD system of FIG. 1 also shows the gas flow of a particular gas injection manifold design according to the present invention.
  • the gas injection manifolds 1 1 6a,b inject precursor gas A into the first reaction chamber 104 and precursor gas B into the second reaction chamber 1 06.
  • the gas manifolds 1 1 6a,b are delta shaped. The delta shape is chosen so as to maintain a uniform gas flow over the reaction chambers 104, 106, while the substrates 1 1 2 are transported through the reaction chambers 1 04, 1 06 at a constant rotation rate.
  • the precursor gases flow from the center gas manifold section 1 1 6a to the outer gas manifold section 1 1 6b as shown by the arrows 208.
  • the top view 200 of the ALD system of FIG. 1 also shows the distribution grid 1 20 that is used to direct the energy to substrates 1 1 2 passing through the processing region 1 08.
  • the grid 1 20 defines apertures in a delta shaped pattern so as to expose substrates 1 1 2 rotating through the processing region 1 08 at a constant rotation rate to a constant dose of energy.
  • FIG. 4A,B illustrate two embodiments of a gas injection manifold 250, 252 for an ALD system according to the present invention.
  • FIG. 4A illustrates the gas injection manifold 1 1 6a, b that includes the center gas manifold section 1 1 6a and the outer gas manifold section 1 1 6b that were described in connection with FIG. 2.
  • An input arrow 254 indicates the flow of precursor gases from a gas source (not shown) into the center gas manifold section 1 1 6a.
  • a plurality of arrows 256 indicate the flow of precursor gas from the center gas manifold section 1 1 6a to the outer gas manifold section 202b.
  • An output arrow 258 indicates the flow of precursor and by-product gases flowing from the reaction chambers 1 04, 1 06 to an exhaust gas system (not shown).
  • FIG. 4B illustrates another embodiment of a gas injection manifold 252 of an ALD system according to the present invention.
  • the gas injection manifold 252 includes a main manifold section 260 and three gas distribution sections 262.
  • An input arrow 264 indicates the flow of precursor gases from a gas source (not shown) into the main manifold section 260.
  • a plurality of arrows 264 indicate the flow of precursor gas from the three gas distribution sections 262 into the reaction chambers 104, 1 06.
  • FIG. 5 illustrates a perspective view of an embodiment of an ALD system 280 having a reaction chamber 282 including a plasma generator 284 that is used for plasma enhanced ALD processing.
  • the ALD system 280 is similar to the ALD system 100 described in connection with FIG. 1 .
  • the ALD system 280 includes the reaction chamber 282 having the plasma generator 284.
  • the plasma generator 284 generates a plasma 286 that is used for the plasma enhanced processing.
  • the plasma generator 284 can be physically located in the reaction chamber 282 as shown in FIG. 5 so that it generates the plasma 286 directly in the reaction chamber 282.
  • the plasma generator 284 can be remotely located relative to the reaction chamber 282 in a downstream configuration. In the downstream configuration, the plasma 286 is generated by a remote plasma source (not shown) that is physically located outside of the reaction chamber 282 and then the plasma 286 is directed into the reaction chamber 282.
  • FIG. 6 illustrates a perspective view of an embodiment of an ALD system 300 including a plurality of reaction chambers according the present invention.
  • the deposition chamber 102 includes four reaction chambers: a first 302, second 304, third 306, and fourth reaction chamber 308.
  • the ALD system 300 includes additional reaction chambers (i.e. a fifth and sixth, etc.).
  • the ALD system 300 also includes the processing region 108 that can include the apparatus 1 1 8 (FIG. 1 ) for performing surface treatments that is described herein.
  • the transport mechanism 1 10 transfers the substrates 1 1 2 relative to the first 302, second 304, third 306, and fourth reaction chambers 308, and the processing region 108 (FIG. 3) as described herein.
  • the deposition chamber 102 includes a port 1 26 that provides access to inside the deposition chamber 1 02 so that the substrates 1 1 2 can be inserted for processing and removed after processing.
  • the ALD system 300 includes a transfer mechanism (not shown) that positions the substrates 1 1 2 adjacent to the port 1 26 so that the substrates 1 1 2 can be easily inserted and removed from the deposition chamber 1 02.
  • the third 306 and fourth reaction chamber 308 can contain the same precursor gases as the first 302 and the second reaction chamber 304 and can be used to increase the throughput. In this embodiment, one rotation of the substrates 1 1 2 deposits two monolayers of the desired film.
  • the third 306 and fourth reaction chamber 308 can contain different precursor gases that are used to deposit a different type of material on the substrates 1 1 2.
  • the third 306 and the fourth reaction chamber 308 contain precursor gas C and precursor gas D, respectively, that are different from precursor gas A and precursor gas B.
  • Monolayers of two different types of material can be deposited in any desired sequence.
  • a predetermined number of monolayers of one type of film can be deposited on the surface of a substrate 1 1 2 and then a predetermined number of monolayers of another type of film can be deposited on the surface of the substrate 1 1 2.
  • Additional reaction chambers i.e. a fifth and sixth, etc. can be added to further increase the number of different types of monolayers that can be deposited on the surface of the substrate 1 12.
  • the ALD system 300 of the present invention has relatively high throughput and can be scaled to accommodate a relatively high volume of substrates because multiple substrates 1 1 2 can be simultaneously processed.
  • multiple substrates 1 1 2 can be processed simultaneously in the ALD system 300 by sequentially rotating substrates 1 1 2 in the deposition chamber 1 02 to the first reaction chamber 302, back to the deposition chamber 1 02, to the second reaction chamber 304, back to the deposition chamber 1 02, to the third reaction chamber 306, back to the deposition chamber 1 02, to the fourth reaction chamber 308, and then back to the deposition chamber 1 02.
  • FIG. 7 illustrates a perspective view of an embodiment of an ALD system 350 that includes reaction chambers 352, 354 that rotate relative to the substrates 1 1 2 according the present invention.
  • the ALD system 350 includes a first 352 and a second reaction chamber 354 that rotate in the deposition chamber 102 so as to cause a relative motion between the substrates 1 1 2 and the reaction chambers 352, 354.
  • the reaction chambers 352, 354 are attached to a rotating member 356, which is rotated by a motor (not shown) that is mechanically coupled to a shaft 358.
  • the rotation rate of the rotating member 356 can be precisely controlled.
  • the reaction chambers 352, 354 are rotated at a constant rotation rate relative to the substrates 1 1 2.
  • the substrates 1 1 2 are rotated relative to the reaction chambers 352, 354 to increase or decrease the relative motion between the substrates 1 1 2 and the reaction chambers 352, 354.
  • reaction chambers 352, 354 are attached to independent rotating members.
  • the reaction chambers 352, 354 can be attached to a first and a second rotating member, respectively.
  • the rotating members are gears that are mechanically coupled to the reaction chambers 352, 354. The first and the second rotating members are rotated so as to cause the desired relative motion between the substrates 1 1 2 and the reaction chambers 352, 354. The first and the second rotating members can be rotated in the same or in the opposite direction.
  • FIG. 8A,B illustrate various methods of sealing the reaction chambers according to the present invention that prevent reactants from escaping from the reaction chambers.
  • FIG. 8A illustrates a cross section 400 of an edge 402 of a reaction chamber according to the present invention having a sliding seal 404 that is used to prevent reactants from escaping from the reaction chamber.
  • the sliding seal 404 causes a tight tolerance between the edge 402 of the reaction chamber and the deposition chamber 1 02 that can be in the range of approximately 1 0-40 mils.
  • a Teflon O-ring can be used as the sliding seal.
  • FIG. 8B illustrates a cross section 450 of an edge 452 of a reaction chamber according to the present invention having a corrugated seal 454 that is used to prevent reactants from escaping from the reaction chambers.
  • the corrugated seal 454 maintains the pressure differential between the reaction chamber and the deposition chamber 102.
  • a gas curtain is used to seal the reaction chambers to prevent reactants from escaping from the reaction chambers.
  • FIG. 9 illustrates a differentially pumped interface 500 that can be used to seal the reaction chambers 1 04, 1 06 (FIG. 1 ) according to the present invention that prevents reactants from escaping from the reaction chambers 1 04, 106.
  • the differentially pumped interface 500 illustrates four separate regions having different pressures.
  • First 502 and second regions 504 correspond to the first 1 04 and the second reaction chambers 1 06, respectively.
  • the third region 506 corresponds to the deposition chamber 1 02.
  • the fourth regions 508a,b correspond to regions between the first 1 04 and the second reaction chamber 106, respectively, and the deposition chamber 102.
  • the differentially pumped interface 500 illustrates a first 510a and second gas flow controller 51 0b that control the flow rate of precursor gas A (with carrier gas) and precursor gas B (with carrier gas) into the first region 502 (first reaction chamber 104) and the second region 504 (second reaction chamber 1 06), respectively.
  • the first and second gas flow controllers 51 0a,b are adjusted so that the pressure in regions 502, 504 is in the 1 -10 Torr range.
  • the vacuum pump 1 14 is a molecular drag vacuum pump that maintains the third region 506 (deposition chamber 102) at a pressure that is in the
  • a second vacuum pump 51 2 is coupled to the fourth regions 508a,b.
  • the second vacuum pump 51 2 is a dry backing pump.
  • flow control valves 51 4a,b control the pumping speed in the fourth regions 508a, b, respectively.
  • the pumping speed at the interfaces 51 6a,b between the first and second regions 502, 504 and the fourth regions 508a,b, respectively is on the order of eight liters/second.
  • the pumping speed at the interfaces 51 8a,b between the first and second regions 502, 504, respectively, and the third region 506 is on the order of 2 liters/second.
  • the pressure in the fourth regions 508a,b is in

Abstract

An atomic layer deposition system is described that includes a deposition chamber. A first and second reaction chamber are positioned in the deposition chamber and contain a first and a second reactant species, respectively. A monolayer of the first reactant species is deposited on a substrate passing through the first reaction chamber. A monolayer of the second reactant species is deposited on a substrate passing through the second reaction chamber. A transport mechanism transports a substrate in a path through the first reaction chamber and through the second reaction chamber, thereby depositing a film on the substrate by atomic layer deposition. The shape of the first and the second reaction chambers are chosen to achieve a constant exposure of the substrate to reactant species when the transport mechanism transports the substrate in the path through the respective reaction chambers at the constant transport rate.

Description

SPECIFICATION
CONTINUOUS FLOW ATOMIC LAYER DEPOSITION SYSTEM
Cross Reference to Related Applications
This patent application claims priority to U.S. provisional patent application Serial No. 60/320,065, filed on March 28, 2003, the entire disclosure of which is incorporated herein by reference.
Background of Invention
[0001 ] Chemical Vapor Deposition (CVD) is widely used to deposit dielectrics and metallic thin films. There are many techniques for performing CVD. For example, CVD can be preformed by introducing two or more precursor molecules in the gas phase (i.e., precursor gas A molecule and precursor gas B molecule) into a process chamber
-3 containing a substrate or work piece at pressures varying from less than 1 0 Torr to atmosphere.
[0002] The reaction of precursor gas molecule A and precursor gas molecule B at a surface of a substrate or work piece is activated or enhanced by adding energy. Energy can be added in many ways. For example, energy can be added by increasing the temperature at the surface and/or by exposing the surface to a plasma discharge or an ultraviolet (UV) radiation source. The product of the reaction is the desired film and some gaseous by-products, which are typically pumped away from the process chamber.
[0003]
Most CVD reactions occur in the gaseous phase. The CVD reactions are strongly dependent on the spatial distribution of the precursor gas molecules. Non-uniform gas flow adjacent to the substrate can result in poor film uniformity and shadowing effects in three-dimensional features, such as vias, steps and other over-structures. The poor film uniformity and shadowing effects result in poor step coverage. In addition, some of the precursor molecules stick to a surface of the CVD chamber and react with other impinging molecules, thereby changing the spatial distribution of the precursor gases and, therefore, the uniformity of the deposited film.
Brief Description of Drawings
[0004] This invention is described with particularity in the detailed description. The above and further advantages of this invention may be better understood by referring to the following description in conjunction with the accompanying drawings, in which like numerals indicate like structural elements and features in various figures. The drawings are not necessarily to scale, emphasis instead being placed upon illustrating the principles of the invention.
[0005] FIG. 1 illustrates a perspective view of an embodiment of an ALD system according the present invention.
[0006] FIG. 2 illustrates a flow chart of a method of performing ALD according to the present invention for the ALD system described in connection with FIG. 1 .
[0007] FIG. 3 illustrates a top view of the ALD system of FIG. 1 that shows a particular reaction chamber and gas injection manifold design according to the present invention.
[0008] FIG. 4A,B illustrate two embodiments of a gas injection manifold for an ALD system according to the present invention.
[0009] FIG. 5 illustrates a perspective view of an embodiment of an ALD system having a reaction chamber including a plasma generator that is used for plasma enhanced ALD processing.
[001 0] FIG. 6 illustrates a perspective view of an embodiment of an ALD system including a plurality of reaction chambers according the present invention.
[001 1 ] FIG. 7 illustrates a perspective view of an embodiment of an ALD system that includes reaction chambers that rotate relative to the substrates according the present invention.
[001 2] . FIG. 8A,B illustrate two techniques of sealing the reaction chambers according to the present invention to prevent reactants from escaping from the reaction chambers. [001 3] FIG. 9 illustrates a differentially pumped interface that can be used to seal the reaction chambers according to the present invention to prevent reactants from escaping from the reaction chambers.
Detailed Description
[0014] Atomic Layer Deposition (ALD) is a variation of CVD that uses a self-limiting reaction. The term "self-limiting reaction" is defined herein to mean a reaction that limits itself in some way. For example, a self-limiting reaction can limit itself by terminating after a reactant is completely consumed by the reaction. One method of ALD sequentially injects a pulse of one type of precursor gas into a reaction chamber. After a predetermined time, another pulse of a different type of precursor gas is injected into the reaction chamber to form a monolayer of the desired material. This method is repeated until a film having the desired thickness is deposited onto the surface of the substrate.
[001 5] For example, ALD can be performed by sequentially combining precursor gas A and precursor gas B in a process chamber. In a first step, a gas source injects a pulse of precursor gas A molecules into the process chamber. After a short exposure time, a monolayer of precursor gas A molecules deposits on the surface of the substrate. The process chamber is then purged with an inert gas.
[001 6] During the first step, precursor gas A molecules stick to the surface of the substrate in a relatively uniform and conformal manner. The monolayer of precursor gas A molecules covers the exposed areas including vias, steps and surface structures in a relatively conformal manner with relatively high uniformity and minimal shadowing.
[001 7] Process parameters, such as chamber pressure, surface temperature, gas injection time, and gas flow rate can be selected so that only one monolayer remains stable on the surface of the substrate at any given time. In addition, the process parameters can be selected for a particular sticking coefficient. Plasma pre-treatment can also be used to control the sticking coefficient.
In a second step, another gas source briefly injects precursor gas B molecules into the process chamber. A reaction between the injected precursor gas B molecules and the precursor gas A molecules that are stuck to the substrate surface occurs and that forms a monolayer of the desired film that is typically about 1 -2 Angstroms thick. This reaction is self-limiting because the reaction terminates after all the precursor gas A molecules are consumed in the reaction. The process chamber is then purged with an inert gas.
[001 9] The monolayer of the desired film covers the exposed areas including vias, steps and surface structures in a relatively conformal manner with relatively high uniformity and minimal shadowing. The precursor gas A and the precursor gas B molecules are then cycled sequentially until a film having the desired total film thickness is deposited on the substrate. Cycling the precursor gas A and the precursor gas B prevents reactions from occurring in the gaseous phase and generates a more controlled reaction.
[0020] Atomic Layer Deposition has been shown to be effective in producing relatively uniform, pinhole-free films having thickness that are only a few Angstroms thick. Dielectrics have been deposited using ALD that exhibit relatively high breakdown voltages and relatively high film integrity compared with other methods, such as PVD, thermal evaporation and CVD.
[0021 ] However, in practice, secondary effects, such as non-uniform flow distribution and residual cross-contamination, limit the achievable uniformity and integrity of films deposited by ALD. These secondary effects, although much less pronounced compared with known CVD methods, are significant limitations that prevent ALD from being useful for some applications.
[0022] There have been many attempts to improve the uniformity and integrity of ALD films with varying success. For example, researchers have developed new precursor gas chemistries, new techniques for surface pre-treatment, and new methods for injecting precursor gases at precise times in efforts to improve the uniformity and integrity of ALD films.
[0023]
The ALD processing system according to the present invention eliminates non- uniformities and poor film integrity that are caused when the precursor gas sources are cycled by injection and purging in known ALD systems. The ALD system according to the present invention includes at least two spatially separated reaction chambers and a transport mechanism that transports substrates relative to the reaction chambers. The substrates can be transported relative to the reaction chambers in a continuous motion. Another transport mechanism can transport the reaction chambers relative to the substrates.
[0024] FIG. 1 illustrates a perspective view of an embodiment of an ALD system 1 00 according the present invention. The ALD system 100 includes a deposition chamber 102 that includes a first 104 and a second reaction chamber 106, a processing region 108, and a transport mechanism 1 1 0 that supports substrates 1 1 2 and transfers the substrates 1 1 2 relative to the first reaction chamber 104, the second reaction chamber 1 06, and the processing region 1 08.
[0025] A vacuum pump 1 14 is positioned in fluid communication with the deposition chamber 1 02. The vacuum pump 1 14 evacuates the deposition chamber 1 02 to the desired operating pressure. The vacuum pump 1 1 4 and the associated control system can also be used to control the pressure during processing and can purge the deposition chamber 1 02 of reactant gases and gas by-products during and after processing.
[0026] The first 104 and the second reaction chamber 1 06 are positioned inside of the deposition chamber 102 and are designed to contain a reactant and also to prevent that reactant species from escaping into other areas of the deposition chamber 102. There are numerous ways to seal the reaction chambers 1 04, 1 06 relative to the deposition chamber 1 02 to prevent reactants from escaping from the reaction chambers 1 04, 1 06 as described herein.
[0027] In practice, however, there may be some relatively small residual quantity of precursor gas molecules that escape from the reaction chambers 1 04, 1 06 or that remain on the surface of the substrates 1 1 2. The residual quantity of precursor gases is generally less than the quantity that is required to cause a significant reaction on the surface of the substrate 1 1 2.
[0028]
The reaction chambers 1 04, 1 06 each include a gas injection manifold 1 1 6a,b that injects precursor gas molecules into the reaction chambers 1 04, 106. Numerous types of gas injection manifolds can be used. The shape of the gas injection manifold 1 1 6a,b can be chosen to provide a substantially constant flow of reactant species as the substrate passes through the reaction chambers 1 04, 1 06 at a particular rotation rate. The ALD system 1 00, however, does not require a gas injection system with precise control over the gas injection volume and time interval of injection that is commonly used in many other known ALD systems. Therefore, the ALD system 1 00 is relatively simple and inexpensive to manufacture.
[0029] In one embodiment, at least one of the reaction chambers 104, 106 includes a plasma generator that is used for plasma enhanced ALD processing. The plasma generator can be physically located 'in the reaction chambers 1 04, 1 06 so that it generates a plasma directly in the reaction chambers 1 04, 1 06. Alternatively, the plasma generator can be remotely located relative to the reaction chambers 1 04, 1 06 in a downstream configuration. In the downstream configuration, a plasma is generated by a remote plasma source that is physically located outside of the reaction chambers 1 04, 1 06 and then the plasma is directed into the reaction chambers 1 04, 106.
[0030] The processing region 108 is positioned inside the deposition chamber 102 and includes one or more apparatus 1 1 8 for performing at least one surface treatment or combination of surface treatments on the substrates 1 1 2 passing through the processing region 1 08. The apparatus 1 1 8 for performing the surface treatments on the substrates can be physically located inside the processing region 1 08 or can be remotely located relative to the processing region 1 08 as shown in FIG. 1 . In one embodiment, the processing region 1 08 is shaped so as to causes a substantially constant exposure of the surface treatment performed on the substrates 1 1 2 passing through the processing region 1 08.
[0031 ]
The apparatus 1 1 8 for performing the surface treatments in the processing region 1 08 can perform one or more types of surface treatments. For example, the apparatus 1 1 8 in the processing region 1 08 can be used to clean the surface of the substrates 1 1 2 passing through the processing region 1 08. The apparatus 1 1 8 in the processing region 1 08 can also be used to modify the sticking coefficient on the surface of the substrates 1 1 2 and/or to activate a reaction on the surface of the substrates 1 1 2. In addition, the apparatus 1 1 8 in the processing region 108 can be used to deposit a metallic, semiconductor, or dielectric film on the surface of the substrates 1 1 2.
[0032] In one embodiment, the apparatus 1 18 in the processing region 108 is a plasma generator. The plasma generator can be used to expose the substrates 1 1 2 passing through the processing region 1 08 to a plasma that performs a surface treatment on the substrates 1 1 2. For example, the plasma generator can be a magnetron plasma generator. Alternatively, the plasma generator can be a down-stream plasma generator, such as a down-stream microwave or ECR plasma source, that is remotely located relative to the processing region 108.
[0033] The plasma generated by the plasma generator can be used to clean the surface of the substrates 1 1 2 before ALD processing. In addition, the plasma generated by the plasma generator can be used to surface treat the substrates 1 1 2 between exposures of precursor gas molecules during ALD processing. In addition, the plasma generated by the plasma generator can be used to sputter metallic or dielectric material on the surface of the substrates 1 1 2.
[0034] In one embodiment, the apparatus 1 1 8 for performing surface treatments in the processing region 1 08 is an energy source. For example, the apparatus 1 1 8 for performing surface treatments can include at least one of an ion beam source, an electron beam source or an UV radiation source. The energy source can be positioned inside the processing region 108 or can be remotely located relative to the processing region 1 08 as shown in FIG. 1 . In this embodiment, the processing region 1 08 is used to expose the substrates 1 1 2 to an energy source. The energy source can be used for many applications, such as activating a reaction on the surface of the substrate 1 1 2, removing by-product materials, and cleaning the surface of the substrates 1 1 2.
[0035] The energy source can include a distribution grid 1 20 to direct the energy to substrates 1 12 passing through the processing region 108. In one embodiment, the hole pattern in the distribution grid 1 20 is chosen so that the substrates 1 1 2 are exposed to a constant dose of energy as they pass through the processing region 1 08.
[0036]
The transport mechanism 1 10 includes at least one substrate support 1 22 that supports the substrates 1 1 2 or work pieces during ALD processing. In one embodiment, the transport mechanism 1 1 0 is mechanically connected to a motor 1 24 that rotates the substrate support 1 22. The desired number of substrate supports 1 22 depends upon the desired throughput of the ALD system 1 00. A port 1 26 in the deposition chamber 1 02 provides access to inside the deposition chamber 1 02 so that the substrates 1 1 2 can be transported onto the substrate supports 1 22 for ALD processing and removed from the deposition chamber 1 02 after ALD processing.
[0037] In one embodiment, a transfer mechanism (not shown) positions the substrates
1 1 2 adjacent to the port 126 so that the substrates 1 12 can be easily transported to and from the substrate supports 1 22. In one embodiment (not shown), the port 1 26 is in fluid communication with another processing tool (not shown) so that substrates 1 1 2 can be transported to and from the other processing tool without exposing the substrates 1 1 2 to atmospheric pressure. For example, the deposition chamber 102 can be part of a cluster tool (not shown) in which the substrates 1 1 2 are transported to and from another process chamber in the cluster tool to and from the deposition chamber 1 02.
[0038] The transport mechanism 1 10 transports at least one substrate 1 1 2 relative to the first reaction chamber 1 04, the second reaction chamber 1 06, and the processing region 1 08. In one embodiment, the transport mechanism 1 10 transports the substrate supports 1 22 holding the substrates 1 1 2 while the first 1 04 and the second reaction chamber 1 06 remain in a fixed position. In this embodiment, the transport mechanism 1 1 0 transports the substrate supports 1 22 in a path through the first reaction chamber 1 04, through the second reaction chamber 1 06, and through the processing region 1 08 during ALD processing.
[0039] For example, the transport mechanism 1 10 can be a rotating member, such as a rotating disk, that is attached to the substrate supports 1 22 and the motor 1 24. The rotating member rotates the substrates 1 1 2 in the path through the first reaction chamber 1 04, through the second reaction chamber 106, and through the processing region 1 08. The rotating member transport mechanism 1 1 0 can provide a high- degree of deposition uniformity because of the rotational symmetry provided by the disk. [0040] In another embodiment, the transport mechanism 1 1 0 transports the first reaction chamber 1 04, the second reaction chamber 1 06, and the processing region 1 08 relative to the substrates 1 1 2, while the substrates 1 1 2 remain in a fixed position. For example, in this embodiment, the reaction chambers 104, 1 06 and the processing region 108 are attached to a rotating member (not shown) that rotates the reaction chambers 1 04, 1 06 and the processing region 1 08 relative to the substrate supports 1 22.
[0041 ] In yet another embodiment, the transport mechanism 1 10 rotates the substrates
1 1 2, the reaction chambers 1 04, 106, and the processing region 108 relative to each other. For example, in this embodiment, the reaction chambers 1 04, 1 06 and the processing region 1 08 are attached to a first rotating member (not shown) and the substrate supports 1 22 are attached to a second rotating member (not shown).
[0042] FIG. 2 illustrates a flow chart 1 50 of a method of performing ALD according to the present invention for the ALD system 1 00 described in connection with FIG. 1 . The method is described in connection with the ALD system of FIG. 1 where the first 104 and the second reaction chamber 1 06 remain in a fixed position while the substrates 1 1 2 are transported through the reaction chambers 1 04, 1 06. However, other embodiments in which the substrates 1 1 2 and/or the reaction chambers 1 04, 106 are transported are within the scope of the present invention.
[0043] In a first step 1 52, the deposition chamber 1 02 is evacuated to the desired operating pressure by the vacuum pump 1 14. In a second step 1 54, precursor gas A molecules are injected into the first reaction chamber 1 04 to create the desired partial pressure of precursor gas A in the first reaction chamber 104. In some embodiments, precursor gas A and a second precursor gas are injected into the first reaction chamber 1 04. Also, in some embodiments, precursor gas A and a non-reactive gas are injected into the first reaction chamber 104. In one embodiment, the temperature of the first reaction chamber 104 is controlled to a temperature that promotes the desired reaction with the surface of the substrates 1 1 2 passing through the first reaction chamber 1 04.
[0044] |n a tnjrc| step ι 56ι precursor gas B molecules are injected into the second reaction chamber 1 06 to create the desired partial pressure of precursor gas B. In some embodiments, precursor gas B and a second precursor gas are injected into the second reaction chamber 1 06. Also, in some embodiments, precursor gas B and a non-reactive gas are injected into the second reaction chamber 1 06. In one embodiment, the temperature of the second reaction chamber 1 06 is controlled to a temperature that promotes the desired reaction with the surface of the substrates 1 1 2 passing through the second reaction chamber 1 06. The second step 1 54 and the third step 1 56 can be performed in any order or can be performed simultaneously.
[0045] In one embodiment, a fourth step 1 58 is used to pre-treat a substrate 1 1 2 in the deposition chamber 1 02. For example, in the fourth step 1 58, the substrate can be exposed to a plasma or energy source, such as an ion beam, electron beam, or UV radiation source. The pre-treatment can clean the substrate 1 1 2 and/or control the sticking coefficient on the surface of the substrate 1 1 2. The fourth step 1 58 can be performed at any time during the process. For example, the fourth step 1 58 can also be performed directly after the deposition chamber 102 is evacuated to the desired operating pressure in the first step 1 52.
[0046] In a fifth step 1 60, the substrate 1 1 2 is transported from the deposition chamber
102 to the first reaction chamber 1 04. The substrate 1 1 2 is then transported through the first reaction chamber 1 04 to expose the substrate 1 1 2 to precursor gas A molecules. In some embodiments, the substrate 1 1 2 is processed while it is being transported through the first reaction chamber 104.
[0047] The transportation or rotation rate is chosen so that the substrate 1 1 2 remains in the first reaction chamber 1 04 for a first predetermined time that is sufficient to cause the desired exposure of the substrate 1 1 2 to the partial pressure of precursor gas A molecules in the first reaction chamber 1 04. In some embodiments, the first predetermined time is also chosen so that the substrate 1 1 2 has the desired exposure to the ALD processing. During the first predetermined time, precursor gas A molecules stick to the surface of the substrate 1 1 2 in a highly uniform and conformal manner and form a monolayer of precursor gas A molecules that covers every exposed area including vias, steps and surface structures.
[0048] In a sixth step 1 62, the substrate 1 12 containing the monolayer of precursor gas A molecules is transported out of the first reaction chamber 1 04 and back into the deposition chamber 1 02. In one embodiment, in a seventh step 1 64, the substrate 1 1 2 containing the monolayer of precursor gas A molecules are processed in the processing region 1 08 of the deposition chamber 1 02. For example, in one embodiment, the substrate 1 12 is exposed to a plasma, an energy source, or other type of surface treatment in the deposition chamber 102.
[0049] In an eighth step 1 66, the substrate 1 1 2 containing the monolayer of precursor gas A molecules is transported from the deposition chamber 1 02 to the second reaction chamber 106. The substrate 1 12 is then transported through the second reaction chamber 1 06 to expose the substrate 1 1 2 to precursor gas B molecules. In some embodiments, the substrate 1 1 2 is processed while it is being transported through the second reaction chamber 1 06.
[0050] The transportation or rotation rate is chosen so that the substrate 1 1 2 remains in the second reaction chamber 1 06 for a second predetermined time that is sufficient to cause the desired exposure of the substrate 1 1 2 to the partial pressure of precursor gas B molecules in the second reaction chamber 106. In some embodiments, the second predetermined time is also chosen so that the substrate 1 1 2 has the desired exposure to the ALD processing.
[0051 ] During the second predetermined time, precursor gas B molecules stick to the surface of the conformal coating of precursor gas A molecules. A reaction between the precursor gas B molecules and the precursor gas A molecules occurs. The reaction is self-limiting because the reaction terminates after all the precursor gas A molecules are consumed in the reaction. A monolayer of the desired film develops on the surface of the substrate 1 1 2 that is typically about 1 -2 Angstroms thick. The monolayer covers all of the exposed areas, including vias, steps or surface structures, in a relatively uniform manner without any shadowing.
[0052] !n a ninth s ep i 68, the substrate 1 1 2 is transported out of the second reaction chamber 1 06 and back into the deposition chamber 1 02. In one embodiment, in a tenth step 1 70 the substrate 1 1 2 containing the monolayer of the desired film is processed in processing region 108 of the deposition chamber 1 02. For example, in one embodiment, the substrate 1 1 2 is exposed to a plasma, ion beam, electron beam or other type of surface treatment while in the processing region 1 08 of the deposition chamber 1 02. The substrate 1 1 2 remains in the deposition chamber 1 02 for a predetermined time interval.
[0053] The fifth step 1 60 through the tenth step 1 70 are then repeated until a film having the desired film thickness and film properties is deposited on the surface of the substrate 1 1 2. Thus, the substrate 1 1 2 is sequentially transported from the deposition chamber 1 02 to the first reaction chamber 104, back to the processing region 1 08 in the deposition chamber 1 02, to the second reaction chamber 1 06, and then back to the deposition chamber 102.
[0054] In one embodiment, the substrate 1 1 2 is rotated at a substantially continuous rotation rate from the deposition chamber 102 to the first reaction chamber! 04, back to the processing region 108 of the deposition chamber 1 02, to the second reaction chamber 1 06, and then back to the deposition chamber 1 02. The time period that the substrate 1 1 2 is exposed to the precursor gas A molecules and the precursor gas B molecules in the first 104 and the second reaction chamber 1 06, respectively, is determined by the rotation rate of the substrate 1 1 2 within the deposition chamber 102. Also, the time periods that the substrate 1 1 2 is pretreated in the fourth step 1 58, and processed in the seventh 1 64 and the tenth step 1 70, is determined by the rotation rate of the substrate 1 1 2 within the deposition chamber 1 02.
[0055] There are many different configurations and embodiments of the reaction chambers 1 04, 1 06 and the gas injection manifolds 1 1 6a,b of the ALD system 100 according to the present invention. FIG. 3 illustrates a top view 200 of the ALD system of FIG. 1 that shows a particular reaction chamber and gas injection manifold design according to the present invention.
[0056] The reaction chambers 1 04, 106 shown in FIG. 3 are shaped and positioned to achieve a constant exposure of the substrates 1 1 2 to reactant species when the transport mechanism 1 10 transports the substrates 1 1 2 in the path through the reaction chambers 1 04, 1 06 at a constant rotation rate. In the embodiment shown, a first 202 and a second radial edge 204 of the first 1 04 and the second reaction chamber 1 06 are approximately aligned to a center 206 of the deposition chamber 102. [0057] This design provides a constant exposure to substrates 1 1 2 passing through the reaction chambers 1 04, 1 06 by compensating for the radial dependence on the velocity of the substrates 1 12 rotating through the reaction chambers 1 04, 106. Providing a constant exposure can increase the throughput a deposition system because the predetermined exposure times can be minimized. Providing a constant exposure can even increase the throughput of ALD deposition systems having self- limiting reactions because achieving a constant exposure will eliminate the need to over-expose some areas of the substrates 1 1 2.
[0058] The top view 200 of the ALD system of FIG. 1 also shows the gas flow of a particular gas injection manifold design according to the present invention. The gas injection manifolds 1 1 6a,b inject precursor gas A into the first reaction chamber 104 and precursor gas B into the second reaction chamber 1 06. In the embodiment shown, the gas manifolds 1 1 6a,b are delta shaped. The delta shape is chosen so as to maintain a uniform gas flow over the reaction chambers 104, 106, while the substrates 1 1 2 are transported through the reaction chambers 1 04, 1 06 at a constant rotation rate. In one embodiment, the precursor gases flow from the center gas manifold section 1 1 6a to the outer gas manifold section 1 1 6b as shown by the arrows 208.
[0059] The top view 200 of the ALD system of FIG. 1 also shows the distribution grid 1 20 that is used to direct the energy to substrates 1 1 2 passing through the processing region 1 08. In the embodiment shown, the grid 1 20 defines apertures in a delta shaped pattern so as to expose substrates 1 1 2 rotating through the processing region 1 08 at a constant rotation rate to a constant dose of energy.
[0060]
FIG. 4A,B illustrate two embodiments of a gas injection manifold 250, 252 for an ALD system according to the present invention. FIG. 4A illustrates the gas injection manifold 1 1 6a, b that includes the center gas manifold section 1 1 6a and the outer gas manifold section 1 1 6b that were described in connection with FIG. 2. An input arrow 254 indicates the flow of precursor gases from a gas source (not shown) into the center gas manifold section 1 1 6a. A plurality of arrows 256 indicate the flow of precursor gas from the center gas manifold section 1 1 6a to the outer gas manifold section 202b. An output arrow 258 indicates the flow of precursor and by-product gases flowing from the reaction chambers 1 04, 1 06 to an exhaust gas system (not shown).
[0061 ] FIG. 4B illustrates another embodiment of a gas injection manifold 252 of an ALD system according to the present invention. The gas injection manifold 252 includes a main manifold section 260 and three gas distribution sections 262. An input arrow 264 indicates the flow of precursor gases from a gas source (not shown) into the main manifold section 260. A plurality of arrows 264 indicate the flow of precursor gas from the three gas distribution sections 262 into the reaction chambers 104, 1 06.
[0062] FIG. 5 illustrates a perspective view of an embodiment of an ALD system 280 having a reaction chamber 282 including a plasma generator 284 that is used for plasma enhanced ALD processing. The ALD system 280 is similar to the ALD system 100 described in connection with FIG. 1 . However, the ALD system 280 includes the reaction chamber 282 having the plasma generator 284. The plasma generator 284 generates a plasma 286 that is used for the plasma enhanced processing.
[0063] The plasma generator 284 can be physically located in the reaction chamber 282 as shown in FIG. 5 so that it generates the plasma 286 directly in the reaction chamber 282. Alternatively, the plasma generator 284 can be remotely located relative to the reaction chamber 282 in a downstream configuration. In the downstream configuration, the plasma 286 is generated by a remote plasma source (not shown) that is physically located outside of the reaction chamber 282 and then the plasma 286 is directed into the reaction chamber 282.
[0064] FIG. 6 illustrates a perspective view of an embodiment of an ALD system 300 including a plurality of reaction chambers according the present invention. The deposition chamber 102 includes four reaction chambers: a first 302, second 304, third 306, and fourth reaction chamber 308. In other embodiments, the ALD system 300 includes additional reaction chambers (i.e. a fifth and sixth, etc.). The ALD system 300 also includes the processing region 108 that can include the apparatus 1 1 8 (FIG. 1 ) for performing surface treatments that is described herein. The transport mechanism 1 10 transfers the substrates 1 1 2 relative to the first 302, second 304, third 306, and fourth reaction chambers 308, and the processing region 108 (FIG. 3) as described herein. [0065] In addition, the deposition chamber 102 includes a port 1 26 that provides access to inside the deposition chamber 1 02 so that the substrates 1 1 2 can be inserted for processing and removed after processing. In one embodiment, the ALD system 300 includes a transfer mechanism (not shown) that positions the substrates 1 1 2 adjacent to the port 1 26 so that the substrates 1 1 2 can be easily inserted and removed from the deposition chamber 1 02.
[0066] The third 306 and fourth reaction chamber 308 can contain the same precursor gases as the first 302 and the second reaction chamber 304 and can be used to increase the throughput. In this embodiment, one rotation of the substrates 1 1 2 deposits two monolayers of the desired film.
[0067] Alternatively, the third 306 and fourth reaction chamber 308 can contain different precursor gases that are used to deposit a different type of material on the substrates 1 1 2. For example, in this embodiment, the third 306 and the fourth reaction chamber 308 contain precursor gas C and precursor gas D, respectively, that are different from precursor gas A and precursor gas B.
[0068] Monolayers of two different types of material can be deposited in any desired sequence. A predetermined number of monolayers of one type of film can be deposited on the surface of a substrate 1 1 2 and then a predetermined number of monolayers of another type of film can be deposited on the surface of the substrate 1 1 2. Additional reaction chambers (i.e. a fifth and sixth, etc.) can be added to further increase the number of different types of monolayers that can be deposited on the surface of the substrate 1 12.
[0069] The ALD system 300 of the present invention has relatively high throughput and can be scaled to accommodate a relatively high volume of substrates because multiple substrates 1 1 2 can be simultaneously processed. For example, multiple substrates 1 1 2 can be processed simultaneously in the ALD system 300 by sequentially rotating substrates 1 1 2 in the deposition chamber 1 02 to the first reaction chamber 302, back to the deposition chamber 1 02, to the second reaction chamber 304, back to the deposition chamber 1 02, to the third reaction chamber 306, back to the deposition chamber 1 02, to the fourth reaction chamber 308, and then back to the deposition chamber 1 02. [0070] FIG. 7 illustrates a perspective view of an embodiment of an ALD system 350 that includes reaction chambers 352, 354 that rotate relative to the substrates 1 1 2 according the present invention. The ALD system 350 includes a first 352 and a second reaction chamber 354 that rotate in the deposition chamber 102 so as to cause a relative motion between the substrates 1 1 2 and the reaction chambers 352, 354.
[0071 ] The reaction chambers 352, 354 are attached to a rotating member 356, which is rotated by a motor (not shown) that is mechanically coupled to a shaft 358. The rotation rate of the rotating member 356 can be precisely controlled. In one embodiment, the reaction chambers 352, 354 are rotated at a constant rotation rate relative to the substrates 1 1 2. In one embodiment, the substrates 1 1 2 are rotated relative to the reaction chambers 352, 354 to increase or decrease the relative motion between the substrates 1 1 2 and the reaction chambers 352, 354.
[0072] In another embodiment, the reaction chambers 352, 354 are attached to independent rotating members. For example, the reaction chambers 352, 354 can be attached to a first and a second rotating member, respectively. In one embodiment, the rotating members are gears that are mechanically coupled to the reaction chambers 352, 354. The first and the second rotating members are rotated so as to cause the desired relative motion between the substrates 1 1 2 and the reaction chambers 352, 354. The first and the second rotating members can be rotated in the same or in the opposite direction.
[0073] FIG. 8A,B illustrate various methods of sealing the reaction chambers according to the present invention that prevent reactants from escaping from the reaction chambers. FIG. 8A illustrates a cross section 400 of an edge 402 of a reaction chamber according to the present invention having a sliding seal 404 that is used to prevent reactants from escaping from the reaction chamber. The sliding seal 404 causes a tight tolerance between the edge 402 of the reaction chamber and the deposition chamber 1 02 that can be in the range of approximately 1 0-40 mils. In one embodiment, a Teflon O-ring can be used as the sliding seal.
[0074] FIG. 8B illustrates a cross section 450 of an edge 452 of a reaction chamber according to the present invention having a corrugated seal 454 that is used to prevent reactants from escaping from the reaction chambers. The corrugated seal 454 maintains the pressure differential between the reaction chamber and the deposition chamber 102. In another embodiment, a gas curtain is used to seal the reaction chambers to prevent reactants from escaping from the reaction chambers.
[0075] FIG. 9 illustrates a differentially pumped interface 500 that can be used to seal the reaction chambers 1 04, 1 06 (FIG. 1 ) according to the present invention that prevents reactants from escaping from the reaction chambers 1 04, 106. The differentially pumped interface 500 illustrates four separate regions having different pressures. First 502 and second regions 504 correspond to the first 1 04 and the second reaction chambers 1 06, respectively. The third region 506 corresponds to the deposition chamber 1 02. The fourth regions 508a,b correspond to regions between the first 1 04 and the second reaction chamber 106, respectively, and the deposition chamber 102.
[0076] The differentially pumped interface 500 illustrates a first 510a and second gas flow controller 51 0b that control the flow rate of precursor gas A (with carrier gas) and precursor gas B (with carrier gas) into the first region 502 (first reaction chamber 104) and the second region 504 (second reaction chamber 1 06), respectively. In some embodiments, the first and second gas flow controllers 51 0a,b are adjusted so that the pressure in regions 502, 504 is in the 1 -10 Torr range.
[0077] In one embodiment, the vacuum pump 1 14 is a molecular drag vacuum pump that maintains the third region 506 (deposition chamber 102) at a pressure that is in the
-4 10 Torr range during deposition. A second vacuum pump 51 2 is coupled to the fourth regions 508a,b. In one embodiment, the second vacuum pump 51 2 is a dry backing pump.
[0078] In one embodiment, flow control valves 51 4a,b control the pumping speed in the fourth regions 508a, b, respectively. In some embodiments, the pumping speed at the interfaces 51 6a,b between the first and second regions 502, 504 and the fourth regions 508a,b, respectively, is on the order of eight liters/second. In some embodiments, the pumping speed at the interfaces 51 8a,b between the first and second regions 502, 504, respectively, and the third region 506 is on the order of 2 liters/second. In these embodiments, the pressure in the fourth regions 508a,b is in
-2 the 1 0 Torr range. Equivalents
[0079] While the invention has been particularly shown and described with reference to specific embodiments, it should be understood by those skilled in the art that various changes in form and detail may be made therein without departing from the spirit and scope of the invention as defined herein.
[0080] What is claimed is:

Claims

Claims
[cl ] An atomic layer deposition system comprising: a) a deposition chamber; b) a first reaction chamber that is positioned in the deposition chamber and that contains a first reactant species, a monolayer of the first reactant species being deposited on a substrate passing through the first reaction chamber; c) a second reaction chamber that is positioned in the deposition chamber, the second reaction chamber containing a second reactant species, a monolayer of the second reactant species being deposited on a substrate passing through the second reaction chamber; and d) a transport mechanism that transports a substrate in a path through the first reaction chamber and through the second reaction chamber at a constant transport rate, thereby depositing a film on the substrate by atomic layer deposition, wherein a shape of at least one of the first and the second reaction chambers is chosen to achieve a constant exposure of the substrate to a respective one of the first and the second reactant species when the transport mechanism transports the substrate in the path through the respective one of the first and the second reaction chamber at the constant transport rate.
[c2] The deposition system of claim l_wherein a first and a second radial edge of at least one of the first and the second reaction chambers is aligned to a center of the deposition chamber.
[c3] The deposition system of claim J_wherein at least one of the first and the second reaction chambers is formed in the shape of a trapezoid.
[c4] The deposition system of claim J urther comprising a processing region that is positioned in the deposition chamber, a surface treatment being performed on a substrate passing through the processing region.
[c5] The deposition system of claim l_wherein at least one of the first reaction chamber and the second reaction chamber comprises a plasma generator, the plasma generator generating a plasma in the at least one of the first and the second reaction chambers for plasma enhanced deposition.
[c6] The deposition system of claim J_wherein at least one of the first reaction chamber and the second reaction chamber comprises a seal that is chosen from the group comprising a sliding seal, a corrugated seal, and a gas curtain.
[c7] The deposition system of claim J_wherein at least one of the first reaction chamber and the second reaction chamber comprises a differentially pumped interface.
[c8] The deposition system of claim J_wherein the first reaction chamber comprises a first gas injection manifold and the second reaction chamber comprises a second gas injection manifold, the first and the second gas injection manifolds providing a respective one of the first and second reactant species to the first and the second reaction chambers.
[c9] The deposition system of claim J_wherein the first reaction chamber and the second reaction chamber transport relative to the substrate.
[cl O]
An atomic layer deposition system comprising: a) a deposition chamber; b) a first reaction chamber that is positioned in the deposition chamber, the first reaction chamber containing a first reactant species, a monolayer of the first reactant species being deposited on a substrate passing through the first reaction chamber; c) a second reaction chamber that is positioned in the deposition chamber, the second reaction chamber containing a second reactant species, a monolayer of the second reactant species being deposited on a substrate passing through the second reaction chamber; d) a processing region that is positioned in the deposition chamber, a surface treatment being performed on a substrate passing through the processing region; and e) a transport mechanism that transports a substrate in a path through the first reaction chamber, through the second reaction chamber, and through the processing region, thereby depositing a film on the substrate by atomic layer deposition.
[cl 1 ] The deposition system of claim 1 0 wherein a shape of at least one of the first and the second reaction chambers is chosen to achieve a constant exposure of the substrate to a respective one of the first and the second reactant species when the transport mechanism transports the substrate in the path through the respective one of the first and the second reaction chamber at a constant transport rate.
[cl 2] The deposition system of claim 1 0 wherein at least one of the first reaction chamber and the second reaction chamber comprises a plasma generator, the plasma generator generating a plasma in the at least one of the first and the second reaction region for plasma enhanced deposition.
[cl 3] The deposition system of claim 1 0 wherein at least one of the first reaction chamber and the second reaction chamber comprises a seal that is chosen from the group comprising a sliding seal, a corrugated seal, and a gas curtain.
[cl 4] The deposition system of claim 1 0 wherein at least one of the first reaction chamber and the second reaction chamber comprises a differentially pumped interface that maintains a partial pressure in the at least one of the first and the second reaction chambers.
[cl 5] The deposition system of claim 1 0 wherein the first reaction chamber comprises a first gas injection manifold and the second reaction chamber comprises a second gas injection manifold, the first and the second gas injection manifolds providing a respective one of the first and second reactant species to the first and the second reaction chambers.
[cl 6] The deposition system of claim 1 5 wherein a shape of a respective one of the first and the second gas injection manifolds is chosen to provide a substantially constant flow of reactant species as the substrate passes through a respective one of the first and the second reaction chambers.
[cl 7]
The deposition system of claim 10 wherein the processing region is formed in a shape that causes a substantially constant exposure of the surface treatment being performed on the substrate passing through the processing region.
[cl 8] The deposition system of claim 10 further comprising a plasma generator that generates a plasma in the processing region, the substrate passing through the processing region being exposed to the plasma, thereby performing the surface treatment.
[cl 9] The deposition system of claim 1 8 wherein the plasma generator comprises a magnetron that sputters a metal layer on the substrate passing through the processing region.
[c20] The deposition system of claim 1 8 wherein the plasma generator comprises a downstream plasma generator that is remotely located relative to the deposition chamber.
[c21 ] The deposition system of claim 10 further comprising an ion gun that generates an ion beam in the processing region, the ion beam striking the substrate passing through the processing region, thereby performing the surface treatment.
[c22] The deposition system of claim 10 further comprising an electron gun that generates an electron beam in the processing region, the electron beam striking the substrate passing through the processing region, thereby performing the surface treatment.
[c23] The deposition system of claim 10 further comprising an UV radiation source that generates UV radiation in the processing region, the UV radiation striking the substrate passing through the processing region, thereby performing the surface treatment.
[c24] The deposition system of claim 10 further comprising a substrate support that supports the substrate as the transport mechanism transports the substrate in the path through the first reaction chamber, through the second reaction chamber, and through the processing region.
fc25] The deposition system of claim 1 0 wherein the first reaction chamber, the second reaction chamber, and the process chamber are transported relative to the substrate.
[c26] The deposition system of claim 10 further comprising a third and a fourth reaction chamber that are positioned in the deposition chamber.
[c27] The deposition system of claim 26 wherein the third reaction chamber contains the first reactant species and the fourth reaction chamber contains the second reactant species, a monolayer of the first reactant species being deposited on a substrate passing through the third reaction chamber and a monolayer of the second reactant species being deposited on a substrate passing through the fourth reaction chamber.
[c28] The deposition system of claim 26 wherein the third reaction chamber contains a third reactant species and the fourth reaction chamber contains a fourth reactant species, a monolayer of the third reactant species being deposited on a substrate passing through the third reaction chamber and a monolayer of the fourth reactant species being deposited on a substrate passing through the fourth reaction chamber.
[c29] The deposition system of claim 10 wherein the transport mechanism transports a substrate in the«path at a substantially constant rate.
[c30] The deposition system of claim 10 further comprising a port for transporting a substrate into and out of the deposition chamber.
[c31 ] The deposition system of claim 10 wherein a pressure in the deposition chamber is chosen to direct reactant gas and by-product gases away from the first reaction chamber and the second reaction chamber.
[c32]
A method of atomic layer deposition, the method comprising: a) transporting a substrate through a first reaction chamber containing a first reactant species, thereby forming a monolayer of the first reactant species on the substrate; b) transporting a substrate through a second reaction chamber containing a second reactant species, thereby forming a monolayer of the second reactant species on the substrate; and c) transporting a substrate through a processing region, thereby performing a surface treatment on the substrate.
[c33] The method of claim 32 wherein the transporting the substrate through the processing region is preformed before the transporting the substrate through the first reaction chamber and before the transporting the substrate through the second reaction chamber.
[c34] The method of claim 32 wherein the transporting the substrate through the processing region is preformed after one of the transporting the substrate through the first reaction chamber and the transporting the substrate through the second reaction chamber and before the other of the transporting the substrate through the first reaction chamber and the transporting the substrate through the second reaction chamber.
[c35] The method of claim 32 wherein a substrate is transported through at least one of the first and the second reaction chambers at a substantially constant rate.
[c36] The method of claim 32 wherein the transporting the substrate through the processing region comprises exposing the substrate to a plasma.
[c37] The method of claim 32 wherein the transporting the substrate through the processing region comprises exposing the substrate to an ion beam.
[c38] The method of claim 32 wherein the transporting the substrate through the processing region comprises exposing the substrate to electron beam radiation.
[c39] The method of claim 32 wherein the transporting the substrate through the processing region comprises exposing the substrate to UV radiation.
[c40] . The method of claim 32 wherein the transporting the substrate through the processing region comprises exposing the substrate to a non-reactive gas.
[c41 ] The method of claim 32 wherein the transporting the substrate through the processing region modifies a sticking coefficient on a surface of the substrate.
[c42] The method of claim 32 wherein the transporting the substrate through the processing region activates a reaction on a surface of the substrate.
[c43] The method of claim 32 wherein the method of atomic layer deposition deposits a seed layer on the surface of the substrate for sputter deposition.
[c44] An atomic layer deposition system comprising: a) means for transporting a substrate through a first reaction chamber containing a first reactant species, thereby forming a monolayer of the first reactant species on the substrate; b) means for transporting a substrate through a second reaction chamber containing a second reactant species, thereby forming a monolayer of the second reactant species on the substrate; and c) means for transporting a substrate through a processing region, thereby performing a surface treatment on the substrate.
PCT/US2004/006455 2003-03-28 2004-03-03 Continuous flow atomic layer deposition system WO2004094692A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US32006503P 2003-03-28 2003-03-28
US60/320,065 2003-03-28
US10/604,502 US6972055B2 (en) 2003-03-28 2003-07-25 Continuous flow deposition system
US10/604,502 2003-07-25

Publications (1)

Publication Number Publication Date
WO2004094692A1 true WO2004094692A1 (en) 2004-11-04

Family

ID=32993726

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/006455 WO2004094692A1 (en) 2003-03-28 2004-03-03 Continuous flow atomic layer deposition system

Country Status (2)

Country Link
US (1) US6972055B2 (en)
WO (1) WO2004094692A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006015915A1 (en) * 2004-08-06 2006-02-16 Aixtron Ag Device and method for high-throughput chemical vapor deposition
JP2009084693A (en) * 2007-09-28 2009-04-23 Osram Opto Semiconductors Gmbh Layer deposition apparatus and method of operating layer deposition apparatus
JP5883154B2 (en) * 2012-10-11 2016-03-09 東京エレクトロン株式会社 Deposition equipment

Families Citing this family (359)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
FR2882064B1 (en) * 2005-02-17 2007-05-11 Snecma Propulsion Solide Sa PROCESS FOR THE DENSIFICATION OF THIN POROUS SUBSTRATES BY CHEMICAL VAPOR PHASE INFILTRATION AND DEVICE FOR LOADING SUCH SUBSTRATES
EP1790758A1 (en) * 2005-11-25 2007-05-30 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
EP1728894B1 (en) * 2005-06-01 2008-10-15 Interuniversitair Microelektronica Centrum ( Imec) Atomic layer deposition (ald) method for producing a high quality layer
US20070151842A1 (en) * 2005-12-15 2007-07-05 Fluens Corporation Apparatus for reactive sputtering
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
EP2000008B1 (en) 2006-03-26 2011-04-27 Lotus Applied Technology, Llc Atomic layer deposition system and method for coating flexible substrates
US7456429B2 (en) * 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
WO2008021501A2 (en) * 2006-08-18 2008-02-21 Piero Sferlazzo Apparatus and method for ultra-shallow implantation in a semiconductor device
US7976898B2 (en) 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
KR20080027009A (en) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 Atomic layer deposition apparatus and method for depositing laminated films using the same
US20080226842A1 (en) * 2006-09-29 2008-09-18 Tokyo Electron Limited Lazy Susan Tool Layout for Light-Activated ALD
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
EP2042619A3 (en) * 2007-09-28 2010-06-02 OSRAM Opto Semiconductors GmbH Coating apparatus and method for its operation
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8465592B2 (en) * 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
GB0816186D0 (en) * 2008-09-05 2008-10-15 Aviza Technologies Ltd Gas delivery device
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
JP5423205B2 (en) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 Deposition equipment
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
JP5445044B2 (en) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 Deposition equipment
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9297072B2 (en) * 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8871628B2 (en) 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US20100221426A1 (en) * 2009-03-02 2010-09-02 Fluens Corporation Web Substrate Deposition System
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5490585B2 (en) * 2009-05-29 2014-05-14 株式会社日立国際電気 Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5444961B2 (en) 2009-09-01 2014-03-19 東京エレクトロン株式会社 Film forming apparatus and film forming method
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
JP5257328B2 (en) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP5310512B2 (en) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 Substrate processing equipment
JP5553588B2 (en) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 Deposition equipment
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
JP5423529B2 (en) 2010-03-29 2014-02-19 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium
WO2012012381A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
FI20105904A0 (en) * 2010-08-30 2010-08-30 Beneq Oy spray head
FI124113B (en) * 2010-08-30 2014-03-31 Beneq Oy Apparatus and method for working the surface of a substrate
US8771791B2 (en) * 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US20120225207A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101879175B1 (en) * 2011-10-20 2018-08-20 삼성전자주식회사 Chemical Vapor Deposition Apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP2013222884A (en) * 2012-04-18 2013-10-28 Furukawa Co Ltd Vapor growth device and film forming method
US20140014965A1 (en) * 2012-07-11 2014-01-16 Philip A. Kraus Chemical vapor deposition system with in situ, spatially separated plasma
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140124788A1 (en) * 2012-11-06 2014-05-08 Intermolecular, Inc. Chemical Vapor Deposition System
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
CN107180738B (en) * 2013-03-15 2019-08-27 应用材料公司 Plasma source for rotary pressure plate formula ald chamber room
TWI627305B (en) * 2013-03-15 2018-06-21 應用材料股份有限公司 Atmospheric lid with rigid plate for carousel processing chambers
JP6134191B2 (en) 2013-04-07 2017-05-24 村川 惠美 Rotary semi-batch ALD equipment
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9694436B2 (en) * 2013-11-04 2017-07-04 Veeco Precision Surface Processing Llc System and method for flux coat, reflow and clean
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20160002784A1 (en) 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6305314B2 (en) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 Film forming apparatus and shower head
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102420015B1 (en) * 2015-08-28 2022-07-12 삼성전자주식회사 Shower head of Combinatorial Spatial Atomic Layer Deposition apparatus
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
KR20180080993A (en) * 2015-12-04 2018-07-13 어플라이드 머티어리얼스, 인코포레이티드 Advanced coating methods and materials to prevent HDP-CVD chamber arcing
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10415137B2 (en) 2016-01-01 2019-09-17 Applied Materials, Inc. Non-metallic thermal CVD/ALD Gas Injector and Purge Systems
US9831099B2 (en) 2016-02-12 2017-11-28 Tokyo Electron Limited Method and apparatus for multi-film deposition and etching in a batch processing system
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11220747B2 (en) * 2018-10-29 2022-01-11 Applied Materials, Inc. Complementary pattern station designs
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20200056273A (en) * 2018-11-14 2020-05-22 주성엔지니어링(주) Apparatus and method for processing substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
KR20200086582A (en) * 2019-01-09 2020-07-17 삼성전자주식회사 Apparatus for atomic layer deposition and method for forming thin film using the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP7253972B2 (en) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 Substrate processing equipment
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
JP7296806B2 (en) * 2019-07-16 2023-06-23 東京エレクトロン株式会社 RuSi film forming method and substrate processing system
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (en) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. Method for Fabricating Layer Structure Having Target Topological Profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
EP3892585A1 (en) * 2020-04-09 2021-10-13 Imec VZW Growing a dielectric material on a surface
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JPH06279182A (en) * 1993-03-29 1994-10-04 Mitsubishi Heavy Ind Ltd Method for growing crystal
US5527731A (en) * 1992-11-13 1996-06-18 Hitachi, Ltd. Surface treating method and apparatus therefor
WO2001017692A1 (en) * 1999-09-08 2001-03-15 Asm America, Inc. Improved apparatus and method for growth of a thin film
US20020100418A1 (en) * 2000-05-12 2002-08-01 Gurtej Sandhu Versatile atomic layer deposition apparatus
DE10141084A1 (en) * 2001-08-22 2002-11-28 Infineon Technologies Ag Apparatus for depositing layers having atomic thickness on a substrate used in the semiconductor industry has a chamber wall arranged between two chamber regions to separate the chamber regions
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US100418A (en) * 1870-03-01 Improvement in cistern-filters
US59538A (en) * 1866-11-13 Improvement in coffee-hullers
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
CA1303503C (en) * 1987-11-10 1992-06-16 Marc Plamondon Ophthalmic solution comprising iodine-polyvinylpyrrolidone complex
JP2742796B2 (en) * 1988-09-20 1998-04-22 三洋電機株式会社 Method for forming a-sic: H thin film
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JPH0812846B2 (en) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 Semiconductor manufacturing equipment
US5705044A (en) * 1995-08-07 1998-01-06 Akashic Memories Corporation Modular sputtering machine having batch processing and serial thin film sputtering
US5747113A (en) * 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US6458416B1 (en) 2000-07-19 2002-10-01 Micron Technology, Inc. Deposition methods
KR100458982B1 (en) * 2000-08-09 2004-12-03 주성엔지니어링(주) Semiconductor device fabrication apparatus having rotatable gas injector and thin film deposition method using the same
US6541353B1 (en) 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
KR100450068B1 (en) 2001-11-23 2004-09-24 주성엔지니어링(주) Multi-sectored flat board type showerhead used in CVD apparatus
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5527731A (en) * 1992-11-13 1996-06-18 Hitachi, Ltd. Surface treating method and apparatus therefor
JPH06279182A (en) * 1993-03-29 1994-10-04 Mitsubishi Heavy Ind Ltd Method for growing crystal
WO2001017692A1 (en) * 1999-09-08 2001-03-15 Asm America, Inc. Improved apparatus and method for growth of a thin film
US20020100418A1 (en) * 2000-05-12 2002-08-01 Gurtej Sandhu Versatile atomic layer deposition apparatus
DE10141084A1 (en) * 2001-08-22 2002-11-28 Infineon Technologies Ag Apparatus for depositing layers having atomic thickness on a substrate used in the semiconductor industry has a chamber wall arranged between two chamber regions to separate the chamber regions
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 1995, no. 01 28 February 1995 (1995-02-28) *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006015915A1 (en) * 2004-08-06 2006-02-16 Aixtron Ag Device and method for high-throughput chemical vapor deposition
JP2009084693A (en) * 2007-09-28 2009-04-23 Osram Opto Semiconductors Gmbh Layer deposition apparatus and method of operating layer deposition apparatus
US9080237B2 (en) 2007-09-28 2015-07-14 Osram Opto Semiconductors Gmbh Layer depositing device and method for operating it
JP5883154B2 (en) * 2012-10-11 2016-03-09 東京エレクトロン株式会社 Deposition equipment

Also Published As

Publication number Publication date
US20040187784A1 (en) 2004-09-30
US6972055B2 (en) 2005-12-06

Similar Documents

Publication Publication Date Title
US6972055B2 (en) Continuous flow deposition system
CN110402477B (en) Selective growth of silicon oxide or silicon nitride on silicon surface in the presence of silicon oxide
US20100221426A1 (en) Web Substrate Deposition System
US6921555B2 (en) Method and system for sequential processing in a two-compartment chamber
US7153542B2 (en) Assembly line processing method
US8815014B2 (en) Method and system for performing different deposition processes within a single chamber
US20060046412A1 (en) Method and system for sequential processing in a two-compartment chamber
US9466524B2 (en) Method of depositing metals using high frequency plasma
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7897217B2 (en) Method and system for performing plasma enhanced atomic layer deposition
US20060040055A1 (en) Method and system for sequential processing in a two-compartment chamber
US20120225191A1 (en) Apparatus and Process for Atomic Layer Deposition
US20150368798A1 (en) Apparatus And Process Containment For Spatially Separated Atomic Layer Deposition
US20070116888A1 (en) Method and system for performing different deposition processes within a single chamber
US20030153177A1 (en) Variable flow deposition apparatus and method in semiconductor substrate processing
US20080026162A1 (en) Radical-enhanced atomic layer deposition system and method
JP2017503079A (en) Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US20060193983A1 (en) Apparatus and methods for plasma vapor deposition processes
US20160024653A1 (en) Plasma Source For Rotating Platen ALD Chambers
US7727912B2 (en) Method of light enhanced atomic layer deposition
US20130237063A1 (en) Split pumping method, apparatus, and system
US6858085B1 (en) Two-compartment chamber for sequential processing
US8859045B2 (en) Method for producing nickel-containing films
US7439180B2 (en) Dispenser system for atomic beam assisted metal organic chemical vapor deposition (MOCVD)
US20220145455A1 (en) Reactor and related methods

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase