WO2003085735A1 - Beol process for cu metallizations free from al-wirebond pads - Google Patents

Beol process for cu metallizations free from al-wirebond pads Download PDF

Info

Publication number
WO2003085735A1
WO2003085735A1 PCT/US2002/010409 US0210409W WO03085735A1 WO 2003085735 A1 WO2003085735 A1 WO 2003085735A1 US 0210409 W US0210409 W US 0210409W WO 03085735 A1 WO03085735 A1 WO 03085735A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
passivation layer
pad
fuse
final passivation
Prior art date
Application number
PCT/US2002/010409
Other languages
English (en)
French (fr)
Inventor
Hans-Joachim Barth
Petra Felsner
Gerald Friese
Erdem Kaltalioglu
Original Assignee
Infineon Technologies Ag
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Ag filed Critical Infineon Technologies Ag
Priority to AU2002250505A priority Critical patent/AU2002250505A1/en
Priority to EP02719422A priority patent/EP1490906A1/de
Priority to PCT/US2002/010409 priority patent/WO2003085735A1/en
Priority to JP2003582819A priority patent/JP2005522055A/ja
Publication of WO2003085735A1 publication Critical patent/WO2003085735A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05073Single internal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/45124Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48699Principal constituent of the connecting portion of the wire connector being Aluminium (Al)
    • H01L2224/487Principal constituent of the connecting portion of the wire connector being Aluminium (Al) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48717Principal constituent of the connecting portion of the wire connector being Aluminium (Al) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48724Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu)
    • H01L2224/488Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48817Principal constituent of the connecting portion of the wire connector being Copper (Cu) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48824Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01058Cerium [Ce]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • H01L2924/05042Si3N4
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor

Definitions

  • the present invention relates to preparing FBEOL (FAR-BACK-END-OF-LINE) copper metallizations for use in semiconductors without relying on additional Al-wirebond pads by: a process of probing, bonding, and fusing with only one patterning step for the final passivation opening; or a process of probing, bonding, fusing and flip chip bumping with two patterning steps - wherein both processes eliminate the Al-via + Al-pad patterning.
  • FBEOL FAR-BACK-END-OF-LINE
  • a fabricated integrated circuit (IC) device is assembled into a package for use on a printed circuit board as part of a larger circuit .
  • IC integrated circuit
  • a metal bond is formed to make a connection between the bonding pad of the IC device and a lead extending to the package lead frame, or a solder ball connection to a ceramic or polymeric chip carrier.
  • Al and Al alloys are used as conventional chip wiring materials. However, it is desirous to replace Al wiring material with Cu and Cu alloys since Cu wiring would provide improved chip performance and superior reliability compared to Al and alloys of Al . Nevertheless, the packaging of IC devices utilizing copper wiring presents a considerable number of technical issues and challenges related to the reaction of copper with material used in the solder-ball process and/or the susceptibility of copper to attack and corrosion.
  • U.S. Patent 6,187,680 disclose a method for creating aluminum wirebound pad on a copper BEOL. The process comprises:
  • the method of making the interconnection structure for the semiconductor circuit comprises: providing a substrate having coplanar damascene non-self passivating conductors embedded in a first insulator defining a first electrical interconnect layer; forming a second electrical interconnect layer comprising coplanar self-passivating conductors in a second insulator, the second electrical interconnect layer over-lying the first electrical interconnect layer and the second interconnect self- passivating conductors contacting the non-self passivating conductors ; and depositing a final passivation layer over the second electrical interconnect layer.
  • One of the non-self passivating conductors forms part of a Controlled, Collapse Chip Connection (C4) barrier structure, the method further comprising the steps of: etching the final passivation layer above the C4 barrier structure; and depositing pad limiting and C4 metallurgies.
  • C4 Controlled, Collapse Chip Connection
  • U.S. Patent 6,054,380 disclose a method an apparatus for integrating low dielectric constant materials into a multilevel metallization and interconnect structure.
  • the method comprises: forming a metal line upon a surface of a substrate, where the metal line has a top surface and sidewalls; depositing a barrier layer over the metal line and the surface of said substrate; removing a portion of the barrier layer where the barrier layer remains deposited on at least the sidewalls of the metal line; depositing a first insulative layer over said metal line, the surface of the substrate and the barrier layer, where the insulative layer is a material that, but for the barrier layer protecting the sidewalls of the metal line, would react with a material of the same metal line; depositing a second insulative layer over the first insulative layer; and forming a via that contacts a top surface of the metal line .
  • One object of the present invention is to provide a process for fabricating a semiconductor device of a Cu far-back-end-of- the-line (FBEOL) structure comprising Cu metallizations wherein probing, bonding and fusing is accomplished with only one patterning step for the final passivation opening.
  • FBEOL far-back-end-of- the-line
  • Another object of the present invention is to provide a process for fabricating a semiconductor device of a Cu front- back-end-of-the-line (FBEOL) structure comprising Cu metallization wherein probing, bonding, and fusing is accomplished together with flip chip bumping with two patterning steps .
  • FBEOL Cu front- back-end-of-the-line
  • a further object of the present invention is to provide a process for preparing Cu front-back-end-of-the-line (FBEOL) structures of Cu metallizations that eliminate the Al-via + Al- pad patterning.
  • FIG. 1 depicts an integrated scheme for preparing the semiconductors of the invention in which there is probing, bonding and fusing utilizing only one patterning step for the final passivation opening in preparing i-Au passivated Cu-pads and Cu-laser fuses.
  • FIG. 2 depicts an integration scheme of the invention process in which there is probing, bonding and fusing, but also flip chip bumping utilizing two patterning steps to obtain Cu pads and fuses with i-Au finish.
  • the invention will now be described in more detail with reference to the accompanying drawings, starting from a point where there is a multi-level Cu metallization either in combination with a conventional oxide or nitride or with low k- dielectrics such as Silk, Flare, Coral, SiCOH, or a porous low k material.
  • a mechanically hard dielectric e.g., oxide or FSG [fluorinated silicon glass]
  • the last Cu layer must be thick enough to support the wire bonding process (approximately 500nm or more) .
  • Cu-wirings including the fuse-lengths are manufactured by state of the art damascene or dual damascene processes (i.e., patterning of the trenches and vias in the dielectric and filling it with liner, Cu seed layer, Cu-fill followed by an anneal and Cu CMP [chemical mechanical polishing] ) .
  • the process sequence of the first embodiment is as follows: providing a substrate having embedded copper wires and copper pads ; selectively depositing a first metallic passivation layer on the top copper surfaces sufficient to prevent Cu oxidation and/or Cu out diffusion; depositing a final passivation layer; employing lithography and etching of the final passivation layer to affect pad opening and opening of the fuses by exposing the passivated Cu in the bond pad area and in the fuse area; and affecting an additional passivation of open pad and open fuse areas by selective immersion deposition of Au.
  • the process sequence of the second embodiment of the invention entails: providing a substrate of a damascene copper pad and copper fuse embedded in a dielectric with a dielectric cap layer thereon; depositing a final passivation layer and affecting final passivation opening and fuse patterning with a lithographic and etching step; deposition of a liner (diffusion barrier) and copper seed layer, followed by Cu electroplating; immersion plating Au on top of Cu pads to create a surface sufficient for probing and bonding; and providing a dielectric layer sufficient to protect the fuses, but thin enough that the fuse can be blown through it.
  • the integration scheme for preparing the semiconductor of the invention process commences with a multi-level Cu metallization in which a Cu or Cu alloy (MxCu) pad 10 and Cu fuse 11 are embedded in a dielectric substrate, the requirement being that at least the last Cu-layer must be embedded in the mechanically hard dielectric (e.g. oxide, FSG) .
  • MxCu Cu or Cu alloy
  • the multi-level Cu metallization may be in combination with a conventional oxide or nitride or a low k-dielectric (Silk, Flare, Coral, SiCOH, or other porous low K materials) .
  • the last Cu layer must also be thick enough to support the wirebonding process (approximately 500nm or more) .
  • the last Cu- wiring including the fuse-link may be manufactured in a state- of-the-art damascene or dual damascene process (i.e. patterning of the trenches and vias in the dielectric and filling it with liner, Cu-seed layer, Cu-fill followed by an anneal and Cu CMP) .
  • the top Cu surface is passivated against oxidation or Cu out diffusion by depositing a metallic passivation layer of either CoWP cap layer as shown in FIG. 1 or a layer of CoP or Ru.
  • a metallic passivation layer of either CoWP cap layer as shown in FIG. 1 or a layer of CoP or Ru.
  • deposition of a dielectric cap or etch stop layer such as SiN or Blok may be made at this point, whereupon the final passivation (using conventional PECVD oxide or nitride layers) is deposited.
  • a conventional patterning sequence utilizing lithography and etching is next employed on the final passivation to obtain the pad opening and the opening of the fuses.
  • the passivated Cu is exposed in the bond pad area and also is the fuse area.
  • the metallic passivation layer is needed on top of the Cu surface .
  • each individual fuse link gets its individual opening in the final passivation.
  • One large opening of the whole fuse area (which is the state of the art today) should be avoided. This is so because, during the laser fusing process, the splattered material should be redeposited at the vertical side wall of the final passivation in order to avoid a short of the neighboring fuse .
  • the integration scheme of this first embodiment can be combined with the realization of inductors in the last Cu-level and also with a MIM-cap scheme.
  • an additional thin ( ⁇ 200nm because of fusing) layer of dielectric e.g. oxide, nitride, photosensitive (low k or other) dielectric
  • dielectric e.g. oxide, nitride, photosensitive (low k or other) dielectric
  • Final passivation is accomplished using an oxide or nitride, formed by final passivation opening and fuse patterning (on the whole fuse) with a single lithographic and etching step.
  • deposition of a liner (diffusion barrier) and a Cu seed layer is performed followed by a conventional Cu electroplating and CMP of the excess Cu and liner.
  • Immersion deposition of Au (I-Au) is used to cause plating on top of the Cu pads to create a surface sufficient for probing and bonding.
  • a thin layer of a dielectric ⁇ 200nm
  • the packing of the thin dielectric layer is followed by a patterning step to affect the pad opening.
  • a thin photosensitive low k dielectric may be deposited for exposure and development to enable alleviating an etch process for the pad opening .
  • the process is very well suited for providing inductors (because thickening of the last Cu provides low resistance) and MIM can be easily integrated with a C4 or Flip Chip type of process (because the fuses are protected during the UBM and bumping process) .
  • the probing and fusing may be done after bumping.
  • An additional benefit of the of the second embodiment of the invention is that all of the unblown fuses are protected by the last dielectric layer.
PCT/US2002/010409 2002-04-02 2002-04-02 Beol process for cu metallizations free from al-wirebond pads WO2003085735A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AU2002250505A AU2002250505A1 (en) 2002-04-02 2002-04-02 Beol process for cu metallizations free from al-wirebond pads
EP02719422A EP1490906A1 (de) 2002-04-02 2002-04-02 Beol-prozess für cu-metallisierungen ohne al-drahtbondkontaktflächen
PCT/US2002/010409 WO2003085735A1 (en) 2002-04-02 2002-04-02 Beol process for cu metallizations free from al-wirebond pads
JP2003582819A JP2005522055A (ja) 2002-04-02 2002-04-02 AL−ワイヤボンドパッドを必要としないCuメタライゼーションのためのBEOLプロセス

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2002/010409 WO2003085735A1 (en) 2002-04-02 2002-04-02 Beol process for cu metallizations free from al-wirebond pads

Publications (1)

Publication Number Publication Date
WO2003085735A1 true WO2003085735A1 (en) 2003-10-16

Family

ID=28789618

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/010409 WO2003085735A1 (en) 2002-04-02 2002-04-02 Beol process for cu metallizations free from al-wirebond pads

Country Status (4)

Country Link
EP (1) EP1490906A1 (de)
JP (1) JP2005522055A (de)
AU (1) AU2002250505A1 (de)
WO (1) WO2003085735A1 (de)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004061307B4 (de) * 2004-12-20 2008-06-26 Infineon Technologies Ag Halbleiterbauteil mit Passivierungsschicht
US7829450B2 (en) 2007-11-07 2010-11-09 Infineon Technologies Ag Method of processing a contact pad, method of manufacturing a contact pad, and integrated circuit element
US7986025B2 (en) 2006-10-26 2011-07-26 Renesas Electronics Corporation Semiconductor device and method for manufacturing same
US10957642B1 (en) 2019-09-20 2021-03-23 International Business Machines Corporation Resistance tunable fuse structure formed by embedded thin metal layers

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4005472A (en) * 1975-05-19 1977-01-25 National Semiconductor Corporation Method for gold plating of metallic layers on semiconductive devices
EP0130468A2 (de) * 1983-06-30 1985-01-09 International Business Machines Corporation Schutzüberzug für Leiter, um mechanisches und elektronisches Fehlen zu verhindern, besonders während thermischer Behandlung ihrer Trägerstruktur
US5795819A (en) * 1996-06-28 1998-08-18 International Business Machines Corporation Integrated pad and fuse structure for planar copper metallurgy
US6069066A (en) * 1998-12-09 2000-05-30 United Microelectronics Corp. Method of forming bonding pad
US6071808A (en) * 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
US20010022403A1 (en) * 1998-06-05 2001-09-20 Ellis Lee Bonding pad structure and method for making same
US20010042897A1 (en) * 2000-01-31 2001-11-22 Wen-Kuan Yeh Copper fuse for integrated circuit
US20020142592A1 (en) * 2001-03-30 2002-10-03 Hans-Joachim Barth FBEOL process for Cu metallizations free from Al-wirebond pads

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4005472A (en) * 1975-05-19 1977-01-25 National Semiconductor Corporation Method for gold plating of metallic layers on semiconductive devices
EP0130468A2 (de) * 1983-06-30 1985-01-09 International Business Machines Corporation Schutzüberzug für Leiter, um mechanisches und elektronisches Fehlen zu verhindern, besonders während thermischer Behandlung ihrer Trägerstruktur
US5795819A (en) * 1996-06-28 1998-08-18 International Business Machines Corporation Integrated pad and fuse structure for planar copper metallurgy
US20010022403A1 (en) * 1998-06-05 2001-09-20 Ellis Lee Bonding pad structure and method for making same
US6069066A (en) * 1998-12-09 2000-05-30 United Microelectronics Corp. Method of forming bonding pad
US6071808A (en) * 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
US20010042897A1 (en) * 2000-01-31 2001-11-22 Wen-Kuan Yeh Copper fuse for integrated circuit
US20020142592A1 (en) * 2001-03-30 2002-10-03 Hans-Joachim Barth FBEOL process for Cu metallizations free from Al-wirebond pads

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004061307B4 (de) * 2004-12-20 2008-06-26 Infineon Technologies Ag Halbleiterbauteil mit Passivierungsschicht
US7470993B2 (en) 2004-12-20 2008-12-30 Infineon Technologies Ag Semiconductor component with passivation layer
US7986025B2 (en) 2006-10-26 2011-07-26 Renesas Electronics Corporation Semiconductor device and method for manufacturing same
US7829450B2 (en) 2007-11-07 2010-11-09 Infineon Technologies Ag Method of processing a contact pad, method of manufacturing a contact pad, and integrated circuit element
US8669666B2 (en) 2007-11-07 2014-03-11 Infineon Technologies Ag Method of processing a contact pad, method of manufacturing a contact pad, and integrated circuit element
US10957642B1 (en) 2019-09-20 2021-03-23 International Business Machines Corporation Resistance tunable fuse structure formed by embedded thin metal layers
US11676894B2 (en) 2019-09-20 2023-06-13 International Business Machines Corporation Resistance tunable fuse structure formed by embedded thin metal layers

Also Published As

Publication number Publication date
AU2002250505A1 (en) 2003-10-20
JP2005522055A (ja) 2005-07-21
EP1490906A1 (de) 2004-12-29

Similar Documents

Publication Publication Date Title
US6730982B2 (en) FBEOL process for Cu metallizations free from Al-wirebond pads
KR100354596B1 (ko) 알루미늄 콘택트 형성 방법 및 집적 회로 구조체
US7777333B2 (en) Structure and method for fabricating flip chip devices
US8148822B2 (en) Bonding pad on IC substrate and method for making the same
CN102142418B (zh) 半导体结构及半导体装置的制造方法
US9142527B2 (en) Method of wire bonding over active area of a semiconductor circuit
US8319343B2 (en) Routing under bond pad for the replacement of an interconnect layer
US6614091B1 (en) Semiconductor device having a wire bond pad and method therefor
US6344410B1 (en) Manufacturing method for semiconductor metalization barrier
US7494912B2 (en) Terminal pad structures and methods of fabricating same
US6472304B2 (en) Wire bonding to copper
US7245025B2 (en) Low cost bonding pad and method of fabricating same
US7566964B2 (en) Aluminum pad power bus and signal routing for integrated circuit devices utilizing copper technology interconnect structures
US6346472B1 (en) Manufacturing method for semiconductor metalization barrier
US6380625B2 (en) Semiconductor interconnect barrier and manufacturing method thereof
US20020068385A1 (en) Method for forming anchored bond pads in semiconductor devices and devices formed
EP1490906A1 (de) Beol-prozess für cu-metallisierungen ohne al-drahtbondkontaktflächen
KR20070022032A (ko) 구리-금속화 집적 회로 위에 오버코트-보호된 본딩 가능한금속 플러그를 갖는 콘택트 패드를 위한 구조 및 방법

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2002719422

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2003582819

Country of ref document: JP

WWP Wipo information: published in national office

Ref document number: 2002719422

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 2002719422

Country of ref document: EP