WO2000040776A1 - In situ chemical generator and method - Google Patents
In situ chemical generator and method Download PDFInfo
- Publication number
- WO2000040776A1 WO2000040776A1 PCT/US2000/000231 US0000231W WO0040776A1 WO 2000040776 A1 WO2000040776 A1 WO 2000040776A1 US 0000231 W US0000231 W US 0000231W WO 0040776 A1 WO0040776 A1 WO 0040776A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- free radicals
- precursor
- hydrogen
- wafer
- species
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 65
- 238000011065 in-situ storage Methods 0.000 title claims description 5
- 239000000126 substance Substances 0.000 title abstract description 11
- 239000013626 chemical specie Substances 0.000 claims abstract description 26
- 239000004065 semiconductor Substances 0.000 claims abstract description 8
- 239000002243 precursor Substances 0.000 claims description 45
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 38
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 claims description 33
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 32
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 claims description 28
- 229910017604 nitric acid Inorganic materials 0.000 claims description 28
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 22
- 238000006243 chemical reaction Methods 0.000 claims description 21
- 239000011737 fluorine Substances 0.000 claims description 17
- 229910052731 fluorine Inorganic materials 0.000 claims description 17
- 239000007789 gas Substances 0.000 claims description 17
- 230000015572 biosynthetic process Effects 0.000 claims description 16
- 229910052757 nitrogen Inorganic materials 0.000 claims description 16
- 239000003054 catalyst Substances 0.000 claims description 14
- 229910052760 oxygen Inorganic materials 0.000 claims description 14
- 239000001301 oxygen Substances 0.000 claims description 14
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 13
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 13
- 229910052710 silicon Inorganic materials 0.000 claims description 13
- 239000010703 silicon Substances 0.000 claims description 13
- 239000010408 film Substances 0.000 claims description 10
- 229920002120 photoresistant polymer Polymers 0.000 claims description 10
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 9
- 239000000460 chlorine Substances 0.000 claims description 9
- 229910052801 chlorine Inorganic materials 0.000 claims description 9
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 8
- 239000002184 metal Substances 0.000 claims description 8
- 229910000077 silane Inorganic materials 0.000 claims description 8
- 238000001816 cooling Methods 0.000 claims description 7
- 229910052751 metal Inorganic materials 0.000 claims description 7
- 239000002253 acid Substances 0.000 claims description 6
- 239000000463 material Substances 0.000 claims description 6
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical group [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 6
- 239000010409 thin film Substances 0.000 claims description 6
- 150000007513 acids Chemical class 0.000 claims description 5
- 230000006698 induction Effects 0.000 claims description 5
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 5
- 229920005591 polysilicon Polymers 0.000 claims description 5
- 238000009987 spinning Methods 0.000 claims description 5
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 claims description 4
- 229910044991 metal oxide Inorganic materials 0.000 claims description 4
- 150000004706 metal oxides Chemical class 0.000 claims description 4
- 230000004888 barrier function Effects 0.000 claims description 3
- 229910052736 halogen Inorganic materials 0.000 claims description 3
- 150000002367 halogens Chemical group 0.000 claims description 3
- 229910052697 platinum Inorganic materials 0.000 claims description 3
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 2
- 238000000576 coating method Methods 0.000 claims description 2
- 239000007800 oxidant agent Substances 0.000 claims description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 2
- 239000001257 hydrogen Substances 0.000 claims 25
- 229910052739 hydrogen Inorganic materials 0.000 claims 25
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims 18
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims 14
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims 13
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 claims 10
- 239000007864 aqueous solution Substances 0.000 claims 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims 8
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims 8
- 229910052799 carbon Inorganic materials 0.000 claims 8
- 239000000047 product Substances 0.000 claims 8
- 150000002431 hydrogen Chemical class 0.000 claims 7
- 239000007795 chemical reaction product Substances 0.000 claims 4
- 229910052717 sulfur Inorganic materials 0.000 claims 4
- 239000000243 solution Substances 0.000 claims 3
- 229910021529 ammonia Inorganic materials 0.000 claims 2
- 230000001590 oxidative effect Effects 0.000 claims 2
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 claims 1
- 239000011248 coating agent Substances 0.000 claims 1
- 229910052681 coesite Inorganic materials 0.000 claims 1
- 229910052906 cristobalite Inorganic materials 0.000 claims 1
- 150000002500 ions Chemical class 0.000 claims 1
- ZODDGFAZWTZOSI-UHFFFAOYSA-N nitric acid;sulfuric acid Chemical compound O[N+]([O-])=O.OS(O)(=O)=O ZODDGFAZWTZOSI-UHFFFAOYSA-N 0.000 claims 1
- 238000005121 nitriding Methods 0.000 claims 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims 1
- 230000035515 penetration Effects 0.000 claims 1
- 239000000377 silicon dioxide Substances 0.000 claims 1
- 229910052682 stishovite Inorganic materials 0.000 claims 1
- 239000011593 sulfur Substances 0.000 claims 1
- 229910052905 tridymite Inorganic materials 0.000 claims 1
- 235000012431 wafers Nutrition 0.000 description 13
- 150000003254 radicals Chemical class 0.000 description 9
- 230000003647 oxidation Effects 0.000 description 8
- 238000007254 oxidation reaction Methods 0.000 description 8
- 230000008569 process Effects 0.000 description 8
- 239000007788 liquid Substances 0.000 description 6
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 5
- 238000004140 cleaning Methods 0.000 description 5
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- 229910002092 carbon dioxide Inorganic materials 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 239000003153 chemical reaction reagent Substances 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- 230000006798 recombination Effects 0.000 description 3
- 238000005215 recombination Methods 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- 101100425947 Mus musculus Tnfrsf13b gene Proteins 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 238000000354 decomposition reaction Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 230000006911 nucleation Effects 0.000 description 2
- 238000010899 nucleation Methods 0.000 description 2
- -1 oxygen radicals Chemical class 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 2
- 238000009279 wet oxidation reaction Methods 0.000 description 2
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- 101000939500 Homo sapiens UBX domain-containing protein 11 Proteins 0.000 description 1
- 229910006121 SOBr2 Inorganic materials 0.000 description 1
- 102100029645 UBX domain-containing protein 11 Human genes 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 150000001805 chlorine compounds Chemical class 0.000 description 1
- 238000002485 combustion reaction Methods 0.000 description 1
- 239000002826 coolant Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 125000000047 disilanyl group Chemical group [H][Si]([*])([H])[Si]([H])([H])[H] 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000008030 elimination Effects 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 238000005247 gettering Methods 0.000 description 1
- 231100001261 hazardous Toxicity 0.000 description 1
- XLYOFNOQVPJJNP-ZSJDYOACSA-N heavy water Substances [2H]O[2H] XLYOFNOQVPJJNP-ZSJDYOACSA-N 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- BMNDJWSIKZECMH-UHFFFAOYSA-N nitrosyl bromide Chemical compound BrN=O BMNDJWSIKZECMH-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000010791 quenching Methods 0.000 description 1
- 230000000171 quenching effect Effects 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- OBTWBSRJZRCYQV-UHFFFAOYSA-N sulfuryl difluoride Chemical compound FS(F)(=O)=O OBTWBSRJZRCYQV-UHFFFAOYSA-N 0.000 description 1
- 235000011149 sulphuric acid Nutrition 0.000 description 1
- DUGWRBKBGKTKOX-UHFFFAOYSA-N tetrafluoro(oxo)-$l^{6}-sulfane Chemical compound FS(F)(F)(F)=O DUGWRBKBGKTKOX-UHFFFAOYSA-N 0.000 description 1
- HFRXJVQOXRXOPP-UHFFFAOYSA-N thionyl bromide Chemical compound BrS(Br)=O HFRXJVQOXRXOPP-UHFFFAOYSA-N 0.000 description 1
- LSJNBGSOIVSBBR-UHFFFAOYSA-N thionyl fluoride Chemical compound FS(F)=O LSJNBGSOIVSBBR-UHFFFAOYSA-N 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
Classifications
-
- H01L21/205—
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- C—CHEMISTRY; METALLURGY
- C01—INORGANIC CHEMISTRY
- C01B—NON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
- C01B17/00—Sulfur; Compounds thereof
- C01B17/69—Sulfur trioxide; Sulfuric acid
- C01B17/74—Preparation
- C01B17/76—Preparation by contact processes
-
- C—CHEMISTRY; METALLURGY
- C01—INORGANIC CHEMISTRY
- C01B—NON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
- C01B21/00—Nitrogen; Compounds thereof
- C01B21/20—Nitrogen oxides; Oxyacids of nitrogen; Salts thereof
- C01B21/24—Nitric oxide (NO)
- C01B21/26—Preparation by catalytic or non-catalytic oxidation of ammonia
-
- C—CHEMISTRY; METALLURGY
- C01—INORGANIC CHEMISTRY
- C01B—NON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
- C01B21/00—Nitrogen; Compounds thereof
- C01B21/20—Nitrogen oxides; Oxyacids of nitrogen; Salts thereof
- C01B21/24—Nitric oxide (NO)
- C01B21/30—Preparation by oxidation of nitrogen
-
- C—CHEMISTRY; METALLURGY
- C01—INORGANIC CHEMISTRY
- C01B—NON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
- C01B33/00—Silicon; Compounds thereof
- C01B33/02—Silicon
-
- C—CHEMISTRY; METALLURGY
- C01—INORGANIC CHEMISTRY
- C01B—NON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
- C01B7/00—Halogens; Halogen acids
- C01B7/01—Chlorine; Hydrogen chloride
-
- C—CHEMISTRY; METALLURGY
- C01—INORGANIC CHEMISTRY
- C01B—NON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
- C01B7/00—Halogens; Halogen acids
- C01B7/19—Fluorine; Hydrogen fluoride
- C01B7/191—Hydrogen fluoride
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
- H05H1/26—Plasma torches
- H05H1/30—Plasma torches using applied electromagnetic fields, e.g. high frequency or microwave energy
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/42—Stripping or agents therefor
- G03F7/427—Stripping or agents therefor using plasma means only
Definitions
- This invention pertains generally to the fabrication of semiconductor devices and, more particularly, to a method and apparatus for generating important chemical species in the deposition, etching, cleaning, and growth of various materials and layers.
- Another object of the invention is to provide a chemical generator and method of the above character which are particularly suitable for generating chemical species for use in the fabrication of semiconductor devices.
- a chemical generator and method for generating a chemical species at a point of use such as the chamber of a reactor in which a workpiece such as a semiconductor wafer is to be processed.
- the species is generated by creating free radicals, and combining the free radicals to form the chemical species at the point of use.
- Figure 1 is a diagrammatic view of one embodiment of an in situ chemical generator incorporating the invention.
- Figure 2 is an enlarged cross-sectional view taken along line 2 — 2 of Figure 1 .
- the chemical generator includes a free radical source 1 1 which has one or more chambers in which free radicals are created and delivered for recombination into stable species.
- the source has three chambers which are formed by elongated, concentric tubes 12 - 14. Those chambers include a first annular chamber 16 between the outermost tube 12 and the middle tube 13, a second annular chamber 17 between middle tube 13 and the innermost tube 14, and a third chamber 18 inside the innermost tube
- the tubes are fabricated of a material such as ceramic, quartz or metal.
- the number of tubes which are required in the generator is dependent upon the chemical species being generated and the reaction by which it is formed, with a separate chamber usually, but not necessarily, being provided for each type of free radical to be used in the process.
- Gases or other precursor compounds from which the free radicals are formed are introduced into the chambers from sources 21 - 23 or by other suitable means.
- Such precursors can be in gaseous, liquid and/or solid form, or a combination thereof.
- the means for generating the plasma includes an induction coil 26 disposed concentrically about the tubes, a radio frequency (RF) power generator 27 connected to the coil by a matching network 28, and a Tesla coil 29 for striking an arc to ignite the plasma.
- RF radio frequency
- the plasma can, however, be formed by any other suitable means such as
- RF electrodes or microwaves Downstream of the tubes, the free radicals are recombined to form the desired species.
- recombination takes place in a chamber 31 which is part of a reactor 32 in which a semiconductor wafer 33 is being processed. Recombination can be promoted by any suitable means such as by cooling 36 and/or by the use of a catalyst 37.
- Cooling can be effected in a number of ways, including the circulation of a coolant such as an inert gas, liquid nitrogen, liquid helium or cooled water through tubes or other suitable means in heat exchange relationship with the reacting gases. It can also be effected by passing the gases through an expansion nozzle to lower their temperature, or by the use of either a permanent magnet or an electromagnet to converge and then subsequently expand the plasma to lower its temperature.
- a coolant such as an inert gas, liquid nitrogen, liquid helium or cooled water
- a coolant such as an inert gas, liquid nitrogen, liquid helium or cooled water
- tubes or other suitable means in heat exchange relationship with the reacting gases. It can also be effected by passing the gases through an expansion nozzle to lower their temperature, or by the use of either a permanent magnet or an electromagnet to converge and then subsequently expand the plasma to lower its temperature.
- a catalyst can be placed either in the cooling zone or downstream of it. It can, for example, be in the form of a thin film deposited on the wall of a chamber or tube through which the reacting gases pass, a gauze placed in the stream of gas, or a packed bed. The important thing is that the catalyst be situated in such a way that all of the gas is able to contact its surface and react with it.
- monitoring equipment such as an optical emission spectrometer can be provided for monitoring parameters such as species profile and steam generation.
- the chemical generator is part of the reactor, and the species produced is formed in close proximity to the wafer being processed. That is the preferred application of the generator, although it can also be used in stand-alone applications as well. It can be added to existing process reactors as well as being constructed as an integral part of new reactors, or as a stand-alone system.
- the generator can be employed in a wide variety of applications for generating different species for use in the fabrication of semiconductor devices, some examples of which are given below.
- Si + H O ⁇ SiO + H 2 can be generated in accordance with the invention by admitting H 2 and O 2 into one of the plasma generating chambers. When the plasma is struck, the H and O 2 react to form steam in close proximity to the silicon wafer.
- oxygen admitted alone or with N 2 and/or Ar can be used to produce ozone (O3) to lower the temperature for oxidation and/or improve device characteristics.
- NO is supplied to the reactor chamber from a source such as a cylinder, and since NO is toxic, special precautions must be taken to avoid leaks in the gas lines which connect the source to the reactor. Also, the purity of the NO gas is a significant factor in the final quality of the interface formed between the silicon and the silicon oxide, but it is difficult to produce extremely pure NO.
- NO can also be produced by other reactions such as the cracking of a molecule containing only nitrogen and oxygen, such as N 2 O.
- the NO is produced by admitting N O to the plasma chamber by itself or with O 2 .
- a gas such as Ar can be used as a carrier gas in order to facilitate formation of the plasma.
- N 2 O can be cracked by adding a small amount of O 2 to the N 2 O to form NO 2 , which then dissociates to NO and O 2 .
- O 2 In rapid thermal processing chambers and diffusion furnaces where temperatures are higher than the temperature for complete dissociation of NO 2 to NO and O 2 (620°C), the addition of NO 2 will assist in the oxidation of silicon for gate applications where it has been found that nitrogen assists as a barrier for boron diffusion.
- a catalyst can be used to promote the conversion of NO to NO and O 2 .
- nitric acid can be generated by adding water vapor or additional H 2 and O 2 in the proper proportions.
- NH3 and O 2 can be combined in the plasma chamber to produce NO and steam at the point of use through the reaction NH 3 + O 2 ⁇ NO + H 2 O.
- Decomposition of various organic chlorides with oxygen at elevated temperatures provides chlorine and oxygen-containing reagents for subsequent reactions in, e.g., silicon processing.
- Such decomposition is generally of the form
- dielectric films can be formed from appropriate precursor gases.
- Polysilicon can be formed using SiH4 and H 2 , or silane alone.
- the silane may be introduced downstream of the generator to avoid nucleation and particle formation.
- Silicon nitride can be formed by using NH3 or N 2 with silane (SiH4) or one of the higher silanes, e.g. Si 2 H5.
- the silane can be introduced downstream of the generator to avoid nucleation and particle formation.
- the chemical generator is also capable of using liquids and solids as starting materials, so that precursors such as TEOS can be used in the formation of conformal coatings.
- precursors such as TEOS can be used in the formation of conformal coatings.
- Ozone and TEOS have been found to be an effective mixture for the deposition of uniform layers.
- Metal and Metal Oxide films can be deposited via various precursors in accordance with the invention.
- Ta 2 O5 films which are used extensively in memory devices can be formed by generating a precursor such as TaCls via reduction of TaCIs followed by oxidation of the TaCIs to form Ta 2 O5-
- the precursor from which the Ta 2 O5 is generated can be expressed as TaX m , where X is a halogen species, and m is the stoichiometric number.
- Copper can be deposited as a film or an oxide through the reaction
- CuCI 2 + H 2 ⁇ Cu + HCI can be formed in the same way.
- a solid precursor such as Cu or another metal can also be used.
- organic residue from previous process steps can be effectively removed by using O 2 to form ozone which is quite effective in the removal of organic contaminants.
- reacting H 2 with an excess of O 2 will produce steam and O 2 as well as other oxygen radicals, all of which are effective in eliminating organic residue.
- the temperature in the chamber should be below about 700°C if a wafer is present, in order to prevent oxide formation during the cleaning process.
- Sulfuric acid, nitric acid and hydrofluoric acid for use in general wafer cleaning are also effectively produced with the invention.
- Sulfuric acid (H 2 SO4> is generated by reacting either S, SO or SO 2 with H 2 and O 2 in accordance with reaction such as the following: S + 2.5O 2 + 2H 2 ⁇ H 2 SO 4 + H 2 O
- Nitric acid is generated by reacting NH3 with H 2 and O 2 , or by a reaction such as the following:
- Mixed acids can be generated from a single precursor by reactions such as the following:
- H 2 O or H and O 2 can be co-injected to form steam so that the solvating action of water will disperse in solution in the products.
- the temperature of the water must be cool enough so that a thin film of water will condense on the wafer surface. Raising the temperature of the water will evaporate the water solution, and spinning the wafer will further assist in the removal process.
- the native oxide which is ever present when a silicon wafer is exposed to the atmosphere can be selectively eliminated by a combination of HF and steam formed by adding a fluorine source such as NF3 or CF4 to the reagent gases H 2 and O 2 .
- a fluorine source such as NF3 or CF4
- the reaction chamber should be maintained at a pressure below one atmosphere.
- H 2 and O 2 can also be reacted to form steam for use in the stripping of photoresist which is commonly used in patterning of silicon wafers in the manufacture of integrated circuits.
- other components such as HF, H2SO4 and HNO3 which are also generated with the invention can be used in varying combinations with the steam to effectively remove photoresist from the wafer surface.
- Hard implanted photoresist as well as residues in vias can also be removed with steam in combination with these acids.
- SO3 for use in the stripping of organic photoresist can be generated by adding O to SO 2 .
- N 2 O can be converted to NO 2 , a strong oxidizing agent which can also be used in the stripping of photoresist.
- Hydrofluoric acid for use in the stripping of photoresist can be generated in situ in accordance with any of the following reactions:
Landscapes
- Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- General Chemical & Material Sciences (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Electromagnetism (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Drying Of Semiconductors (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Materials For Medical Uses (AREA)
- Inorganic Fibers (AREA)
- Pharmaceuticals Containing Other Organic And Inorganic Compounds (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)
Abstract
Description
Claims
Priority Applications (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
AT00902332T ATE475726T1 (en) | 1999-01-05 | 2000-01-04 | CHEMICAL IN SITU GENERATOR AND PROCESS |
DE60044739T DE60044739D1 (en) | 1999-01-05 | 2000-01-04 | CHEMICAL IN SITU GENERATOR AND METHOD |
EP00902332A EP1155164B1 (en) | 1999-01-05 | 2000-01-04 | In situ chemical generator and method |
JP2000592468A JP4832643B2 (en) | 1999-01-05 | 2000-01-04 | On-site chemical generator and method |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/225,922 US6579805B1 (en) | 1999-01-05 | 1999-01-05 | In situ chemical generator and method |
US09/225,922 | 1999-01-05 |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2000040776A1 true WO2000040776A1 (en) | 2000-07-13 |
Family
ID=22846826
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2000/000231 WO2000040776A1 (en) | 1999-01-05 | 2000-01-04 | In situ chemical generator and method |
Country Status (8)
Country | Link |
---|---|
US (4) | US6579805B1 (en) |
EP (1) | EP1155164B1 (en) |
JP (1) | JP4832643B2 (en) |
KR (1) | KR100755122B1 (en) |
AT (1) | ATE475726T1 (en) |
DE (1) | DE60044739D1 (en) |
TW (1) | TW439105B (en) |
WO (1) | WO2000040776A1 (en) |
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003059924A (en) * | 2001-08-17 | 2003-02-28 | Sekisui Chem Co Ltd | Multistage discharge plasma treatment method and apparatus thereof |
EP3038142A1 (en) * | 2014-12-18 | 2016-06-29 | LAM Research Corporation | Selective nitride etch |
US9837286B2 (en) | 2015-09-04 | 2017-12-05 | Lam Research Corporation | Systems and methods for selectively etching tungsten in a downstream reactor |
US9911620B2 (en) | 2015-02-23 | 2018-03-06 | Lam Research Corporation | Method for achieving ultra-high selectivity while etching silicon nitride |
US10147588B2 (en) | 2016-02-12 | 2018-12-04 | Lam Research Corporation | System and method for increasing electron density levels in a plasma of a substrate processing system |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US12027410B2 (en) | 2015-01-16 | 2024-07-02 | Lam Research Corporation | Edge ring arrangement with moveable edge rings |
Families Citing this family (342)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6579805B1 (en) * | 1999-01-05 | 2003-06-17 | Ronal Systems Corp. | In situ chemical generator and method |
US20060124588A1 (en) * | 1999-01-05 | 2006-06-15 | Berg & Berg Enterprises, Llc | System and method for reducing metal oxides with hydrogen radicals |
US20070042580A1 (en) * | 2000-08-10 | 2007-02-22 | Amir Al-Bayati | Ion implanted insulator material with reduced dielectric constant |
US7223676B2 (en) * | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US7166524B2 (en) * | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US7294563B2 (en) * | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US6939434B2 (en) * | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US7137354B2 (en) * | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
US7320734B2 (en) * | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
US20050230047A1 (en) * | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US7303982B2 (en) * | 2000-08-11 | 2007-12-04 | Applied Materials, Inc. | Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage |
US7430984B2 (en) * | 2000-08-11 | 2008-10-07 | Applied Materials, Inc. | Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements |
US7037813B2 (en) * | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US7288491B2 (en) * | 2000-08-11 | 2007-10-30 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7479456B2 (en) * | 2004-08-26 | 2009-01-20 | Applied Materials, Inc. | Gasless high voltage high contact force wafer contact-cooling electrostatic chuck |
US7465478B2 (en) * | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7591957B2 (en) * | 2001-01-30 | 2009-09-22 | Rapt Industries, Inc. | Method for atmospheric pressure reactive atom plasma processing for surface modification |
US6660177B2 (en) * | 2001-11-07 | 2003-12-09 | Rapt Industries Inc. | Apparatus and method for reactive atom plasma processing for material deposition |
US20050212626A1 (en) * | 2002-05-07 | 2005-09-29 | Toshiyuki Takamatsu | High frequency reaction processing system |
US6792460B2 (en) * | 2002-10-02 | 2004-09-14 | Mercury Interactive Corporation | System and methods for monitoring application server performance |
JP3874716B2 (en) * | 2002-11-14 | 2007-01-31 | 株式会社東芝 | Manufacturing method of semiconductor device |
KR100484900B1 (en) * | 2002-12-30 | 2005-04-22 | 동부아남반도체 주식회사 | Plasma ignition method in a semiconductor manufacturing system |
US6869582B2 (en) * | 2003-01-24 | 2005-03-22 | Air Products And Chemicals, Inc. | Process for the synthesis of BrSF5 |
US7371992B2 (en) * | 2003-03-07 | 2008-05-13 | Rapt Industries, Inc. | Method for non-contact cleaning of a surface |
US7375035B2 (en) * | 2003-04-29 | 2008-05-20 | Ronal Systems Corporation | Host and ancillary tool interface methodology for distributed processing |
US7304263B2 (en) * | 2003-08-14 | 2007-12-04 | Rapt Industries, Inc. | Systems and methods utilizing an aperture with a reactive atom plasma torch |
US7297892B2 (en) * | 2003-08-14 | 2007-11-20 | Rapt Industries, Inc. | Systems and methods for laser-assisted plasma processing |
US7291360B2 (en) * | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US7244474B2 (en) * | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US7695590B2 (en) | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US7767561B2 (en) | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US8058156B2 (en) | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US7666464B2 (en) * | 2004-10-23 | 2010-02-23 | Applied Materials, Inc. | RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor |
US7531469B2 (en) * | 2004-10-23 | 2009-05-12 | Applied Materials, Inc. | Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current |
US7312162B2 (en) * | 2005-05-17 | 2007-12-25 | Applied Materials, Inc. | Low temperature plasma deposition process for carbon layer deposition |
US7422775B2 (en) * | 2005-05-17 | 2008-09-09 | Applied Materials, Inc. | Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US20060260545A1 (en) * | 2005-05-17 | 2006-11-23 | Kartik Ramaswamy | Low temperature absorption layer deposition and high speed optical annealing system |
US20070006893A1 (en) * | 2005-07-08 | 2007-01-11 | Bing Ji | Free radical initiator in remote plasma chamber clean |
US7323401B2 (en) * | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
US7312148B2 (en) * | 2005-08-08 | 2007-12-25 | Applied Materials, Inc. | Copper barrier reflow process employing high speed optical annealing |
US7429532B2 (en) * | 2005-08-08 | 2008-09-30 | Applied Materials, Inc. | Semiconductor substrate process using an optically writable carbon-containing mask |
US7335611B2 (en) * | 2005-08-08 | 2008-02-26 | Applied Materials, Inc. | Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer |
US7326655B2 (en) * | 2005-09-29 | 2008-02-05 | Tokyo Electron Limited | Method of forming an oxide layer |
CN101512042B (en) * | 2006-07-07 | 2012-10-31 | 硅石技术责任有限公司 | Plasma deposition apparatus and method for making polycrystalline silicon |
KR20090106617A (en) * | 2007-01-19 | 2009-10-09 | 어플라이드 머티어리얼스, 인코포레이티드 | Plasma immersion chamber |
US7871828B2 (en) * | 2007-02-06 | 2011-01-18 | Applied Materials, Inc. | In-situ dose monitoring using optical emission spectroscopy |
US20080202564A1 (en) * | 2007-02-27 | 2008-08-28 | Dana Scranton | Processing system with in-situ chemical solution generation |
US20080302652A1 (en) * | 2007-06-06 | 2008-12-11 | Mks Instruments, Inc. | Particle Reduction Through Gas and Plasma Source Control |
US7713757B2 (en) * | 2008-03-14 | 2010-05-11 | Applied Materials, Inc. | Method for measuring dopant concentration during plasma ion implantation |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
KR101842675B1 (en) | 2009-07-08 | 2018-03-27 | 플라즈마시, 인크. | Apparatus and method for plasma processing |
US8802201B2 (en) * | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9111729B2 (en) | 2009-12-03 | 2015-08-18 | Lam Research Corporation | Small plasma chamber systems and methods |
US9190289B2 (en) | 2010-02-26 | 2015-11-17 | Lam Research Corporation | System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas |
CN101891161A (en) * | 2010-06-29 | 2010-11-24 | 上海华谊微电子材料有限公司 | Preparation method of ultra-pure sulfuric acid |
US9155181B2 (en) | 2010-08-06 | 2015-10-06 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US9967965B2 (en) | 2010-08-06 | 2018-05-08 | Lam Research Corporation | Distributed, concentric multi-zone plasma source systems, methods and apparatus |
US8999104B2 (en) | 2010-08-06 | 2015-04-07 | Lam Research Corporation | Systems, methods and apparatus for separate plasma source control |
US9449793B2 (en) | 2010-08-06 | 2016-09-20 | Lam Research Corporation | Systems, methods and apparatus for choked flow element extraction |
US8765232B2 (en) | 2011-01-10 | 2014-07-01 | Plasmasi, Inc. | Apparatus and method for dielectric deposition |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10225919B2 (en) * | 2011-06-30 | 2019-03-05 | Aes Global Holdings, Pte. Ltd | Projected plasma source |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9177762B2 (en) | 2011-11-16 | 2015-11-03 | Lam Research Corporation | System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing |
US10283325B2 (en) | 2012-10-10 | 2019-05-07 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US9508530B2 (en) | 2011-11-21 | 2016-11-29 | Lam Research Corporation | Plasma processing chamber with flexible symmetric RF return strap |
US8872525B2 (en) | 2011-11-21 | 2014-10-28 | Lam Research Corporation | System, method and apparatus for detecting DC bias in a plasma processing chamber |
US9083182B2 (en) | 2011-11-21 | 2015-07-14 | Lam Research Corporation | Bypass capacitors for high voltage bias power in the mid frequency RF range |
US10586686B2 (en) | 2011-11-22 | 2020-03-10 | Law Research Corporation | Peripheral RF feed and symmetric RF return for symmetric RF delivery |
US9263240B2 (en) | 2011-11-22 | 2016-02-16 | Lam Research Corporation | Dual zone temperature control of upper electrodes |
US9396908B2 (en) | 2011-11-22 | 2016-07-19 | Lam Research Corporation | Systems and methods for controlling a plasma edge region |
US8898889B2 (en) | 2011-11-22 | 2014-12-02 | Lam Research Corporation | Chuck assembly for plasma processing |
US9299956B2 (en) | 2012-06-13 | 2016-03-29 | Aixtron, Inc. | Method for deposition of high-performance coatings and encapsulated electronic devices |
US10526708B2 (en) | 2012-06-19 | 2020-01-07 | Aixtron Se | Methods for forming thin protective and optical layers on substrates |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (en) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method of operating the same |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
KR20180068582A (en) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (en) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (en) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (en) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR102401446B1 (en) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR102630301B1 (en) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (en) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (en) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | Storage device for storing wafer cassettes used in batch furnaces |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (en) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | Method for depositing gap filling layer by plasma auxiliary deposition |
TWI799494B (en) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (en) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (en) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
TWI843623B (en) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (en) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (en) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202409324A (en) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | Cyclic deposition processes for forming metal-containing material |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (en) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (en) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (en) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR102605121B1 (en) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (en) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (en) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method and system for forming device structures using selective deposition of gallium nitride - Patents.com |
TWI819180B (en) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
KR20200091543A (en) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor processing device |
CN111524788B (en) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | Method for topologically selective film formation of silicon oxide |
TWI845607B (en) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
KR102626263B1 (en) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | Cyclical deposition method including treatment step and apparatus for same |
KR20200102357A (en) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for plug fill deposition in 3-d nand applications |
JP2020136678A (en) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for filing concave part formed inside front surface of base material, and device |
TWI842826B (en) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
KR20200108243A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Structure Including SiOC Layer and Method of Forming Same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
KR20200116033A (en) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | Door opener and substrate processing apparatus provided therewith |
KR20200116855A (en) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
KR20200123380A (en) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | Layer forming method and apparatus |
US11488796B2 (en) * | 2019-04-24 | 2022-11-01 | Applied Materials, Inc. | Thermal break for high-frequency antennae |
KR20200125453A (en) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system and method of using same |
CN110095555B (en) * | 2019-04-26 | 2021-02-26 | 海南电网有限责任公司电力科学研究院 | SF based on analysis6Chromatographic detection system and detection method |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130118A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for Reforming Amorphous Carbon Polymer Film |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
JP2020188254A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
JP2020188255A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system including a gas detector |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP7499079B2 (en) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | Plasma device using coaxial waveguide and substrate processing method |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
KR20210010820A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods of forming silicon germanium structures |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (en) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Method of Forming Topology-Controlled Amorphous Carbon Polymer Film |
TWI839544B (en) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming topology-controlled amorphous carbon polymer film |
CN112309843A (en) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | Selective deposition method for achieving high dopant doping |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (en) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | Liquid level sensor for chemical source container |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
KR20210029090A (en) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR20210029663A (en) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
KR20210042810A (en) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
TWI846953B (en) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
KR20210043460A (en) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (en) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (en) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
KR20210050453A (en) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (en) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
KR20210065848A (en) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (en) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885692A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885693A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
JP7527928B2 (en) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
TW202125596A (en) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (en) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Gas supply assembly and valve plate assembly |
KR20210089079A (en) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | Channeled lift pin |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (en) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (en) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures including a vanadium or indium layer |
TW202146882A (en) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (en) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | System dedicated for parts cleaning |
KR20210116249A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | lockout tagout assembly and system and method of using same |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
CN113394086A (en) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | Method for producing a layer structure having a target topological profile |
KR20210124042A (en) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
TW202146689A (en) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | Method for forming barrier layer and method for manufacturing semiconductor device |
TW202145344A (en) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for selectively etching silcon oxide films |
KR20210128343A (en) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
JP2021172884A (en) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer |
TW202146831A (en) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Vertical batch furnace assembly, and method for cooling vertical batch furnace |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
KR20210134226A (en) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | Solid source precursor vessel |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
TW202147543A (en) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Semiconductor processing system |
KR20210141379A (en) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
TW202146699A (en) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system |
KR20210143653A (en) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210145078A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Structures including multiple carbon layers and methods of forming and using same |
KR102702526B1 (en) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus for depositing thin films using hydrogen peroxide |
TW202201602A (en) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
TW202212620A (en) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus for processing substrate, method of forming film, and method of controlling apparatus for processing substrate |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
TW202217953A (en) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
KR102707957B1 (en) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for processing a substrate |
TW202219628A (en) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | Structures and methods for use in photolithography |
TW202204662A (en) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Method and system for depositing molybdenum layers |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (en) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | Method and system for forming metal silicon oxide and metal silicon oxynitride |
TW202229601A (en) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (en) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | Deposition method and an apparatus for depositing a silicon-containing material |
CN114293174A (en) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | Gas supply unit and substrate processing apparatus including the same |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
KR20220053482A (en) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
TW202235649A (en) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for filling a gap and related systems and devices |
TW202235675A (en) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Injector, and substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3919397A (en) * | 1973-11-30 | 1975-11-11 | Aerochem Research Labs Inc | Catalytic preparation of NO |
US5403434A (en) * | 1994-01-06 | 1995-04-04 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafer |
US5607602A (en) * | 1995-06-07 | 1997-03-04 | Applied Komatsu Technology, Inc. | High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas |
US5620559A (en) * | 1994-03-18 | 1997-04-15 | Fujitsu Limited | Hydrogen radical processing |
US5853602A (en) * | 1996-02-16 | 1998-12-29 | Nec Corporation | Method of dry etching for patterning refractory metal layer improved in etching rate, anisotropy and selectivity to silicon oxide |
Family Cites Families (85)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3657107A (en) | 1966-03-11 | 1972-04-18 | British Titan Ltd | Apparatus for oxidizing a metal halide |
GB1194415A (en) | 1967-07-03 | 1970-06-10 | United States Borax Chem | High Temperature Chemical Reaction and Apparatus therefor |
US3622493A (en) | 1968-01-08 | 1971-11-23 | Francois A Crusco | Use of plasma torch to promote chemical reactions |
CH525705A (en) | 1968-12-24 | 1972-07-31 | Lonza Ag | Use of vortex-stabilized plasma torches to carry out chemical reactions |
US3652434A (en) | 1970-10-02 | 1972-03-28 | Cornell Research Foundations I | Pressure wave synthesis of aminocarboxylic acids |
US3938988A (en) | 1971-01-04 | 1976-02-17 | Othmer Donald F | Method for producing aluminum metal from its salts |
SE366057B (en) | 1972-10-06 | 1974-04-08 | Aga Ab | |
US3954954A (en) | 1973-03-19 | 1976-05-04 | Plasmachem, Inc. | Plasma method and apparatus for carrying out high temperature chemical reactions |
US4145403A (en) | 1977-09-29 | 1979-03-20 | Fey Maurice G | Arc heater method for producing metal oxides |
US4266113A (en) | 1979-07-02 | 1981-05-05 | The United States Of America As Represented By The Secretary Of The Navy | Dismountable inductively-coupled plasma torch apparatus |
JPS56102577A (en) * | 1980-01-18 | 1981-08-17 | Mitsubishi Electric Corp | Method and device for forming thin film |
US4390405A (en) * | 1980-02-20 | 1983-06-28 | Curators Of The University Of Missouri | Oxygen electrode and method for preparation thereof |
US4351810A (en) * | 1981-07-09 | 1982-09-28 | The United States Of America As Represented By The Secretary Of Commerce | Method for removing sulfur dioxide from a gas stream |
US4482525A (en) | 1981-08-11 | 1984-11-13 | The United States Of America As Represented By The United States Department Of Energy | Nitrogen fixation apparatus |
JPS6016424A (en) | 1983-07-08 | 1985-01-28 | Fujitsu Ltd | Microwave plasma processing method and apparatus thereof |
US4794230A (en) | 1984-02-16 | 1988-12-27 | The United States Of America As Represented By The United States Department Of Energy | Low-pressure water-cooled inductively coupled plasma torch |
JPS6126774A (en) * | 1984-07-16 | 1986-02-06 | Canon Inc | Apparatus for forming amorphous silicon film |
US4695327A (en) * | 1985-06-13 | 1987-09-22 | Purusar Corporation | Surface treatment to remove impurities in microrecesses |
EP0226487B1 (en) | 1985-11-08 | 1990-01-10 | Institut Français du Pétrole | Process for the thermal conversion of methane into hydrocarbons with a higher molecular weight |
JPH0651909B2 (en) * | 1985-12-28 | 1994-07-06 | キヤノン株式会社 | Method of forming thin film multilayer structure |
US4812201A (en) * | 1986-07-25 | 1989-03-14 | Tokyo Electron Limited | Method of ashing layers, and apparatus for ashing layers |
JPS6353259A (en) | 1986-08-22 | 1988-03-07 | Mitsubishi Electric Corp | Method for forming thin film |
US4739147A (en) | 1987-01-30 | 1988-04-19 | The Dow Chemical Company | Pre-aligned demountable plasma torch |
US4766287A (en) | 1987-03-06 | 1988-08-23 | The Perkin-Elmer Corporation | Inductively coupled plasma torch with adjustable sample injector |
US4849192A (en) * | 1987-04-08 | 1989-07-18 | Energy And Environmental Research Corp. | Methods for preventing formation of sulfuric acid and related products in combustion effluents |
US4883570A (en) * | 1987-06-08 | 1989-11-28 | Research-Cottrell, Inc. | Apparatus and method for enhanced chemical processing in high pressure and atmospheric plasmas produced by high frequency electromagnetic waves |
US5026464A (en) | 1988-08-31 | 1991-06-25 | Agency Of Industrial Science And Technology | Method and apparatus for decomposing halogenated organic compound |
US4898748A (en) | 1988-08-31 | 1990-02-06 | The Board Of Trustees Of Leland Stanford Junior University | Method for enhancing chemical reactivity in thermal plasma processes |
US4973773A (en) | 1988-11-29 | 1990-11-27 | E. I. Du Pont De Nemours And Company | Production of tetrafluoroethylene |
US5051557A (en) | 1989-06-07 | 1991-09-24 | The United States Of America As Represented By The Secretary Of The Department Of Health And Human Services | Microwave induced plasma torch with tantalum injector probe |
US5012065A (en) | 1989-11-20 | 1991-04-30 | New Mexico State University Technology Transfer Corporation | Inductively coupled plasma torch with laminar flow cooling |
US5356672A (en) * | 1990-05-09 | 1994-10-18 | Jet Process Corporation | Method for microwave plasma assisted supersonic gas jet deposition of thin films |
US6251792B1 (en) * | 1990-07-31 | 2001-06-26 | Applied Materials, Inc. | Plasma etch processes |
JP3038950B2 (en) * | 1991-02-12 | 2000-05-08 | ソニー株式会社 | Dry etching method |
US5200595A (en) | 1991-04-12 | 1993-04-06 | Universite De Sherbrooke | High performance induction plasma torch with a water-cooled ceramic confinement tube |
US5477975A (en) * | 1993-10-15 | 1995-12-26 | Applied Materials Inc | Plasma etch apparatus with heated scavenging surfaces |
JP3084497B2 (en) * | 1992-03-25 | 2000-09-04 | 東京エレクトロン株式会社 | Method for etching SiO2 film |
JP3319014B2 (en) * | 1992-04-03 | 2002-08-26 | ソニー株式会社 | Film forming method, film forming apparatus, and semiconductor device manufacturing method |
EP0636285B1 (en) | 1992-04-16 | 1996-09-04 | Advanced Energy Industries, Inc. | Stabilizer for switch-mode powered rf plasma processing |
US5383984A (en) * | 1992-06-17 | 1995-01-24 | Tokyo Electron Limited | Plasma processing apparatus etching tunnel-type |
JP3190745B2 (en) * | 1992-10-27 | 2001-07-23 | 株式会社東芝 | Vapor growth method |
US5756402A (en) * | 1992-12-28 | 1998-05-26 | Kabushiki Kaisha Toshiba | Method of etching silicon nitride film |
US6217717B1 (en) | 1992-12-30 | 2001-04-17 | Advanced Energy Industries, Inc. | Periodically clearing thin film plasma processing system |
US5427669A (en) | 1992-12-30 | 1995-06-27 | Advanced Energy Industries, Inc. | Thin film DC plasma processing system |
US5331973A (en) * | 1993-03-15 | 1994-07-26 | Fiedler Paul N | Method for obtaining stool samples for gastrointestinal cancer testing |
ATE233327T1 (en) | 1993-03-24 | 2003-03-15 | Georgia Tech Res Inst | METHOD AND DEVICE FOR COMBUSTION CVD OF FILM AND COATINGS |
JP3380922B2 (en) * | 1993-09-29 | 2003-02-24 | ソニー株式会社 | Method of forming silicon oxide film |
JPH08957A (en) | 1994-02-18 | 1996-01-09 | Babcock & Wilcox Co:The | Production of nox reductive precursor for generating plasma from mixture of molecule nitrogen and hydrocarbon |
US5560844A (en) | 1994-05-26 | 1996-10-01 | Universite De Sherbrooke | Liquid film stabilized induction plasma torch |
US5665640A (en) * | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5576629A (en) | 1994-10-24 | 1996-11-19 | Fourth State Technology, Inc. | Plasma monitoring and control method and system |
US5535906A (en) | 1995-01-30 | 1996-07-16 | Advanced Energy Industries, Inc. | Multi-phase DC plasma processing system |
US5599425A (en) | 1995-02-06 | 1997-02-04 | Air Products And Chemicals, Inc. | Predecomposition of organic chlorides for silicon processing |
WO1996031899A1 (en) | 1995-04-07 | 1996-10-10 | Advanced Energy Industries, Inc. | Adjustable energy quantum thin film plasma processing system |
US5980999A (en) * | 1995-08-24 | 1999-11-09 | Nagoya University | Method of manufacturing thin film and method for performing precise working by radical control and apparatus for carrying out such methods |
US5684581A (en) | 1995-12-11 | 1997-11-04 | Mds Health Group Limited | Torch for inductively coupled plasma spectrometry |
US5917286A (en) | 1996-05-08 | 1999-06-29 | Advanced Energy Industries, Inc. | Pulsed direct current power supply configurations for generating plasmas |
JPH1098038A (en) * | 1996-09-19 | 1998-04-14 | Sony Corp | Formation of silicon oxide film in semiconductor device |
US5935334A (en) | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Substrate processing apparatus with bottom-mounted remote plasma system |
US5827370A (en) | 1997-01-13 | 1998-10-27 | Mks Instruments, Inc. | Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace |
EP0962048B1 (en) | 1997-02-24 | 2003-06-11 | Advanced Energy Industries, Inc. | System for high power RF plasma processing |
US6029602A (en) * | 1997-04-22 | 2000-02-29 | Applied Materials, Inc. | Apparatus and method for efficient and compact remote microwave plasma generation |
US6066568A (en) * | 1997-05-14 | 2000-05-23 | Tokyo Electron Limited | Plasma treatment method and system |
US5897753A (en) | 1997-05-28 | 1999-04-27 | Advanced Energy Industries, Inc. | Continuous deposition of insulating material using multiple anodes alternated between positive and negative voltages |
US5877471A (en) | 1997-06-11 | 1999-03-02 | The Regents Of The University Of California | Plasma torch having a cooled shield assembly |
US5908566A (en) | 1997-09-17 | 1999-06-01 | The United States Of America As Represented By The Secretary Of The Navy | Modified plasma torch design for introducing sample air into inductively coupled plasma |
US6633017B1 (en) | 1997-10-14 | 2003-10-14 | Advanced Energy Industries, Inc. | System for plasma ignition by fast voltage rise |
US6194036B1 (en) | 1997-10-20 | 2001-02-27 | The Regents Of The University Of California | Deposition of coatings using an atmospheric pressure plasma jet |
US6163006A (en) | 1998-02-06 | 2000-12-19 | Astex-Plasmaquest, Inc. | Permanent magnet ECR plasma source with magnetic field optimization |
US6352049B1 (en) * | 1998-02-09 | 2002-03-05 | Applied Materials, Inc. | Plasma assisted processing chamber with separate control of species density |
US6097157A (en) * | 1998-04-09 | 2000-08-01 | Board Of Regents, The University Of Texas System | System for ion energy control during plasma processing |
US6053123A (en) | 1998-04-29 | 2000-04-25 | Ball Semiconductor, Inc. | Plasma-assisted metallic film deposition |
US6225592B1 (en) | 1998-09-15 | 2001-05-01 | Astex-Plasmaquest, Inc. | Method and apparatus for launching microwave energy into a plasma processing chamber |
US6579805B1 (en) * | 1999-01-05 | 2003-06-17 | Ronal Systems Corp. | In situ chemical generator and method |
US6238514B1 (en) | 1999-02-18 | 2001-05-29 | Mks Instruments, Inc. | Apparatus and method for removing condensable aluminum vapor from aluminum etch effluent |
US6197119B1 (en) | 1999-02-18 | 2001-03-06 | Mks Instruments, Inc. | Method and apparatus for controlling polymerized teos build-up in vacuum pump lines |
TW501199B (en) * | 1999-03-05 | 2002-09-01 | Applied Materials Inc | Method for enhancing etching of TiSix |
US6451389B1 (en) | 1999-04-17 | 2002-09-17 | Advanced Energy Industries, Inc. | Method for deposition of diamond like carbon |
JP2001004095A (en) | 1999-06-18 | 2001-01-09 | Nippon M K S Kk | Carburetor |
SK19002001A3 (en) | 1999-06-22 | 2002-05-09 | Grnenthal Gmbh | Method for separating the diastereomer bases of 2-[(dimethylamino)methyl]-1-(3-methoxyphenyl)-cyclohexanol |
EP1212775A1 (en) | 1999-08-06 | 2002-06-12 | Advanced Energy Industries, Inc. | Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof |
US6291938B1 (en) | 1999-12-31 | 2001-09-18 | Litmas, Inc. | Methods and apparatus for igniting and sustaining inductively coupled plasma |
US6156667A (en) | 1999-12-31 | 2000-12-05 | Litmas, Inc. | Methods and apparatus for plasma processing |
US6410880B1 (en) | 2000-01-10 | 2002-06-25 | Archimedes Technology Group, Inc. | Induction plasma torch liquid waste injector |
US6488745B2 (en) | 2001-03-23 | 2002-12-03 | Mks Instruments, Inc. | Trap apparatus and method for condensable by-products of deposition reactions |
-
1999
- 1999-01-05 US US09/225,922 patent/US6579805B1/en not_active Expired - Fee Related
-
2000
- 2000-01-04 TW TW089100067A patent/TW439105B/en not_active IP Right Cessation
- 2000-01-04 JP JP2000592468A patent/JP4832643B2/en not_active Expired - Fee Related
- 2000-01-04 EP EP00902332A patent/EP1155164B1/en not_active Expired - Lifetime
- 2000-01-04 WO PCT/US2000/000231 patent/WO2000040776A1/en active Application Filing
- 2000-01-04 KR KR1020017008564A patent/KR100755122B1/en not_active IP Right Cessation
- 2000-01-04 AT AT00902332T patent/ATE475726T1/en not_active IP Right Cessation
- 2000-01-04 DE DE60044739T patent/DE60044739D1/en not_active Expired - Lifetime
-
2003
- 2003-01-03 US US10/336,483 patent/US6800559B2/en not_active Expired - Fee Related
- 2003-02-25 US US10/373,895 patent/US7033952B2/en not_active Expired - Fee Related
- 2003-03-31 US US10/404,216 patent/US20040115936A1/en not_active Abandoned
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3919397A (en) * | 1973-11-30 | 1975-11-11 | Aerochem Research Labs Inc | Catalytic preparation of NO |
US5403434A (en) * | 1994-01-06 | 1995-04-04 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafer |
US5620559A (en) * | 1994-03-18 | 1997-04-15 | Fujitsu Limited | Hydrogen radical processing |
US5607602A (en) * | 1995-06-07 | 1997-03-04 | Applied Komatsu Technology, Inc. | High-rate dry-etch of indium and tin oxides by hydrogen and halogen radicals such as derived from HCl gas |
US5853602A (en) * | 1996-02-16 | 1998-12-29 | Nec Corporation | Method of dry etching for patterning refractory metal layer improved in etching rate, anisotropy and selectivity to silicon oxide |
Cited By (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003059924A (en) * | 2001-08-17 | 2003-02-28 | Sekisui Chem Co Ltd | Multistage discharge plasma treatment method and apparatus thereof |
JP4546675B2 (en) * | 2001-08-17 | 2010-09-15 | 積水化学工業株式会社 | Multistage discharge plasma processing method and apparatus |
EP3038142A1 (en) * | 2014-12-18 | 2016-06-29 | LAM Research Corporation | Selective nitride etch |
US12027410B2 (en) | 2015-01-16 | 2024-07-02 | Lam Research Corporation | Edge ring arrangement with moveable edge rings |
US9911620B2 (en) | 2015-02-23 | 2018-03-06 | Lam Research Corporation | Method for achieving ultra-high selectivity while etching silicon nitride |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
US9837286B2 (en) | 2015-09-04 | 2017-12-05 | Lam Research Corporation | Systems and methods for selectively etching tungsten in a downstream reactor |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10147588B2 (en) | 2016-02-12 | 2018-12-04 | Lam Research Corporation | System and method for increasing electron density levels in a plasma of a substrate processing system |
US11342163B2 (en) | 2016-02-12 | 2022-05-24 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US11424103B2 (en) | 2016-08-19 | 2022-08-23 | Lam Research Corporation | Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment |
Also Published As
Publication number | Publication date |
---|---|
KR100755122B1 (en) | 2007-09-04 |
US20030170153A1 (en) | 2003-09-11 |
US6579805B1 (en) | 2003-06-17 |
US6800559B2 (en) | 2004-10-05 |
EP1155164B1 (en) | 2010-07-28 |
ATE475726T1 (en) | 2010-08-15 |
TW439105B (en) | 2001-06-07 |
US20040115936A1 (en) | 2004-06-17 |
DE60044739D1 (en) | 2010-09-09 |
JP4832643B2 (en) | 2011-12-07 |
KR20010101395A (en) | 2001-11-14 |
EP1155164A4 (en) | 2005-04-06 |
US7033952B2 (en) | 2006-04-25 |
JP2002534787A (en) | 2002-10-15 |
US20030153186A1 (en) | 2003-08-14 |
EP1155164A1 (en) | 2001-11-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6579805B1 (en) | In situ chemical generator and method | |
US4028155A (en) | Process and material for manufacturing thin film integrated circuits | |
EP0023429B1 (en) | Dry etching of metal film | |
US20190221654A1 (en) | Ultrahigh selective polysilicon etch with high throughput | |
JP4439860B2 (en) | Method for forming film on semiconductor substrate | |
US20140004708A1 (en) | Removal of native oxide with high selectivity | |
JP2006272265A (en) | Method and apparatus for modifying surface of article by fluorine-containing gas | |
JPH04191379A (en) | Plasma treating device | |
US20060124588A1 (en) | System and method for reducing metal oxides with hydrogen radicals | |
JP2009509337A (en) | Substrate processing method and apparatus using combustion flame | |
JPS59158525A (en) | Method for forming aluminum alloy film pattern | |
US20040231695A1 (en) | Cleaning gas for semiconductor production equipment and cleaning method using the gas | |
JP2011249405A (en) | Plasma cleaning method of dry etching device | |
KR0146173B1 (en) | Method for manufacturing oxide film of semiconductor device | |
JP2006222156A (en) | Method of processing organic film | |
JP7348019B2 (en) | Etching method and etching device | |
JP5420077B2 (en) | Removal method of oxide film | |
KR101088811B1 (en) | Cleaning method of semiconductor device | |
KR20220063927A (en) | Substrate processing method | |
JPH08288282A (en) | Manufacture of insulating film for semiconductor device | |
WO2024102274A1 (en) | Thermal etching of ruthenium | |
JPH07335643A (en) | Film forming method | |
JPH03109730A (en) | Dry etching method | |
JPH06177084A (en) | Etching method for metal film of copper or containing copper as main ingredient | |
JP2000003901A (en) | Semiconductor device manufacturing method and device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A1 Designated state(s): JP KR SG |
|
AL | Designated countries for regional patents |
Kind code of ref document: A1 Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
DFPE | Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101) | ||
ENP | Entry into the national phase |
Ref country code: JP Ref document number: 2000 592468 Kind code of ref document: A Format of ref document f/p: F |
|
WWE | Wipo information: entry into national phase |
Ref document number: 1020017008564 Country of ref document: KR |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2000902332 Country of ref document: EP |
|
WWP | Wipo information: published in national office |
Ref document number: 1020017008564 Country of ref document: KR |
|
WWP | Wipo information: published in national office |
Ref document number: 2000902332 Country of ref document: EP |