US9553118B2 - Formation of buried color filters in a back side illuminated image sensor using an etching-stop layer - Google Patents

Formation of buried color filters in a back side illuminated image sensor using an etching-stop layer Download PDF

Info

Publication number
US9553118B2
US9553118B2 US14/307,781 US201414307781A US9553118B2 US 9553118 B2 US9553118 B2 US 9553118B2 US 201414307781 A US201414307781 A US 201414307781A US 9553118 B2 US9553118 B2 US 9553118B2
Authority
US
United States
Prior art keywords
layer
substrate
light
image sensor
sensor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US14/307,781
Other languages
English (en)
Other versions
US20150372033A1 (en
Inventor
Yun-Wei Cheng
Chiu-Jung Chen
Volume Chien
Kuo-Cheng Lee
Yung-Lung Hsu
Hsin-Chi Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/307,781 priority Critical patent/US9553118B2/en
Priority to US14/308,760 priority patent/US9368531B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HSIN-CHI, CHEN, HSU, YUNG-LUNG, LEE, KUO-CHENG, CHIU-JUNG, CHEN, CHENG, Yun-wei, CHIEN, VOLUME
Priority to CN201410723334.1A priority patent/CN105280652B/zh
Publication of US20150372033A1 publication Critical patent/US20150372033A1/en
Priority to US15/168,816 priority patent/US9543353B2/en
Priority to US15/409,721 priority patent/US9825085B2/en
Application granted granted Critical
Publication of US9553118B2 publication Critical patent/US9553118B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14623Optical shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • H01L27/14645Colour imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14689MOS based technologies

Definitions

  • CMOS image sensors are used to sense radiation such as light.
  • CIS Complementary metal-oxide-semiconductor
  • CCD charge-coupled device
  • a back side illuminated (BSI) image sensor device is one type of image sensor device. These BSI image sensor devices are operable to detect light from the backside. Compared to front side illuminated (FSI) image sensor devices, BSI image sensor devices have improved performance, especially under low light conditions.
  • traditional methods of fabricating BSI image sensor devices may still lead to certain shortcomings for BSI image sensor devices.
  • the fabrication of traditional BSI image sensors generally forms a color filter array on a flat surface above a light-blocking metal grid.
  • the disposition of the color filter array above the metal grid leads to a longer optical path for the light before it can be detected by a desired pixel.
  • the disposition of the color filter array above the metal grid also requires accurate alignment between the color filter array and the metal grid, as any misalignment may cause undesirable cross-talk between adjacent pixels.
  • FIGS. 1-26 are simplified fragmentary cross-sectional side views of a portion of an image sensor device at various stages of fabrication in accordance with some embodiments.
  • FIGS. 27-28 are flowcharts each illustrating a method of fabricating an image sensor device in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIGS. 1-26 are simplified diagrammatic fragmentary sectional side views a BSI image sensor device 30 at various stages of fabrication according to aspects of the present disclosure.
  • the image sensor device 30 includes an array or grid of pixels for sensing and recording an intensity of radiation (such as light) directed toward a back-side of the image sensor device 30 .
  • the image sensor device 30 may include a charge-coupled device (CCD), complementary metal oxide semiconductor (CMOS) image sensor (CIS), an active-pixel sensor (APS), or a passive-pixel sensor.
  • CMOS complementary metal oxide semiconductor
  • APS active-pixel sensor
  • the image sensor device 30 further includes additional circuitry and input/outputs that are provided adjacent to the grid of pixels for providing an operation environment for the pixels and for supporting external communication with the pixels. It is understood that FIGS. 2 to 6 have been simplified for a better understanding of the inventive concepts of the present disclosure and may not be drawn to scale.
  • the image sensor device 30 includes a device substrate 32 .
  • the device substrate 32 contains a silicon material doped with a p-type dopant such as boron (for example a p-type substrate).
  • the device substrate 32 could contain another suitable semiconductor material.
  • the device substrate 32 may include silicon that is doped with an n-type dopant such as phosphorous or arsenic (an n-type substrate).
  • the device substrate 32 could also contain other elementary semiconductors such as germanium and diamond.
  • the device substrate 32 could optionally include a compound semiconductor and/or an alloy semiconductor.
  • the device substrate 32 could include an epitaxial layer (epi layer), may be strained for performance enhancement, and may include a silicon-on-insulator (SOI) structure.
  • epi layer epitaxial layer
  • SOI silicon-on-insulator
  • the device substrate 32 has a front side (also referred to as a front surface) 34 and a back side (also referred to as a back surface) 36 .
  • the device substrate 32 also has an initial thickness 38 that is in a range from about 100 microns (um) to about 3000 um. In the present embodiment, the initial thickness 38 is in a range from about 500 um to about 1000 um.
  • Radiation-sensing regions for example, pixels 40 , 41 , and 42 —are formed in the device substrate 32 .
  • the pixels 40 - 42 are configured to sense radiation (or radiation waves), such as an incident light 43 , that is projected toward device substrate 32 from the back side 36 .
  • the light 43 would enter the device substrate 32 through the back side 36 (or the back surface) and be detected by one or more of the pixels 40 - 42 .
  • the pixels 40 - 42 each include a photodiode in the present embodiment.
  • the pixels 40 - 42 may include pinned layer photodiodes, photogates, reset transistors, source follower transistors, and transfer transistors.
  • the pixels 40 - 42 may also be referred to as radiation-detection devices or light-sensors.
  • the pixels 40 - 42 may be varied from one another to have different junction depths, thicknesses, widths, and so forth. For the sake of simplicity, only three pixels 40 - 42 are illustrated in FIG. 1 , but it is understood that any number of pixels may be implemented in the device substrate 32 .
  • the pixels 40 - 42 are formed by performing an implantation process 46 on the device substrate 32 from the front side 34 .
  • the implantation process 46 includes doping the device substrate 32 with a p-type dopant such as boron.
  • the implantation process 46 may include doping the device substrate 32 with an n-type dopant such as phosphorous or arsenic.
  • the pixels 40 - 42 may also be formed by a diffusion process.
  • the pixels 40 - 42 are separated from one another by a plurality of gaps in the device substrate 32 .
  • a gap 45 separates the pixel 40 from an adjacent pixel to its left (not illustrated)
  • a gap 46 separates the pixels 40 - 41
  • a gap 47 separates the pixels 41 - 42 .
  • the gaps 45 - 47 are not voids or open spaces in the device substrate 32 , but they may be regions of the device substrate 32 (either a semiconductor material or a dielectric isolation element) that are located between the adjacent pixels 40 - 42 .
  • the pixels 40 - 42 are formed in a region of the image sensor device 30 referred to as a pixel region 52 (or a pixel-array region).
  • the image sensor 30 may also include a periphery region 54 and a bonding pad region 56 .
  • the dashed lines in FIG. 1 designate the approximate boundaries between the regions 52 , 54 , and 56 , though it is understood that these regions 52 , 54 , and 56 are not drawn in scale herein and may extend vertically above and below the device substrate 32 .
  • the periphery region 54 includes devices 60 and 61 that need to be kept optically dark.
  • the device 60 in the present embodiment may be a digital device, such as an application-specific integrated circuit (ASIC) device or a system-on-chip (SOC) device.
  • the device 61 may be a reference pixel that is used to establish a baseline of an intensity of light for the image sensor device 30 .
  • the bonding pad region 56 includes a region where one or more bonding pads (not illustrated herein) of the image sensor device 30 will be formed in a later processing stage, so that electrical connections between the image sensor device 30 and external devices may be established.
  • the bonding pad region 56 may contain an isolation structure, such as a shallow trench isolation (STI) 58 .
  • the STI 58 partially extends into the periphery region 54 .
  • One function of the STI 58 is that it helps insulate the silicon of the device substrate 32 from a conductive pad to be formed in the bonding pad region 56 , which will be discussed below in more detail.
  • the image sensor 30 may also include a scribe line region.
  • the scribe line region includes a region that separates one semiconductor die (for example, a semiconductor die that includes the bonding pad region 56 , the periphery region 54 , and the pixel region 52 ) from an adjacent semiconductor die (not illustrated).
  • the scribe line region is cut therethrough in a later fabrication process to separate adjacent dies before the dies are packaged and sold as integrated circuit chips.
  • the scribe line region is cut in such a way that the semiconductor devices in each die are not damaged.
  • an interconnect structure 65 is formed over the front side 34 of the device substrate 32 .
  • the interconnect structure 65 includes a plurality of patterned dielectric layers and conductive layers that provide interconnections (e.g., wiring) between the various doped features, circuitry, and input/output of the image sensor device 30 .
  • the interconnect structure 65 includes an interlayer dielectric (ILD) and a multilayer interconnect (MLI) structure.
  • the MLI structure includes contacts, vias and metal lines.
  • a plurality of conductive lines 66 and vias/contacts 68 are shown in FIG. 2 , it being understood that the conductive lines 66 and vias/contacts 68 illustrated are merely exemplary, and the actual positioning and configuration of the conductive lines 66 and vias/contacts 68 may vary depending on design needs.
  • the MLI structure may include conductive materials such as aluminum, aluminum/silicon/copper alloy, titanium, titanium nitride, tungsten, polysilicon, metal silicide, or combinations thereof, being referred to as aluminum interconnects.
  • Aluminum interconnects may be formed by a process including physical vapor deposition (PVD) (or sputtering), chemical vapor deposition (CVD), atomic layer deposition (ALD), or combinations thereof.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • Other manufacturing techniques to form the aluminum interconnect may include photolithography processing and etching to pattern the conductive materials for vertical connection (for example, vias/contacts 68 ) and horizontal connection (for example, conductive lines 66 ).
  • a copper multilayer interconnect may be used to form the metal patterns.
  • the copper interconnect structure may include copper, copper alloy, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, polysilicon, metal silicide, or combinations thereof.
  • the copper interconnect structure may be formed by a technique including CVD, sputtering, plating, or other suitable processes.
  • a buffer layer 70 is formed over the front side 34 of the interconnect structure 80 .
  • the buffer layer 70 includes a dielectric material such as silicon oxide (SiO 2 ).
  • the buffer layer 70 may optionally include silicon nitride (SiN).
  • the buffer layer 70 may be formed by CVD, PVD, or other suitable techniques.
  • the buffer layer 70 is planarized to form a smooth surface by a CMP process.
  • a carrier substrate 80 is bonded with the device substrate 40 through the buffer layer 100 and the interconnect structure 65 , so that processing of the back side 36 of the device substrate 32 can be performed.
  • the carrier substrate 80 in the present embodiment is similar to the device substrate 32 and includes a silicon material.
  • the carrier substrate 80 may include a glass substrate or another suitable material.
  • the carrier substrate 80 may be bonded to the device substrate 32 by molecular forces—a technique known as direct bonding or optical fusion bonding—or by other bonding techniques known in the art, such as metal diffusion or anodic bonding.
  • the buffer layer 70 provides electrical isolation between the device substrate 32 and the carrier substrate 80 .
  • the carrier substrate 80 provides protection for the various features formed on the front side 34 of the device substrate 32 , such as the pixels 40 - 42 formed therein.
  • the carrier substrate 80 also provides mechanical strength and support for processing of the back side 36 of the device substrate 32 as discussed below. After bonding, the device substrate 32 and the carrier substrate 80 may optionally be annealed to enhance bonding strength.
  • the thinning process 100 may include a mechanical grinding process and a chemical thinning process. A substantial amount of substrate material may be first removed from the device substrate 32 during the mechanical grinding process. Afterwards, the chemical thinning process may apply an etching chemical to the back side 36 of the device substrate 32 to further thin the device substrate 32 to a thickness 110 , which is on the order of a few microns. In some embodiments, the thickness 110 is greater than about 1 um but less than about 3 um. It is also understood that the particular thicknesses disclosed in the present disclosure are mere examples and that other thicknesses may be implemented depending on the type of application and design requirements of the image sensor device 30 .
  • an anti-reflective coating (ARC) layer 130 is formed over the back side 36 of the device substrate 32 .
  • the ARC layer 130 contains a high-k material.
  • the ARC layer 130 may contain another suitable anti-reflective material, for example SiCN, SiN, HfO, Al 2 O 3 , Ta 2 O 5 or ZrO.
  • a buffer layer 140 is formed over the ARC layer 130 .
  • the buffer layer 140 contains silicon oxide.
  • the buffer layer 140 may contain another suitable material, for example SiCN, SiN, HfO, Al 2 O 3 , TaO or ZrO.
  • the ARC layer 130 and the buffer layer 140 may each be formed via one or more deposition processes known in the art.
  • a layer 150 is formed over the buffer layer 140 on the back side 36 .
  • the layer 150 has a material composition that is different from the buffer layer 140 .
  • a sufficiently high etching selectivity exists between the layer 150 and the buffer layer 140 .
  • the buffer layer 140 and the layer 150 have substantially different etching rates, such that the etching process may be performed to remove one of the layers 140 and 150 without affecting the other.
  • the layer 150 may contain silicon nitride (SiN), silicon carbide (SiC), silicon oxynitride (SiON), titanium nitride (TiN), or even a suitable metal or metal compound material such as tungsten (W), aluminum copper (AlCu), copper (Cu), etc.
  • the layer 150 is formed to have a thickness 155 .
  • the thickness 155 is in a range from about 100 angstroms to about 1500 angstroms. This thickness range is configured such that the layer 150 may adequately perform its function as an etching-stop layer in subsequent processes, as discussed in more detail below.
  • a light-blocking layer 170 (also referred to as a light-reflective layer or radiation-blocking layer) is formed over the layer 150 on the back side 36 .
  • the light-blocking layer 170 may be formed by a suitable deposition process known in the art.
  • the light-blocking layer 170 may contain a metal material, such as aluminum.
  • different types of light-blocking or light-reflective materials may be used to implement the light-blocking layer 170 .
  • the light-blocking layer 170 is formed to have a thickness 175 .
  • the thickness 175 is formed to be in a range from about 500 angstroms to about 5000 angstroms.
  • the light-blocking layer 170 is patterned into a plurality of light-blocking structures 180 - 183 .
  • the patterning of the light-blocking layer 170 may involve one or more photolithography processes known in the art.
  • the light blocking structures 180 - 182 are formed in the pixel region 52
  • the light-blocking structure 183 is formed in the periphery region 54 .
  • the light-blocking structures 180 - 184 may collectively be referred to as a “metal grid.”
  • the light-blocking structures 180 - 182 are each vertically aligned with a respective one of the gaps 45 - 47 that separate adjacent pixels 40 - 42 .
  • the light-blocking structure 180 is vertically aligned with the gap 45 that separates the pixel 40 from the pixel located to its left (not illustrated herein)
  • the light-blocking structure 181 is vertically aligned with the gap 46 that separates the pixels 40 and 41
  • the light-blocking structure 182 is vertically aligned with the gap 47 that separates the pixels 41 and 42 .
  • the light-blocking structures 180 - 182 reduce cross-talk.
  • cross-talk may arise when light targeted for one pixel (e.g., pixel 41 ) spreads to one or more neighboring pixels (e.g., pixels 40 or 42 ).
  • Cross-talk will negatively affect image sensor performance, such as degradation of spatial resolution, reduction of overall optical sensitivity, and poor color separation. Therefore, the light-blocking structures 180 - 182 are implemented between neighboring pixels, so that light that would have incorrectly traveled to an adjacent pixel will be blocked and/or reflected back by the light-blocking structures 180 - 182 , thereby reducing cross-talk.
  • the light-blocking structures 180 - 182 are formed to have a thickness range (i.e., the thickness of the light-blocking layer 170 ) of 500 angstroms to about 5000 angstroms, so that the light-blocking structures 180 - 182 can block light effectively.
  • the light-blocking structure 183 is formed in the periphery region 54 .
  • the light-blocking structures 183 may substantially block light from reaching the digital device 60 or the reference pixel 61 that are supposed to be kept optically dark. No light-blocking structures are formed in the bonding pad region 56 , since the bonding pad region 56 will be “opened” subsequently to define a bonding pad.
  • the light-blocking structures 180 - 183 and the layer 150 therebelow collectively define a plurality of openings (or trenches) 190 - 192 .
  • These openings 190 - 192 are reserved for the formation of a color filter array.
  • a plurality of color filters will be formed to fill the openings 190 - 192 , respectively (as discussed below in more detail with reference to FIG. 15 ).
  • the light-blocking structures 180 - 182 are vertically aligned with the gaps 45 - 47
  • the openings 190 - 192 are vertically aligned with the pixels 40 - 42 , respectively.
  • the color filters to be formed in the openings 190 - 192 would be aligned with the pixels 40 - 42 , respectively.
  • a capping layer 200 is formed over the layer 150 and over the light-blocking structures 180 - 183 on the back side 36 .
  • the capping layer 200 may be formed via one or more suitable deposition processes known in the art. One or more polishing processes may also be performed to ensure that the capping layer 200 has a flat or planarized surface.
  • a material composition for the capping layer 200 is selected such that a high etching selectivity exists between the capping layer and the layer 150 . In other words, the capping layer 200 and the layer 150 have substantially different etching rates, such that the etching process may be performed to remove one of the layers 200 and 150 without affecting the other.
  • the capping layer 200 contains a dielectric material that is the same as (or substantially similar to) the buffer layer 140 .
  • the capping layer 200 and the buffer layer 140 may each contain silicon oxide.
  • the bonding pad region 56 is “opened.”
  • one or more etching processes may be performed to remove portions of the capping layer 200 , the layer 150 , the buffer layer 140 , the ARC layer 130 , and the substrate 32 in the bonding pad region 56 , until the STI 58 is exposed. Meanwhile, the pixel region 52 and the periphery region 54 remain substantially un-etched.
  • the STI 58 in the bonding pad region 56 is removed, for example by one or more etching processes. However, a portion of the STI 58 still remains in the periphery region 54 , since the periphery region 54 is not etched. A portion of the interlayer dielectric material is also removed in the bonding pad region 56 , for example by one or more etching processes. The removal of the STI 58 and the removal of the interlayer dielectric material in the bonding pad region 56 allows one of the conductive lines 66 to be exposed in the bonding pad region 56 .
  • a conductive pad 220 is formed on the exposed surface of the conductive line 66 in the bonding pad region 56 .
  • the conductive pad 220 may be formed by one or more deposition and patterning processes.
  • the conductive pad 220 contains aluminum.
  • the conductive pad 220 may contain another suitable metal, for example copper.
  • a bonding wire (or another electrical interconnection element) may be attached to the conductive pad 220 in a later process, and accordingly the conductive pad 220 may also be referred to as a bond pad or a bonding pad.
  • the conductive pad 220 is formed on the conductive line 66 , it is electrically coupled to the conductive line 66 and the rest of the interconnect structure 65 through the conductive line 66 . In other words, electrical connections may be established between external device and the image sensor device 30 at least in part through the conductive pad 220 .
  • the conductive pad 220 may be formed to be thicker or thinner than the STI 58 .
  • the conductive pad 220 need not necessarily cover the entire bonding pad region 56 , and therefore the conductive pad 220 may be formed to be spaced apart from the STI 58 (i.e., away from the periphery region 54 ).
  • the capping layer 200 is removed, for example through one or more suitable etching processes.
  • the layer 150 serves as an etching-stop layer during the removal of the capping layer 200 .
  • the etching processes involved in removing the capping layer 200 are configured such that a high etching selectivity exists between the capping layer 200 and the layer 150 .
  • the etching rate for the capping layer 200 is substantially greater (e.g., by factors of 10) than the etching rate for the layer 150 , such that the capping layer 200 may be removed while causing a negligible impact on the layer 150 .
  • the etching process “stops” at the layer 150 , and portions of the layer 150 become exposed (by the openings 190 - 192 ) after the removal of the capping layer 200 .
  • the removal of the capping layer 200 may have caused substantial portions of the buffer layer 140 to be removed as well, since the buffer layer 140 and the capping layer 200 may have substantially similar material compositions (e.g., silicon oxide). This is undesirable, since the buffer layer 140 is in the optical path of incoming light, and the removal thereof may degrade the optical performance of the image sensor device 30 .
  • the present disclosure prevents the undesired etching of the buffer layer 150 with the implementation of the layer 150 as an etching-stop layer herein.
  • portions of the layer 150 exposed by the openings 190 - 192 are removed, for example through one or more etching processes known in the art.
  • the etching processes involved in etching the layer 150 are configured such that a high etching selectivity exists between the layer 150 and the buffer layer 140 therebelow.
  • the etching rate for the layer 150 is substantially greater (e.g., by factors of 10) than the etching rate for the buffer layer 140 , such that the layer 150 may be removed while causing a negligible impact on the buffer layer 140 .
  • the layer 140 may serve as an etching-stop layer while the layer 150 is etched.
  • portions of the layer 150 disposed below the light-blocking structures 180 - 183 are kept intact, since they are protected by the light-blocking structures 180 - 183 during the etching of the layer 150 . It is understood that the disposition of the portions of the layer 150 underneath the light-blocking structures 180 - 183 is one of the unique physical characteristics of the image sensor device 30 according to certain embodiments of the present disclosure.
  • the material of the layer 150 may be opaque, or at least not as transparent as the buffer layer 140 therebelow. Therefore, if the layer 150 in the trenches 190 - 192 is not removed, it will interfere with the reception of light and degrade the optical performance of the image sensor device 30 .
  • the presence of the portions of the layer 150 below the light-blocking structures 180 - 183 does not substantially interfere with the optical performance of the image sensor device 30 , as light should not propagate through these portions of the layer 150 anyway—i.e., light propagating through the layer 150 would have led to undesirable cross-talk between neighboring pixels.
  • a passivation layer 240 is formed over the back side 36 of the image sensor device 30 .
  • the passivation layer 240 may be formed by a suitable deposition process known in the art.
  • the passivation layer 240 is formed over the conductive pad 220 in the bonding pad region 56 , on the sidewalls of the device substrate 32 and the layers 130 - 150 in the periphery region 54 , and around each of the light-blocking structures 180 - 183 and on the exposed surfaces of the layers 140 - 150 in the pixel region 52 .
  • the passivation layer 240 protects the various layers therebelow from elements such as dust, moisture, etc.
  • the passivation layer 240 contains a dielectric material, such as silicon oxide, silicon nitride, silicon oxynitride, etc.
  • the passivation layer 240 is formed in a conformal manner.
  • a portion of the passivation layer 240 in the bonding pad region 56 is removed, for example through one or more etching processes.
  • the partial removal of the passivation layer 240 in the bonding pad region 56 exposes a portion of the conductive pad 220 in the bonding pad region 56 .
  • the removal of the passivation layer 240 is performed in a manner such that portions of the passivation layer 240 (such as portions 240 A and 240 B) still remain on the conductive pad 220 in the bonding pad region 56 even after the portion of the passivation layer 240 has been removed. This is because there is no reason to completely remove the passivation layer 240 in the bonding pad region 56 .
  • the removal of the passivation layer 240 i.e., the “opening” of the passivation layer 240
  • the removal of the passivation layer 240 merely needs to be performed to ensure that the exposed surface of the conductive pad 220 is sufficiently wide to receive a bonding wire.
  • complete removal of the passivation layer 240 in the bonding pad region 56 may also lead to the erosion or inadvertent removal of the passivation layer 240 where it is desired, such as on the sidewall surfaces of the device substrate 32 , the layers 130 - 150 , and on the light-blocking structure 183 .
  • the portions 240 A- 240 B of the passivation layer will remain on the conductive pad 220 , and the presence of the portions 240 A- 240 B of the passivation layer in the bonding pad region 56 is also one of the unique observable characteristics of the present disclosure.
  • the disposition of the remnant portions 240 A- 240 B of the passivation layer in the bonding pad region 56 is not necessarily intentional or deliberate, as these remnant portions 240 A- 240 B of the passivation layer may or may not serve any specific functional or structural purposes. Rather, the presence of the remnant portions 240 A- 240 B of the passivation layer is a byproduct of the unique fabrication process flow of the present disclosure.
  • a plurality of color filters 250 - 252 is also formed in the openings 190 - 192 , respectively.
  • the color filters 250 - 252 may contain an organic material and may be formed by one or more coating and lithography processes.
  • the color filters 250 - 252 may also be associated with different colors. For example, the color filter 250 may allow a red light to pass through but will filter out all the other colors of light, the color filter 251 may allow a green light to pass through but will filter out all the other colors of light, and the color filter 252 may allow a blue light to pass through but will filter out all the other colors of light.
  • the color filters 250 - 252 may be referred to as buried color filters (or a buried color filter array), since they are buried or embedded in the openings 190 - 192 defined by the light-blocking structures 180 - 183 , rather than being formed over or above the light-blocking structures 180 - 183 .
  • the color filters 250 - 252 are also vertically aligned with the pixels 40 - 42 , respectively.
  • the alignment between the color filters 250 - 252 and the pixels 40 - 42 is attributed at least in part to the fact that the light-blocking structures 180 - 182 are vertically aligned with the gaps 45 - 47 separating the neighboring pixels.
  • the color filters 250 - 252 are “self-aligned” with the pixels 40 - 42 .
  • the self-aligned color filters 250 - 252 of the present disclosure improve the cross-talk performance of the image sensor device 30 .
  • the fact that the color filters 250 - 252 are now “buried” or “embedded” in the openings 190 - 192 also results in shorter optical paths between the color filters 250 - 252 and the pixels 40 - 42 , which improves the reception of the light in the pixels 40 - 42 .
  • a bonding wire 265 is also attached to the conductive pad 220 in the bonding pad region 56 .
  • the bonding wire 265 may be attached using a wire bonding process known in the art.
  • the wire bonding process may include a ball bonding process, in which a portion of the bonding wire 265 is melted to form a bonding ball 270 .
  • the bonding wire 265 and the bonding ball 270 each include gold.
  • the bonding wire 265 and the bonding ball 270 may include copper or another suitable metal.
  • the bonding ball 270 has a lateral dimension that is smaller than a lateral dimension than the exposed surface of the conductive pad 220 .
  • the order of the opening of the passivation layer 240 in the bonding pad region 56 , the attachment of the bonding wire 265 , and the formation of the color filters 250 - 252 is not critical.
  • the passivation layer 240 is first “opened” in the bonding pad region 56 to expose the conductive pad 220 , and then the color filters 250 - 252 are formed.
  • the color filters 250 - 252 may be formed first, and the passivation layer 240 may then be “opened” in the bonding pad region 56 to expose the conductive pad 220 for the attachment of the bonding wire 265 .
  • FIGS. 1-15 illustrate a process flow for forming buried or embedded color filters for a back-side illuminated image sensor according to some embodiments of the present disclosure.
  • FIGS. 16-26 illustrate another process flow for forming buried or embedded color filters for a back-side illuminated image sensor according to some alternative embodiments of the present disclosure, as discussed in detail below. For reasons of consistency and clarity, similar components appearing in FIGS. 16-26 will be labeled the same.
  • the alternative fabrication process flow is carried out in the same manner as the process flow described above with reference to FIGS. 1-6 , up to the point before the layer 150 is formed.
  • the images sensor device 30 has undergone the formation of the pixels 40 - 42 , the interconnect structure 65 , bonding with the carrier substrate 80 , thinning of the back side 36 , and the formation of the ARC layer 130 and the buffer layer 140 .
  • the alternative process flow bypasses this step, and forms the light-blocking layer 170 over the buffer layer 140 on the back side 36 .
  • the light-blocking layer 170 is patterned into the plurality of light-blocking structures 180 - 183 .
  • the light-blocking structures 180 - 183 and the buffer layer 140 collectively define the plurality of openings 190 - 192 .
  • the light-blocking structures 180 - 182 are vertically aligned with the gaps 45 - 47 separating the pixels 40 - 42 , respectively, and the openings 190 - 192 are vertically aligned with the pixels 40 - 42 , respectively.
  • a dielectric layer 300 is formed over the light-blocking structures 180 - 183 and over the buffer layer 140 on the back side 36 .
  • the dielectric layer 300 protects the various layers therebelow (and the light-blocking structures 180 - 182 ) from elements such as dust, moisture, etc. Therefore, the dielectric layer 300 may also be referred to as a passivation layer.
  • the dielectric 300 contains silicon oxide.
  • the dielectric layer 300 is formed in a conformal manner. That is, the dielectric layer 300 has a thickness 305 is substantially uniform throughout. In some embodiments, the thickness 305 is in a range from about 50 angstroms to about 500 angstroms
  • a layer 310 is formed over the layer 310 on the back side 36 .
  • the layer 310 has a material composition that is different from the material composition of the dielectric layer 300 .
  • the layer 310 contains silicon nitride.
  • the layer 310 may contain silicon carbide, silicon oxynitride, titanium nitride, or even a suitable metal or metal compound material such as tungsten, aluminum copper, copper, etc.
  • the material composition for the layer 310 is configured such that it (or the dielectric layer 300 below) may function as an etching-stop layer in etching processes to be discussed later.
  • the material composition of the layer 310 is selected such that a sufficiently high etching selectivity may exist between the layers 300 and 310 (and between the layer 310 and the layer to be formed thereon).
  • the layers 300 and 310 have substantially different etching rates, such that an etching process may be performed to remove one of the layers 300 and 310 without affecting the other.
  • the layer 310 is formed in a conformal manner. That is, the layer 310 has a thickness 315 is substantially uniform throughout. In some embodiments, the thickness 315 is in a range from about 100 angstroms to about 1500 angstroms. This thickness range is configured such that the layer 310 may adequately perform its function as an etching-stop layer in subsequent processes, as discussed in more detail below.
  • a capping layer 340 is formed over the layer 310 on the back side 36 .
  • the capping layer 340 may be formed by a deposition process known in the art.
  • the capping layer 340 fills the openings 190 - 192 .
  • a planarization process e.g., a CMP process
  • the material composition of the capping layer 340 is configured such that a sufficiently high etching selectivity may exist between the capping 340 and the layer 310 .
  • the layers 340 and 310 have substantially different etching rates, such that an etching process may be performed to remove one of the layers 340 and 310 without affecting the other.
  • the layer 310 may serve as an etching-stop layer when the capping layer 340 is being etched in a later process.
  • the capping layer contains silicon oxide.
  • the layers 300 and 340 each contain silicon oxide, and the layer 310 contains silicon nitride, it may be said that an ONO structure—oxide/nitride/oxide—is formed over the back side 36 of the substrate 32 and over the light-blocking structures 180 - 182 .
  • the bonding pad region 56 is “opened.”
  • one or more etching processes may be performed to remove portions of the capping layer 340 , the buffer layer 140 , the ARC layer 130 , and the substrate 32 in the bonding pad region 56 , until a portion of the STI 58 is exposed. Meanwhile, the pixel region 52 and the periphery region 54 remain substantially un-etched. In addition, portions of the layers 300 and 310 disposed on the sidewall of the light-blocking structure 183 also remain substantially un-etched.
  • the exposed portion of the STI 58 in the bonding pad region 56 is removed, for example by one or more etching processes. However, a portion of the STI 58 still remains in the periphery region 54 , since the periphery region 54 is not etched. A portion of the STI 58 disposed below the un-etched portions of the layers 300 and 310 in the bonding pad region 56 is also un-etched. A portion of the interlayer dielectric material is also removed in the bonding pad region 56 , for example by one or more etching processes. The removal of the STI 58 and the removal of the interlayer dielectric material in the bonding pad region 56 allows one of the conductive lines 66 to be at least partially exposed in the bonding pad region 56 .
  • a conductive pad 350 is formed on the exposed surface of the exposed conductive line 66 in the bonding pad region 56 .
  • the conductive pad 350 may be formed by one or more deposition and patterning processes.
  • the conductive pad 350 contains aluminum.
  • the conductive pad 350 may contain another suitable metal, for example copper.
  • a bonding wire (or another electrical interconnection element) may be attached to the conductive pad 350 in a later process, and accordingly the conductive pad 350 may also be referred to as a bond pad or a bonding pad.
  • the conductive pad 220 is formed on the conductive line 66 , it is electrically coupled to the conductive line 66 and the rest of the interconnect structure 65 through the conductive line 66 . In other words, electrical connections may be established between external device and the image sensor device 30 at least in part through the conductive pad 350 .
  • the conductive pad 350 may be formed to be thicker or thinner than the STI 58 .
  • the conductive pad 350 need not necessarily cover the entire bonding pad region 56 , and therefore the conductive pad 350 may be formed to be spaced apart from the STI 58 (i.e., away from the periphery region 54 ).
  • the capping layer 340 is removed, for example through one or more suitable etching processes.
  • the layer 310 serves as an etching-stop layer during the removal of the capping layer 340 .
  • the etching processes involved in removing the capping layer 340 are configured such that a high etching selectivity exists between the capping layer 340 and the layer 310 .
  • the etching rate for the capping layer 340 is substantially greater (e.g., by factors of 10) than the etching rate for the layer 310 , such that the capping layer 340 may be removed while causing a negligible impact on the layer 310 .
  • the etching process “stops” at the layer 310 , and the removal of the capping layer 340 exposes the layer 310 .
  • an etching process is performed to remove portions of the layer 310 .
  • the etching process is a dry etching process.
  • spacers 310 A are formed.
  • the spacers 310 A are portions of the layer 310 that are not removed by the etching process (or stated differently, remaining portions of the layer 310 after the etching process is performed).
  • the spacers 310 A are disposed on portions of the dielectric layer 300 that are formed on the sidewall surfaces of the light-blocking structures 180 - 183 .
  • the spacers 310 A are disposed over the sidewalls of the light-blocking structures 180 - 183 as well. However, the spacers 310 A are not disposed over top surfaces of the light-blocking structures 180 - 183 , since the portions of the layer 310 disposed over the top surfaces of the light-blocking structures 180 - 183 have already been removed by the dry etching process. In some embodiments (for example when the spacers 310 A contain silicon nitride or a metal), the spacers 310 A are less transparent (or more opaque) than the layer 300 . This may be beneficial as the opaque spacers 310 A may aid the light-blocking structures 180 - 183 in blocking or reflecting light in order to reduce cross-talk.
  • the shape and profile of the spacers 310 A resemble spacers that are typically formed on the sidewalls of a transistor gate in semiconductor fabrication.
  • the spacers 310 A have somewhat curved outer surfaces (away from the light-blocking structures 180 - 183 ) and are narrower at the top and wider at the bottom.
  • the material compositions of these spacers 310 A may or may not be the same as the conventional spacers formed on the sidewalls of the transistor gates.
  • the spacers 310 A are formed to have a maximum lateral dimension 370 .
  • the maximum lateral dimension 370 is approximately the same as the thickness 315 of the layer 310 ( FIG. 18 ), which is in a range from about 100 angstroms to about 1500 angstroms. It is understood that the presence of the spacers 310 A is one of the unique physical characteristics of the image sensor device 30 according to certain embodiments of the present disclosure.
  • the layer 300 serves as an etching-stop layer during the etching of the layer 310 .
  • the etching of the layer 310 does not substantially affect the layer 300 .
  • the high etching selectivity between the layers 300 and 310 , as well as between the layers 310 and 340 ensures that the capping layer 340 can be effectively removed without damaging the buffer layer 140 (which is in the optical path) therebelow.
  • the ONO-like structure formed collectively by the layers 300 , 310 , and 340 allows the layer 310 to serve as an etching-stop layer while the capping layer 340 is removed, and it also allows the layer 300 to serve as an etching-stop layer while the layer 310 is removed.
  • the buffer layer 140 is undamaged, and the passivation layer 300 is formed in the openings 190 - 192 , which are reserved for the formation of color filters.
  • a plurality of color filters 250 - 252 is formed in the openings 190 - 192 , respectively.
  • the color filters 250 - 252 may contain an organic material and may be formed by one or more coating and lithography processes.
  • the color filters 250 - 252 may also be associated with different colors.
  • the color filter 250 may allow a red light to pass through but will filter out all the other colors of light
  • the color filter 251 may allow a green light to pass through but will filter out all the other colors of light
  • the color filter 252 may allow a blue light to pass through but will filter out all the other colors of light.
  • the color filters 250 - 252 may be referred to as buried color filters (or a buried color filter array), since they are buried or embedded in the openings 190 - 192 defined by the light-blocking structures 180 - 183 , rather than being formed over or above the light-blocking structures 180 - 183 .
  • the color filters 250 - 252 are also vertically aligned with the pixels 40 - 42 , respectively.
  • the alignment between the color filters 250 - 252 and the pixels 40 - 42 is attributed at least in part to the fact that the light-blocking structures 180 - 182 are vertically aligned with the gaps 45 - 47 separating the neighboring pixels.
  • the color filters 250 - 252 are “self-aligned” with the pixels 40 - 42 .
  • the self-aligned color filters 250 - 252 of the present disclosure improve the cross-talk performance of the image sensor device 30 .
  • the fact that the color filters 250 - 252 are now “buried” or “embedded” in the openings 190 - 192 also results in shorter optical paths between the color filters 250 - 252 and the pixels 40 - 42 , which improves the reception of the light in the pixels 40 - 42 .
  • a bonding wire 265 is also attached to the conductive pad 350 in the bonding pad region 56 . Since the passivation layer (i.e., layer 300 ) in the bonding pad region 56 has already been removed, the conductive pad 350 is exposed and is ready to be bonded to the bonding wire 265 .
  • the bonding wire 265 may be attached using a wire bonding process known in the art.
  • the wire bonding process may include a ball bonding process, in which a portion of the bonding wire 265 is melted to form a bonding ball 270 .
  • the bonding wire 265 and the bonding ball 270 each include gold.
  • the bonding wire 265 and the bonding ball 270 may include copper or another suitable metal.
  • the bonding ball 270 has a lateral dimension that is smaller than a lateral dimension than the exposed surface of the conductive pad 350 .
  • the order sequence of the formation of the color filters 250 - 252 and the attachment of the bonding wire 265 is not critical.
  • the color filters 250 - 252 may be formed before the attachment of the bonding wire 265 .
  • the bonding wire 265 may be attached before the formation of the color filters 250 - 252 .
  • the embodiment discussed above uses a dry etching process to remove the layer 310 , which leaves the spacers 310 A on the sidewalls of the light-blocking structures 180 - 183 . It is also understood that, in some alternative embodiments, a wet etching process may be performed to remove the layer 310 instead of the dry etching process. In that case, the layer 310 may be completely removed. In other words, no spacers 310 A would have been formed if the wet etching process was used to remove the layer 310 . The resulting image sensor device 30 associated with this wet etching process is shown in FIG. 26 .
  • a plurality of micro-lenses may be formed over the color filters 250 - 252 .
  • the micro-lenses help direct and focus light towards the pixels 40 - 42 in the substrate 32 .
  • the micro-lenses may be positioned in various arrangements and have various shapes depending on a refractive index of material used for the micro-lens and distance from a sensor surface.
  • a plurality of testing, dicing, and packaging processes may also be performed. For reasons of simplicity, these additional structures and/or processes are not specifically illustrated or discussed in detail herein.
  • FIG. 27 is a simplified flowchart illustrating a method 500 of fabricating an image sensor device according to embodiments of the present disclosure.
  • the method 500 includes a step 510 of providing a substrate that contains a plurality of radiation-sensing regions formed therein.
  • the substrate has a first side and a second side.
  • An interconnect structure may be formed over the first side of the substrate.
  • the substrate (or the semiconductor image sensor device) has a pixel region, a periphery region, and a bonding pad region.
  • the radiation-sensing regions are formed in the pixel region.
  • the method 500 includes a step 515 of bonding the first side of the substrate to a carrier substrate.
  • the step 520 is performed such that the interconnect structure is bonded between the substrate and the carrier substrate.
  • the method 500 includes a step 520 of thinning the substrate from the second side after the bonding.
  • the thinning step 520 includes one or more chemical and/or mechanical grinding and polishing processes.
  • the method 500 includes a step 525 of forming a buffer layer over the second side of the substrate after the thinning.
  • the buffer layer contains silicon oxide
  • the method 500 includes a step 530 of forming a first layer over the buffer layer, the first layer and the buffer layer having different material compositions.
  • the first layer contains silicon nitride, silicon carbide, silicon oxynitride, titanium nitride, tungsten, aluminum copper, or copper.
  • the step 530 of forming the first layer is performed such that the first layer has a thickness in a range from about 100 angstroms to about 1500 angstroms.
  • the method 500 includes a step 535 of forming a plurality of light-reflective structures over the first layer.
  • the light-reflective structures and the first layer define a plurality of openings that are each aligned with a respective one of the pixels.
  • the method 500 includes a step 540 of forming a second layer over the light-reflective structures.
  • the second layer fills the openings.
  • the second layer and the first layer have different material compositions.
  • the second layer contains silicon oxide.
  • the method 500 includes a step 545 of removing portions of the substrate in the bonding pad region. This step may also be referred to as “opening” the bonding pad region.
  • the method 500 includes a step 550 of forming a bonding pad in the bonding pad region after the bonding pad region has been opened.
  • the method 500 includes a step 555 of removing the second layer with a first etching process.
  • the first layer serves as a first etching-stop layer in the first etching process.
  • the method 500 includes a step 560 of removing portions of the first layer disposed below the openings with a second etching process.
  • the buffer layer serves as a second etching-stop layer in the second etching process.
  • the first etching process and material compositions of the first and second layers are configured such that the first and second layers have substantially different etching rates in the first etching process.
  • the second etching process and material compositions of the first layer and the buffer layer are configured such that the first layer and the buffer layer have substantially different etching rates in the second etching process.
  • ARC antireflective coating
  • a passivation layer is formed over the light-reflective structures and over the buffer layer. The passivation layer partially fills the openings. Thereafter, a plurality of color filters may be formed in the openings. Additional steps may be performed to complete the image sensor fabrication, but they are not specifically discussed herein for reasons of simplicity.
  • FIG. 28 is a simplified flowchart illustrating a method 600 of fabricating an image sensor device according to embodiments of the present disclosure.
  • the method 600 includes a step 610 of providing a substrate that contains a plurality of radiation-sensing regions formed therein.
  • the substrate has a first side and a second side.
  • An interconnect structure may be formed over the first side of the substrate.
  • the substrate (or the semiconductor image sensor device) has a pixel region, a periphery region, and a bonding pad region.
  • the radiation-sensing regions are formed in the pixel region.
  • the method 600 includes a step 615 of bonding the first side of the substrate to a carrier substrate.
  • the step 520 is performed such that the interconnect structure is bonded between the substrate and the carrier substrate.
  • the method 600 includes a step 620 of thinning the substrate from the second side after the bonding.
  • the thinning step 520 includes one or more chemical and/or mechanical grinding and polishing processes.
  • the method 600 includes a step 625 of forming a plurality of light-reflective structures over the second side of the substrate after the thinning.
  • the light-reflective structures partially define a plurality of openings that are each aligned with a respective one of the pixels.
  • the method 600 includes a step 630 of coating a first layer on each of the light-reflective structures.
  • the first layer contains silicon oxide.
  • the method 600 includes a step 635 of coating a second layer on the first layer, wherein the second layer and the first layer have different material compositions.
  • the second layer contains silicon nitride, silicon carbide, silicon oxynitride, titanium nitride, tungsten, aluminum copper, or copper.
  • the method 600 includes a step 640 of filling the openings with a third layer.
  • the third layer and the second layer have different material compositions.
  • the third layer contains silicon oxide.
  • the method 600 includes a step 645 of removing portions of the substrate in the bonding pad region.
  • the method 600 includes a step 650 of thereafter forming a bonding pad in the bonding pad region.
  • the method 600 includes a step 655 of thereafter etching the third layer.
  • the second layer serves as a first etching-stop layer during the etching of the third layer.
  • the method 600 includes a step 660 of thereafter etching the second layer.
  • the first layer serves as a second etching-stop layer during the etching of the second layer.
  • the etching of the second layer is performed using a dry etching process. This forms a plurality of spacers disposed over sidewalls of the light-structures. The spacers are portions of the second layer that remain after the etching of the second layer.
  • ARC antireflective coating
  • buffer layer may be formed between the substrate and the light-reflective structures.
  • a plurality of color filters may be formed in the openings. Additional steps may be performed to complete the image sensor fabrication, but they are not specifically discussed herein for reasons of simplicity.
  • conventional BSI image sensor fabrication process flows typically form a metal grid (i.e., light-blocking or light-reflective structures) in the back side before a conductive bonding pad is formed.
  • the metal grid needs to be capped by a capping layer such as an oxide layer.
  • This capping layer has to be flattened in subsequent processes, and it will be difficult to remove this capping layer over the metal grid before the bonding pad is formed. As a result, color filters have to be coated over a flat surface of the capping layer.
  • the conventional fabrication process flow for BSI image sensors lead to the color filters being formed over and above the metal grid, rather than being embedded within the trenches or openings partially defined by the metal grid.
  • the conventional BSI image sensors have a longer optical path between the color filters and pixels, as well as gaps between the color filters and the metal grid from which light can escape.
  • the disposition of the color filters over and above the metal grid requires the metal grid to be accurately aligned with the color filters (or more precisely, the gaps separating adjacent color filters). For these reasons discussed above, conventional image sensors tend to suffer from cross-talk issues and/or have degraded performance in terms of optical loss or quantum efficiency.
  • embodiments of the present disclosure facilitate the formation of buried or embedded color filters.
  • the embodiments discussed above with reference to FIGS. 1-15 and 27 implements an etching-stop layer to allow the oxide capping layer to be removed without damaging the buffer layer (or other layer in the optical path of the image sensor) below.
  • the embodiments discussed above with reference to FIGS. 16-26 and 28 utilizes an ONO-like structure to also allow the oxide capping layer to be removed without damaging the buffer layer (or other layer in the optical path of the image sensor) below.
  • a metal grid is formed that defines openings that are reserved for the formation of buried color filters.
  • the color filters can be formed to be embedded or buried in the openings defined by the metal grid, rather than being formed on a flat surface above the metal grid. Consequently, the metal grid can more effectively prevent the light from incorrectly entering an adjacent pixel (since the metal grid is at the same level as the color filters), thereby reducing cross-talk.
  • the fact that the color filters are formed within the openings defined by the metal grid also means that the color filters are “self-aligned”, thereby obviating any alignment constraints between the metal grid and the color filters.
  • the shorter optical path between the color filters and the pixels increases light reception and enhances quantum efficiency.
  • the image sensor device includes a substrate having a first side and a second side that is opposite the first side.
  • An interconnect structure is disposed over the first side of the substrate.
  • a plurality of radiation-sensing regions is located in the substrate. The radiation-sensing regions are configured to sense radiation that enters the substrate from the second side.
  • a buffer layer is disposed over the second side of the substrate.
  • a plurality of elements is disposed over the buffer layer. The elements and the buffer layer have different material compositions.
  • a plurality of light-blocking structures is disposed over the plurality of elements, respectively. The radiation-sensing regions are respectively aligned with a plurality of openings defined by the light-blocking structures, the elements, and the buffer layer.
  • the image sensor device includes a substrate having a front side and a back side that is opposite the front side.
  • An interconnect structure is disposed over the first side of the substrate.
  • a plurality of pixels is located in the substrate. The pixels are each configured to detect light that enters the substrate from the back side.
  • a dielectric layer is disposed over the back side of the substrate.
  • a plurality of light-reflective structures is disposed over the back side of the substrate.
  • a plurality of segments is each disposed between the dielectric layer and a respective one of the light-reflective structures. The segments each contain a metal material or a dielectric material different from the dielectric layer.
  • a plurality of color filters is disposed between the light-reflective structures. Each of the color filters is aligned with a respective one of the pixels.
  • a substrate is provided.
  • the substrate comprises a pixel region, a periphery region, and a bonding pad region.
  • the pixel region contains a plurality of radiation-sensing regions.
  • the first side of the substrate is bonded to a carrier substrate. Thereafter, the substrate is thinned from a second side opposite the first side.
  • a buffer layer is formed over the second side of the substrate after the thinning.
  • a first layer is formed over the buffer layer.
  • the first layer and the buffer layer have different material compositions.
  • a plurality of light-reflective structures is formed over the first layer.
  • the light-reflective structures and the first layer define a plurality of openings that are each aligned with a respective one of the pixels.
  • a second layer is formed over the light-reflective structures. The second layer fills the openings. The second layer and the first layer have different material compositions. Portions of the substrate in the bonding pad region are removed. Thereafter a bonding pad is formed in the bonding pad region. Thereafter, the second layer is removed with a first etching process.
  • the first layer serves as a first etching-stop layer in the first etching process. Thereafter, portions of the first layer disposed below the openings are removed with a second etching process.
  • the buffer layer serves as a second etching-stop layer in the second etching process.
  • the image sensor device includes a substrate having a first side and a second side that is opposite the first side.
  • An interconnect structure is disposed over the first side of the substrate.
  • a plurality of radiation-sensing regions are located in the substrate. The radiation-sensing regions are configured to sense radiation that enters the substrate from the second side.
  • a plurality of light-blocking structures is disposed over the second side of the substrate.
  • a passivation layer is coated on top surfaces and sidewalls of each of the light-blocking structures.
  • a plurality of spacers is disposed on portions of the passivation layer coated on the sidewalls of the light-blocking structures.
  • the image sensor device includes a substrate having a front side and a back side that is opposite the front side.
  • An interconnect structure is disposed over the first side of the substrate.
  • a plurality of pixels is located in the substrate. The pixels are each configured to detect light that enters the substrate from the back side.
  • a plurality of light-reflective structures is disposed over the back side of the substrate.
  • a passivation layer is coated on top surfaces and sidewalls of each of the light-reflective structures.
  • a plurality of spacers is disposed on portions of the passivation layer coated on the sidewalls of the light-reflective structures but not over the top surfaces of the light-reflective structures.
  • the spacers and the passivation layer have material compositions that are configured such that the spacers and the passivation layer have substantially different etching rates.
  • a plurality of color filters is disposed between the light-reflective structures. The color filters are each aligned with a respective one of the pixels. The color filters are isolated from the light-reflective structures by the passivation layer and the spacers.
  • a substrate is provided.
  • the substrate comprises a pixel region, a periphery region, and a bonding pad region.
  • the pixel region contains a plurality of radiation-sensing regions.
  • the first side of the substrate is bonded to a carrier substrate. Thereafter, the substrate is thinned from a second side opposite the first side.
  • a plurality of light-reflective structures is formed over the second side of the substrate after the thinning.
  • the light-reflective structures partially define a plurality of openings that are each aligned with a respective one of the pixels.
  • a first layer is coated on each of the light-reflective structures.
  • a second layer is coated on the first layer.
  • the second layer and the first layer have different material compositions.
  • the openings are filled with a third layer.
  • the third layer and the second layer have different material compositions. Portions of the substrate in the bonding pad region are removed. Thereafter, a bonding pad is formed in the bonding pad region. Thereafter, the third layer is etched.
  • the second layer serves as a first etching-stop layer during the etching of the third layer. Thereafter, the second layer is etched.
  • the first layer serves as a second etching-stop layer during the etching of the second layer.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Solid State Image Pick-Up Elements (AREA)
US14/307,781 2014-06-18 2014-06-18 Formation of buried color filters in a back side illuminated image sensor using an etching-stop layer Active 2034-07-21 US9553118B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US14/307,781 US9553118B2 (en) 2014-06-18 2014-06-18 Formation of buried color filters in a back side illuminated image sensor using an etching-stop layer
US14/308,760 US9368531B2 (en) 2014-06-18 2014-06-19 Formation of buried color filters in a back side illuminated image sensor with an ono-like structure
CN201410723334.1A CN105280652B (zh) 2014-06-18 2014-12-02 在具有类ono结构的背照式图像传感器中形成隐埋式滤色器
US15/168,816 US9543353B2 (en) 2014-06-18 2016-05-31 Formation of buried color filters in a back side illuminated image sensor with an ONO-like structure
US15/409,721 US9825085B2 (en) 2014-06-18 2017-01-19 Formation of buried color filters in a back side illuminated image sensor using an etching-stop layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/307,781 US9553118B2 (en) 2014-06-18 2014-06-18 Formation of buried color filters in a back side illuminated image sensor using an etching-stop layer

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US14/308,760 Continuation US9368531B2 (en) 2014-06-18 2014-06-19 Formation of buried color filters in a back side illuminated image sensor with an ono-like structure
US15/409,721 Division US9825085B2 (en) 2014-06-18 2017-01-19 Formation of buried color filters in a back side illuminated image sensor using an etching-stop layer

Publications (2)

Publication Number Publication Date
US20150372033A1 US20150372033A1 (en) 2015-12-24
US9553118B2 true US9553118B2 (en) 2017-01-24

Family

ID=54870372

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/307,781 Active 2034-07-21 US9553118B2 (en) 2014-06-18 2014-06-18 Formation of buried color filters in a back side illuminated image sensor using an etching-stop layer
US14/308,760 Active US9368531B2 (en) 2014-06-18 2014-06-19 Formation of buried color filters in a back side illuminated image sensor with an ono-like structure
US15/168,816 Active US9543353B2 (en) 2014-06-18 2016-05-31 Formation of buried color filters in a back side illuminated image sensor with an ONO-like structure
US15/409,721 Active US9825085B2 (en) 2014-06-18 2017-01-19 Formation of buried color filters in a back side illuminated image sensor using an etching-stop layer

Family Applications After (3)

Application Number Title Priority Date Filing Date
US14/308,760 Active US9368531B2 (en) 2014-06-18 2014-06-19 Formation of buried color filters in a back side illuminated image sensor with an ono-like structure
US15/168,816 Active US9543353B2 (en) 2014-06-18 2016-05-31 Formation of buried color filters in a back side illuminated image sensor with an ONO-like structure
US15/409,721 Active US9825085B2 (en) 2014-06-18 2017-01-19 Formation of buried color filters in a back side illuminated image sensor using an etching-stop layer

Country Status (2)

Country Link
US (4) US9553118B2 (zh)
CN (1) CN105280652B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10181491B2 (en) 2014-04-25 2019-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US10770501B2 (en) 2014-04-25 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Back side illuminated image sensor with deep trench isolation structures and self-aligned color filters

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102384890B1 (ko) 2015-01-13 2022-04-11 삼성전자주식회사 이미지 센서 및 그 형성 방법
US10014333B2 (en) * 2015-08-26 2018-07-03 Semiconductor Components Industries, Llc Back-side illuminated pixels with interconnect layers
US9761622B2 (en) * 2015-09-09 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS image sensor structure with crosstalk improvement
US9871067B2 (en) 2015-11-17 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Infrared image sensor component
US9691810B1 (en) * 2015-12-18 2017-06-27 Omnivision Technologies, Inc. Curved image sensor
CN105702695B (zh) * 2016-01-27 2018-11-16 武汉新芯集成电路制造有限公司 一种背照式传感器的制备方法
US20170250211A1 (en) * 2016-02-25 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor image sensor device and manufacturing method of the same
CN105742197B (zh) * 2016-03-11 2018-08-24 武汉新芯集成电路制造有限公司 一种键合晶圆结构及其制备方法
US9917121B2 (en) * 2016-03-24 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. BSI image sensor and method of forming same
US9911780B1 (en) * 2016-12-22 2018-03-06 Omnivision Technologies, Inc. Backside metal grid and metal pad simplification
CN108630714B (zh) * 2017-03-22 2020-11-03 中芯国际集成电路制造(上海)有限公司 图像传感器及其形成方法、工作方法
US20190027531A1 (en) * 2017-07-19 2019-01-24 Omnivision Technologies, Inc. Image sensor module having protective structure
KR102495573B1 (ko) 2017-07-21 2023-02-03 삼성전자주식회사 이미지 센서
CN107946327B (zh) * 2017-10-13 2020-02-14 上海集成电路研发中心有限公司 一种背照式cmos图像传感器结构的制作方法
US10943942B2 (en) * 2017-11-10 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor device and method of forming the same
US10367020B2 (en) * 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Polarizers for image sensor devices
DE102018124442A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co. Ltd. Polarisatoren für Bildsensorvorrichtungen
KR102424652B1 (ko) 2017-11-17 2022-07-25 삼성전자주식회사 이미지 센서
US10211253B1 (en) * 2017-11-29 2019-02-19 Omnivision Technologies, Inc. Self-alignment of a pad and ground in an image sensor
US10418408B1 (en) 2018-06-22 2019-09-17 Omnivision Technologies, Inc. Curved image sensor using thermal plastic substrate material
CN110828490B (zh) * 2018-08-07 2023-05-23 联华电子股份有限公司 背照式影像传感器
US11023011B2 (en) * 2018-09-28 2021-06-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device for attaching to a flexible display and a method of manufacturing the same
KR102558828B1 (ko) 2018-10-10 2023-07-24 삼성전자주식회사 차광 패턴을 포함하는 이미지 센서
US10784300B1 (en) * 2019-04-16 2020-09-22 Visera Technologies Company Limited Solid-state imaging devices
CN110071131A (zh) * 2019-04-26 2019-07-30 德淮半导体有限公司 半导体装置及其制造方法
US10847564B1 (en) * 2019-07-24 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Charge release layer to remove charge carriers from dielectric grid structures in image sensors
US11335817B2 (en) * 2019-08-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Composite etch stop layers for sensor devices
US11189653B2 (en) * 2019-09-17 2021-11-30 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with buffer layer and method of forming
KR20210110912A (ko) 2020-03-02 2021-09-10 삼성전자주식회사 이미지 센서
CN111370436B (zh) * 2020-04-15 2021-05-07 上海睿提工业智能科技有限公司 一种传感器封装结构及其制备方法
US11688754B2 (en) * 2020-05-06 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic device and method having increased quantum effect length
US11869916B2 (en) * 2020-11-13 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Bond pad structure for bonding improvement
CN112768494A (zh) * 2020-12-31 2021-05-07 安徽熙泰智能科技有限公司 基于金属网的改善串扰的微显示器结构以及制备方法
US20220336505A1 (en) * 2021-04-19 2022-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Csi with controllable isolation structure and methods of manufacturing and using the same
US20230411540A1 (en) * 2022-06-16 2023-12-21 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of making

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120049306A1 (en) * 2010-08-31 2012-03-01 Sony Corporation Solid-state imaging element, method of manufacturing the same, solid-state imaging apparatus, and imaging apparatus
US20120147208A1 (en) * 2010-12-09 2012-06-14 Sony Corporation Solid-state imaging device, manufacturing method thereof, and electronic apparatus

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9543356B2 (en) * 2009-03-10 2017-01-10 Globalfoundries Inc. Pixel sensor cell including light shield
US8227288B2 (en) * 2009-03-30 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor and method of fabricating same
US8767108B2 (en) * 2011-03-14 2014-07-01 Sony Corporation Solid-state imaging device, method of manufacturing solid-state imaging device, and electronic apparatus
US9373732B2 (en) * 2012-02-07 2016-06-21 Semiconductor Components Industries, Llc Image sensors with reflective optical cavity pixels
US20140339606A1 (en) * 2013-05-16 2014-11-20 Visera Technologies Company Limited Bsi cmos image sensor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120049306A1 (en) * 2010-08-31 2012-03-01 Sony Corporation Solid-state imaging element, method of manufacturing the same, solid-state imaging apparatus, and imaging apparatus
US20120147208A1 (en) * 2010-12-09 2012-06-14 Sony Corporation Solid-state imaging device, manufacturing method thereof, and electronic apparatus

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10181491B2 (en) 2014-04-25 2019-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US10490590B2 (en) 2014-04-25 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US10770501B2 (en) 2014-04-25 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Back side illuminated image sensor with deep trench isolation structures and self-aligned color filters
US10770502B2 (en) 2014-04-25 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US11355545B2 (en) 2014-04-25 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US11495632B2 (en) 2014-04-25 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Back side illuminated image sensor with deep trench isolation structures and self-aligned color filters
US11735619B2 (en) 2014-04-25 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor image sensor device having back side illuminated image sensors with embedded color filters

Also Published As

Publication number Publication date
US9368531B2 (en) 2016-06-14
CN105280652B (zh) 2018-11-30
CN105280652A (zh) 2016-01-27
US20170133429A1 (en) 2017-05-11
US20150372030A1 (en) 2015-12-24
US20160276400A1 (en) 2016-09-22
US9825085B2 (en) 2017-11-21
US20150372033A1 (en) 2015-12-24
US9543353B2 (en) 2017-01-10

Similar Documents

Publication Publication Date Title
US9825085B2 (en) Formation of buried color filters in a back side illuminated image sensor using an etching-stop layer
US11735619B2 (en) Semiconductor image sensor device having back side illuminated image sensors with embedded color filters
US11495632B2 (en) Back side illuminated image sensor with deep trench isolation structures and self-aligned color filters
US11901396B2 (en) Back side illuminated image sensor with reduced sidewall-induced leakage
US9543355B2 (en) Dark current reduction for back side illuminated image sensor
US8227288B2 (en) Image sensor and method of fabricating same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHENG, YUN-WEI;CHIU-JUNG, CHEN;CHIEN, VOLUME;AND OTHERS;SIGNING DATES FROM 20140619 TO 20140704;REEL/FRAME:033391/0003

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4