US8609891B2 - Photoacid generators and photoresists comprising same - Google Patents

Photoacid generators and photoresists comprising same Download PDF

Info

Publication number
US8609891B2
US8609891B2 US13/095,533 US201113095533A US8609891B2 US 8609891 B2 US8609891 B2 US 8609891B2 US 201113095533 A US201113095533 A US 201113095533A US 8609891 B2 US8609891 B2 US 8609891B2
Authority
US
United States
Prior art keywords
photoresist
photoacid generator
optionally substituted
coating layer
relief image
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US13/095,533
Other languages
English (en)
Other versions
US20110287361A1 (en
Inventor
Young Cheol Bae
Thomas Cardolaccia
Yi Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Priority to US13/095,533 priority Critical patent/US8609891B2/en
Publication of US20110287361A1 publication Critical patent/US20110287361A1/en
Assigned to ROHM AND HAAS ELECTRONIC MATERIALS LLC reassignment ROHM AND HAAS ELECTRONIC MATERIALS LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BAE, YOUNG CHEOL, CARDOLACCIA, THOMAS, LIU, YI
Application granted granted Critical
Publication of US8609891B2 publication Critical patent/US8609891B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/07Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton
    • C07C309/09Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton containing etherified hydroxy groups bound to the carbon skeleton
    • C07C309/10Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton containing etherified hydroxy groups bound to the carbon skeleton with the oxygen atom of at least one of the etherified hydroxy groups further bound to an acyclic carbon atom
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/07Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton
    • C07C309/12Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing oxygen atoms bound to the carbon skeleton containing esterified hydroxy groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C309/00Sulfonic acids; Halides, esters, or anhydrides thereof
    • C07C309/01Sulfonic acids
    • C07C309/02Sulfonic acids having sulfo groups bound to acyclic carbon atoms
    • C07C309/03Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • C07C309/17Sulfonic acids having sulfo groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton containing carboxyl groups bound to the carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C317/00Sulfones; Sulfoxides
    • C07C317/02Sulfones; Sulfoxides having sulfone or sulfoxide groups bound to acyclic carbon atoms
    • C07C317/04Sulfones; Sulfoxides having sulfone or sulfoxide groups bound to acyclic carbon atoms of an acyclic saturated carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C381/00Compounds containing carbon and sulfur and having functional groups not covered by groups C07C301/00 - C07C337/00
    • C07C381/12Sulfonium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D207/00Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom
    • C07D207/02Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom with only hydrogen or carbon atoms directly attached to the ring nitrogen atom
    • C07D207/18Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom with only hydrogen or carbon atoms directly attached to the ring nitrogen atom having one double bond between ring members or between a ring member and a non-ring member
    • C07D207/22Heterocyclic compounds containing five-membered rings not condensed with other rings, with one nitrogen atom as the only ring hetero atom with only hydrogen or carbon atoms directly attached to the ring nitrogen atom having one double bond between ring members or between a ring member and a non-ring member with hetero atoms or with carbon atoms having three bonds to hetero atoms with at the most one bond to halogen, e.g. ester or nitrile radicals, directly attached to ring carbon atoms
    • C07D207/24Oxygen or sulfur atoms
    • C07D207/262-Pyrrolidones
    • C07D207/2632-Pyrrolidones with only hydrogen atoms or radicals containing only hydrogen and carbon atoms directly attached to other ring carbon atoms
    • C07D207/272-Pyrrolidones with only hydrogen atoms or radicals containing only hydrogen and carbon atoms directly attached to other ring carbon atoms with substituted hydrocarbon radicals directly attached to the ring nitrogen atom
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/36Sulfur-, selenium-, or tellurium-containing compounds
    • C08K5/41Compounds containing sulfur bound to oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing

Definitions

  • This invention relates to new photoacid generator compounds (“PAGs”) and photoresist compositions that comprise such PAG compounds.
  • the invention relates ionic PAGs that comprise a moiety of the structure —C( ⁇ O)N ⁇ such as a lactam, amide or imide.
  • Preferred photoacid generator are salts where the anion component comprises comprise a moiety of the structure —C( ⁇ O)N ⁇ such as a lactam, amide or imide.
  • Photoresists are photosensitive films for transfer of images to a substrate. They form negative or positive images. After coating a photoresist on a substrate, the coating is exposed through a patterned photomask to a source of activating energy such as ultraviolet light to form a latent image in the photoresist coating.
  • the photomask has areas opaque and transparent to activating radiation that define an image desired to be transferred to the underlying substrate.
  • the invention provides new photoacid generator compounds (PAGs) that comprise a nitrogen-base functional component of the structure —C( ⁇ O)N ⁇ .
  • PAGs photoacid generator compounds
  • such moieties can function as a hydrogen-bond acceptor.
  • the nitrogen valences i.e. ⁇ represent separate linkages independent may be linked to either hydrogen or a non-hydrogen substituent.
  • photoacid generator compounds of the invention comprise a non-cyclic amide moiety.
  • photoacid generator compounds of the invention comprise a lactam moiety.
  • photoacid generator compounds of the invention comprise an imide moiety.
  • photoacid generator compounds comprise an anionic component that comprise a structure —C( ⁇ O)N ⁇ .
  • an anionic component that comprise a structure —C( ⁇ O)N ⁇ .
  • a preferred anion component comprise a sulfonic acid (SO 3 ⁇ ) group which may have optionally substituted alkyl substitution, e.g. a fluoroalkyl group that is substituted with a structure —C( ⁇ O)N ⁇ . such as a lactam or non-cyclic amide or an imide.
  • Preferred lactam moieties of photoacid generator compounds of the invention include e.g. piperidinonyl and pyrrolidinonyl groups
  • photoresist compositions that comprise one or more photoacid generator compounds as disclosed herein.
  • a photoresist composition comprises one or more components that can hydrogen-bond or otherwise complex with the —C( ⁇ O)N ⁇ . PAG structure.
  • photoresist compositions that comprise a resin component that contain acrylic acid units (which may result from a photoacid-induced deprecation reaction). Such acidic groups can hydrogen bond with the —C( ⁇ O)N ⁇ PAG structure.
  • PAGs of the invention can minimize undesired photoacid diffusion into unexposed photoresist regions. That is, the photoacid-generated acid with basic —C( ⁇ O)N ⁇ . structure can complex with acidic groups such as deprotected carboxylic acid group of a resist photoacid-labile resin component. Such complexing of the PAG can effectively localize and restrict the activated PAG to exposed resist regions and largely preclude undesired diffusion of photoacid into unexposed resist regions.
  • an unactivated photoacid generator compound of the present invention with a basic —C( ⁇ O)N ⁇ . structure as present in unexposed regions can function as an effective quencher molecule and restrict diffusive migration of photogenerated acid into unexposed resist regions.
  • the photoactivated PAG will be effectively acidic for activation of the resist (e.g. to catalyze deblocking reactions of a resin component with photoacid-labile groups) notwithstanding the basic —C( ⁇ O)N ⁇ PAG moieties.
  • PAGs of the invention can impart enhanced contrast and resolution between exposed and unexposed photoresist composition layer regions as a result of several independent phenomena.
  • PAGs of the invention may be suitably used in positive-acting or negative-acting chemically amplified photoresists, i.e. negative-acting resist compositions which undergo a photoacid-promoted crosslinking reaction to render exposed regions of a coating layer of the resist less developer soluble than unexposed regions, and positive-acting resist compositions which undergo a photoacid-promoted deprotection reaction of acid labile groups of one or more composition components to render exposed regions of a coating layer of the resist more soluble in an aqueous developer than unexposed regions.
  • positive-acting resist compositions which undergo a photoacid-promoted deprotection reaction of acid labile groups of one or more composition components to render exposed regions of a coating layer of the resist more soluble in an aqueous developer than unexposed regions.
  • Ester groups that contain a tertiary non-cyclic alkyl carbon or a tertiary alicyclic carbon covalently linked to the carboxyl oxygen of the ester are generally preferred photoacid-labile groups of resins employed in photoresists of the invention. Acetal groups also are suitable photoacid-labile groups.
  • Preferred imaging wavelengths of photoresists of the invention include sub-300 nm wavelengths e.g. 248 nm, and sub-200 nm wavelengths e.g. 193 nm and EUV.
  • a phenolic resin that contains acid-labile groups that can provide a chemically amplified positive resist particularly suitable for imaging at 248 nm Particularly preferred resins of this class include: i) polymers that contain polymerized units of a vinyl phenol and an alkyl acrylate, where the polymerized alkyl acrylate units can undergo a deblocking reaction in the presence of photoacid.
  • exemplary alkyl acrylates that can undergo a photoacid-induced deblocking reaction include e.g.
  • t-butyl acrylate, t-butyl methacrylate, methyladamantyl acrylate, methyl adamantyl methacrylate, and other non-cyclic alkyl and alicyclic acrylates that can undergo a photoacid-induced reaction such as polymers in U.S. Pat. Nos. 6,042,997 and 5,492,793, incorporated herein by reference; ii) polymers that contain polymerized units of a vinyl phenol, an optionally substituted vinyl phenyl (e.g.
  • styrene that does not contain a hydroxy or carboxy ring substituent, and an alkyl acrylate such as those deblocking groups described with polymers i) above, such as polymers described in U.S. Pat. No. 6,042,997, incorporated herein by reference; and iii) polymers that contain repeat units that comprise an acetal or ketal moiety that will react with photoacid, and optionally aromatic repeat units such as phenyl or phenolic groups;
  • resins of this class include: i) polymers that contain polymerized units of a non-aromatic cyclic olefin (endocyclic double bond) such as an optionally substituted norbornene, such as polymers described in U.S. Pat. No. 5,843,624 incorporated herein by reference; ii) polymers that contain alkyl acrylate units such as e.g.
  • Resists of the invention also may comprise a mixture of distinct PAGs, typically a mixture of 2 or 3 different PAGs, more typically a mixture that consists of a total of 2 distinct PAGs.
  • the invention also provide methods for forming relief images of the photoresists of the invention, including methods for forming highly resolved patterned photoresist images (e.g. a patterned line having essentially vertical sidewalls) of sub-quarter micron dimensions or less, such as sub-0.2 or sub-0.1 micron dimensions.
  • highly resolved patterned photoresist images e.g. a patterned line having essentially vertical sidewalls
  • sub-quarter micron dimensions or less such as sub-0.2 or sub-0.1 micron dimensions.
  • the invention further provides articles of manufacture comprising substrates such as a microelectronic wafer or a flat panel display substrate having coated thereon the photoresists and relief images of the invention.
  • substrates such as a microelectronic wafer or a flat panel display substrate having coated thereon the photoresists and relief images of the invention.
  • Other aspects of the invention are disclosed infra.
  • PAGs photoacid generator compounds
  • the nitrogen valences i.e. ⁇ represents separate linkages independent may be linked to either hydrogen or a non-hydrogen substituent
  • the carbonyl valence i.e. —C( ⁇ O)N ⁇ is a linkage to the balance of the PAG compound.
  • —C( ⁇ O)N ⁇ also could be depicted as —C( ⁇ O)N(R)(R 1 ) where R and R 1 are the same or different and are hydrogen or a non-hydrogen substituent such as optionally substituted alkyl including optionally substituted C 1-30 alkyl, optionally substituted including C 3-30 cycloalkyl, optionally substituted alkoxy including optionally substituted C 1-30 alkoxy, optionally substituted carbocyclic including C 6-30 carbocyclic group, optionally substituted heteroalicyclic including C 3-30 heteroalicyclic that contains 1, 2 or 3 N, O and/or S ring atoms, and the like.
  • R and R 1 are the same or different and are hydrogen or a non-hydrogen substituent such as optionally substituted alkyl including optionally substituted C 1-30 alkyl, optionally substituted including C 3-30 cycloalkyl, optionally substituted alkoxy including optionally substituted C 1-30 alkoxy, optionally substituted carbocyclic including C
  • Particularly preferred PAGs of the invention comprise 1) a SO 3 ⁇ moiety; 2) a structure —C( ⁇ O)N ⁇ ; and 3) one or more fluorinated carbons (e.g. one or more —CF 2 —, —CHF—).
  • one or more of the fluorinated carbons either directly or indirectly is substituted by an ester keto group (e.g. —C( ⁇ O)OR where R is a hydrogen or preferably non-preferably substituent) and/or the structure —C( ⁇ O)N ⁇ .
  • a fluorinated carbon is indirectly substituted by an ester keto group e.g.
  • —C( ⁇ O)OR and/or —C( ⁇ O)N ⁇ where non-fluorinated carbons and/or hetero atoms are interposed between the fluorinated carbon and the ester keto group, and fluorinated carbon is directly substituted by an ester keto group (e.g. —C( ⁇ O)OR) and/or —C( ⁇ O)N ⁇ . where no non-fluorinated carbons and/or hetero atoms are interposed between the fluorinated carbon and the ester keto group.
  • it is preferred that a fluorinated carbon is indirectly substituted by an ester keto group and/or —C( ⁇ O)N ⁇ .
  • PAGs that comprise both (1) an ester keto group (e.g. —C( ⁇ O)OR where R is as defined above) and (2) —C( ⁇ )N ⁇ .
  • an ester keto group e.g. —C( ⁇ O)OR where R is as defined above
  • R is as defined above
  • PAGs that comprise one or more fluorinated carbons (including difluorocarbons) (e.g. —CHF 2 —, —CF 2 —), particularly where a —CF 2 — moiety is directly bonded to a SO 3 ⁇ moiety i.e. —CF 2 —SO 3 ⁇ .
  • fluorinated carbons including difluorocarbons
  • Particularly preferred photoacid generator compounds of the invention may comprise a structure of the following formula (I): RO(C ⁇ O)(CXY) p (CF 2 ) n SO 3 ⁇ M + (I)
  • R is non-hydrogen substituent that comprises a group of the structure —C( ⁇ O)N(R 1 )(R 2 ) where R 1 and R 2 are each the same or different and may be hydrogen or a non-hydrogen substituent such as optionally substituted alkyl including optionally substituted C 1-30 alkyl, optionally substituted including C 3-30 cycloalkyl, optionally substituted alkoxy including optionally substituted C 1-30 alkoxy, optionally substituted carbocyclic including C 6-30 carbocyclic group, optionally substituted heteroalicyclic including C 3-30 heteroalicyclic that contains 1, 2 or 3 N, O and/or S ring atoms, and the like, where preferably one or both R 1 and R 2 are other than hydrogen,
  • R 1 and R 2 may be taken together to form a ring with the nitrogen e.g. a lactam structure such as an optionally substituted piperidinonyl moiety or optionally substituted pyrrolidone moiety, or an imide or amide structure;
  • a lactam structure such as an optionally substituted piperidinonyl moiety or optionally substituted pyrrolidone moiety, or an imide or amide structure
  • X and Y are each independently hydrogen or a non-hydrogen substituent such as halo (particularly fluoro), cyano, nitro, or a non-hydrogen substituent as set forth above for R;
  • p is 0 or a positive integer, and preferably p is 1, 2 or 3;
  • n is a positive integer and preferably is 1, 2 or 3, more preferably 1 or 2;
  • M+ is a counter ion, and preferably is an organic onium salt component, such as a sulfonium or iodonium cation component, particularly a trisubstituted sulfonim cation or a disubstituted iodonium cation.
  • organic onium salt component such as a sulfonium or iodonium cation component, particularly a trisubstituted sulfonim cation or a disubstituted iodonium cation.
  • the group R in Formula (I) may be —(CXY) 1-10 C( ⁇ O)N(R 1 )(R 2 ) where each occurrence of X and Y is independently independently hydrogen or a non-hydrogen substituent such as halo (particularly fluoro), cyano, nitro, or a non-hydrogen substituent as set forth above for R in Formula (I)
  • anion components of preferred ionic PAGs of the invention may comprise a structure of the following Formulae (I) through (XV):
  • n, o, p, q are independently an integer of 0 to 10;
  • R 1 is one of the group (A), (B), (C), (D), (E), or (F) below:
  • R 2 and R 3 are independently branched, non-branched or cyclic aliphatic group such as optionally substituted alkyl including optionally substituted C 1-30 alkyl, optionally substituted including C 3-30 cycloalkyl, optionally substituted alkoxy including optionally substituted C 1-30 alkoxy, optionally substituted carbocyclic including C 6-30 carbocyclic group, optionally substituted heteroalicyclic including C 3-30 heteroalicyclic that contains 1, 2 or 3 N, O and/or S ring atoms;
  • q and r are independently an integer from 0 to 10;
  • s is a positive integer from 1 to 10.
  • a cation counter ion such as discussed above M + above
  • an organic onium salt component such as a sulfonium or iodonium cation component, particularly a trisubstituted sulfonim cation or a disubstituted iodonium cation.
  • substituent groups of PAGs of the invention may be optionally substituted.
  • Substituted moieties are suitably substituted at one or more available positions by, e.g., halogen such as F, Cl Br and/or I, nitro, cyano, sulfono, alkyl including C 1-16 alkyl with C 1-8 alkyl being preferred, haloalkyl such as fluoroalkyl (e.g.
  • perhaloalkyl such as perfluoroC 1-4 alkyl, alkoxy including C 1-16 alkoxy having one or more oxygen linkages with C 1-8 alkoxy being preferred, alkenyl including C 2-12 alkenyl with C 2-8 alkenyl being preferred, alkenyl including C 2-12 alkenyl with C 2-8 alkynyl being preferred, aryl such as phenyl or naphthyl and substituted aryl such as halo, alkoxy, alkenyl, alkynyl and/or alkyl substituted aryl, preferably having the number of carbon atoms mentioned above for corresponding groups.
  • Preferred substituted aryl groups include substituted phenyl, anthracenyl and naphthyl.
  • alkoxy groups of PAG compounds of the invention have one or more oxygen linkages, typically 1 to about 5 or 6 oxygen linkages.
  • Carbocyclic aryl as used herein refers to non-hetero aromatic groups that have 1 to 3 separate or fused rings and 6 to about 18 carbon ring members and may include e.g. phenyl, naphthyl, biphenyl, acenaphthyl, phenanthracyl, and the like. Phenyl and naphthyl are often preferred.
  • Suitable heteroaromatic or heteroaryl groups will have 1 to 3 rings, 3 to 8 ring members in each ring and from 1 to about 3 hetero atoms (N, O or S).
  • heteroaromatic or heteroaryl groups include e.g. courmarinyl, quinolinyl, pyridyl, pyrazinyl, pyrimdinyl, furyl, pyrrolyl, thienyl, thiazolyl, oxazolyl, imidazolyl, indolyl, benzofuranyl, and benzothiazole.
  • Photoacid generator compounds of the invention may be readily produced. Exemplary syntheses are set forth in the examples which follow. For instance, a compound that comprises an acid moiety such as a sulfonic acid moiety is treated with base and then coupled with a compound comprising a structure —C( ⁇ O)N ⁇ such as a lactam, amide or imide. The coupled compound comprising both acid and structure —C( ⁇ O)N ⁇ then can be acidic and joined with a counter ion such as a sulfonium or iodonium cation. Synthesis of a particularly preferred PAG of the invention is set forth in Example 1 which follows.
  • PAGs of the invention are useful as the radiation sensitive component in photoresist compositions, including both positive-acting and negative-acting chemically amplified resist compositions.
  • the photoresists of the invention typically comprise a resin binder and a photoactive component of the invention as described above.
  • the resin binder has functional groups that impart alkaline aqueous developability to the resist composition.
  • resin binders that comprise polar functional groups such as hydroxyl or carboxylate.
  • the resin binder is used in a resist composition in an amount sufficient to render the resist developable with an aqueous alkaline solution.
  • a photoacid generator compound of the invention is employed in a chemically amplified positive-acting resist.
  • a number of such resist compositions have been described, e.g., in U.S. Pat. Nos. 4,968,581; 4,883,740; 4,810,613 and 4,491,628 and Canadian Patent Application 2,001,384, all of which are incorporated herein by reference for their teaching of making and using chemically amplified positive-acting resists.
  • those prior resist compositions are modified by substitution of the photoactive component of the invention as the radiation sensitive component.
  • PAGs of the invention also are preferably used with polymers that contain one or more photoacid-labile groups and that are substantially, essentially or completely free of phenyl or other aromatic groups.
  • Such photoresist compositions are particularly useful for imaging with sub-200 nm radiation such as 193 nm radiation.
  • preferred polymers contain less than about 10 or 5 mole or weight percent aromatic groups, more preferably less than about 1 or 2 mole or weight percent aromatic groups, more preferably less than about 0.1, 0.02, 0.04 and 0.08 mole or weight percent aromatic groups and still more preferably less than about 0.01 mole or weight percent aromatic groups.
  • Particularly preferred polymers are completely free of aromatic groups.
  • Aromatic groups can be highly absorbing of sub-200 nm radiation and thus are undesirable for polymers used in photoresists imaged with such short wavelength radiation.
  • the photoresist polymers may be contain less than about 10 or 5 mole percent aromatic groups other than naphthyl groups (particularly other than hydroxynaphthyl groups), more preferably less than about 1 or 2 mole percent aromatic groups other than naphthyl groups (particularly other than hydroxynaphthyl groups).
  • the photoresist polymers may comprise a significant amount of naphthyl groups (particularly hydroxynaphthyl groups) e.g. at least 1, 5, 10, 15, 20, 25, 30, 35, 40 or 45 mole or weight percent of the polymer may be comprised of naphthyl groups (particularly hydroxynaphthyl groups).
  • Suitable polymers that are substantially or completely free of aromatic groups and may be formulated with a PAG of the invention to provide a photoresist for sub-200 nm imaging are disclosed in European application EP930542A1 of the Shipley Company.
  • Suitable polymers that are substantially or completely free of aromatic groups suitably contain acrylate units such as photoacid-labile acrylate units as may be provided by polymerization of methyladamanatylacrylate, methyladamanylmethacrylate, ethylfencylacrylate, ethylfencylmethacrylate, and the like; fused non-aromatic alicyclic groups such as may be provided by polymerization of a norbornene compound or other alicyclic compound having an endocyclic carbon-carbon double bond; an anhydride such as may be provided by polymerization of maleic anhydride; and the like.
  • acrylate units such as photoacid-labile acrylate units as may be provided by polymerization of methyladamanatylacrylate, methyladamanylmethacrylate, ethylfencylacrylate, ethylfencylmethacrylate, and the like
  • Preferred negative-acting compositions of the invention comprise a mixture of materials that will cure, crosslink or harden upon exposure to acid, and a photoactive component of the invention.
  • Particularly preferred negative acting compositions comprise a resin binder such as a phenolic resin, a crosslinker component and a photoactive component of the invention.
  • a resin binder such as a phenolic resin, a crosslinker component and a photoactive component of the invention.
  • Preferred phenolic resins for use as the resin binder component include novolaks and poly(vinylphenol)s such as those discussed above.
  • Preferred crosslinkers include amine-based materials, including melamine, glycolurils, benzoguanamine-based materials and urea-based materials. Melamine-formaldehyde resins are generally most preferred.
  • Such crosslinkers are commercially available, e.g.
  • melamine resins sold by American Cyanamid under the trade names Cymel 300, 301 and 303.
  • Glycoluril resins are sold by American Cyanamid under trade names Cymel 1170, 1171, 1172, urea-based resins are sold under the trade names of Beetle 60, 65 and 80, and benzoguanamine resins are sold under the trade names Cymel 1123 and 1125.
  • Photoresists of the invention also may contain other materials.
  • other optional additives include actinic and contrast dyes, anti-striation agents, plasticizers, speed enhancers, sensitizers, etc.
  • Such optional additives typically will be present in minor concentration in a photoresist composition except for fillers and dyes which may be present in relatively large concentrations such as, e.g., in amounts of from 5 to 30 percent by weight of the total weight of a resist's dry components.
  • a preferred optional additive of resists of the invention is an added base, particularly tetrabutylammonium hydroxide (TBAH), which can enhance resolution of a developed resist relief image.
  • the added base is suitably used in relatively small amounts, e.g. about 1 to 10 percent by weight relative to the PAG, more typically 1 to about 5 weight percent.
  • ammonium sulfonate salts such as piperidinium p-toluenesulfonate and dicyclohexylammonium p-toluenesulfonate
  • alkyl amines such as tripropylamine and dodecylamine
  • aryl amines such as diphenylamine, triphenylamine, aminophenol, 2-(4-aminophenyl)-2-(4-hydroxyphenyl)propane, etc.
  • the resin binder component of resists of the invention are typically used in an amount sufficient to render an exposed coating layer of the resist developable such as with an aqueous alkaline solution. More particularly, a resin binder will suitably comprise 50 to about 90 weight percent of total solids of the resist.
  • the photoactive component should be present in an amount sufficient to enable generation of a latent image in a coating layer of the resist. More specifically, the photoactive component will suitably be present in an amount of from about 1 to 40 weight percent of total solids of a resist. Typically, lesser amounts of the photoactive component will be suitable for chemically amplified resists.
  • a resist of the invention can be prepared as a coating composition by dissolving the components of the photoresist in a suitable solvent such as, e.g., a glycol ether such as 2-methoxyethyl ether (diglyme), ethylene glycol monomethyl ether, propylene glycol monomethyl ether; lactates such as ethyl lactate or methyl lactate, with ethyl lactate being preferred; propionates, particularly methyl propionate and ethyl propionate; a Cellosolve ester such as methyl Cellosolve acetate; an aromatic hydrocarbon such toluene or xylene; or a ketone such as methylethyl ketone, cyclohexanone and 2-heptanone.
  • a suitable solvent such as, e.g., a glycol ether such as 2-methoxyethyl ether (diglyme), ethylene glycol monomethyl ether, propylene glycol monomethyl
  • the photoresists of the invention can be used in accordance with known procedures. Though the photoresists of the invention may be applied as a dry film, they are preferably applied on a substrate as a liquid coating composition, dried by heating to remove solvent preferably until the coating layer is tack free, exposed through a photomask to activating radiation, optionally post-exposure baked to create or enhance solubility differences between exposed and nonexposed regions of the resist coating layer, and then developed preferably with an aqueous alkaline developer to form a relief image.
  • the substrate on which a resist of the invention is applied and processed suitably can be any substrate used in processes involving photoresists such as a microelectronic wafer.
  • the substrate can be a silicon, silicon dioxide or aluminum-aluminum oxide microelectronic wafer.
  • Gallium arsenide, ceramic, quartz or copper substrates may also be employed.
  • Substrates used for liquid crystal display and other flat panel display applications are also suitably employed, e.g. glass substrates, indium tin oxide coated substrates and the like.
  • a liquid coating resist composition may be applied by any standard means such as spinning, dipping or roller coating.
  • the exposure energy should be sufficient to effectively activate the photoactive component of the radiation sensitive system to produce a patterned image in the resist coating layer. Suitable exposure energies typically range from about 1 to 300 mJ/cm 2 . As discussed above, preferred exposure wavelengths include sub-200 nm such as 193 nm.
  • Suitable post-exposure bake temperatures are from about 50° C. or greater, more specifically from about 50 to 140° C.
  • a post-development bake may be employed if desired at temperatures of from about 100 to 150° C. for several minutes or longer to further cure the relief image formed upon development.
  • the substrate surface bared by development may then be selectively processed, for example chemically etching or plating substrate areas bared of photoresist in accordance with procedures known in the art.
  • Suitable etchants include a hydrofluoric acid etching solution and a plasma gas etch such as an oxygen plasma etch.
  • triphenylsulfonium (1-pyrrolidonemethoxycarbonyl)-difluoromethanesulfonate was prepared as depicted in Scheme 1 above and as follows: Sodium hydroxide (6.400 g, 160 mmol) was placed in a 100 mL round-bottom flask fitted with a condenser and water (30 mL) was added. The suspension was stirred magnetically for 30 min, then cooled down to 0° C. with an ice-bath. Methyl-2,2-difluoro-2-(fluorosulfonyl)acetate (9.605 g, 50 mmol) was added dropwise over 15 min.
  • the title polymer is prepared as follows and is a useful resin components of a positive-acting chemically amplified photoresist of the invention.
  • 300 mm silicon wafers were spin-coated with an organic antireflective coating to form a first bottom antireflective coating (BARC) on a TEL CLEAN TRACKTM LITHIUSTM i+ coater/developer.
  • BARC bottom antireflective coating
  • the wafer was baked for 60 seconds at 215° C., yielding a first BARC film thickness of 75 nm.
  • a second BARC layer was next coated over the first BARC, and the coated wafers were baked at 205° C. for 60 seconds to generate a 23 nm top BARC layer.
  • Photoresist compositions of Example 3 and 4 above were separately spin coated on top of the dual BARCs and soft-baked at 120° C. for 60 seconds, resulting in a resist film thickness of 900 ⁇ .
  • the resist layer were coated with an organic topcoat layer and exposed at various doses from 19 to 59 mJ/cm 2 through a reticle having critical dimensions of 40 nm lines and spaces (L/S) at 80 nm pitch and 42 nm lines and spaces (L/S) at 84 nm pitch using an ASML TWINSCANTM XT:1900i immersion scanner with a numerical aperture of 1.35 and dipole-35Y illumination (0.96 outer sigma/0.76 inner sigma) with X-polarization.
  • the wafers were then post-exposure baked (PEB) at 125° C. for 60 seconds and developed for 12 seconds using a commercially 0.26N aqueous alkaline developer.
  • the critical dimensions (CD) were measured with a Hitachi CG 4000 SEM.
  • a photoresist of the invention is prepared by mixing the following components with amounts expressed as weight percent based on total weight of the resist compositions:
  • the resin binder is a terpolymer (2-methyl-2-adamantyl methacrylate/beta-hydroxy-gamma-butyrolactone methacrylate/cyano-norbornyl methacrylate.
  • the photoacid generator is the compound TPS DHC-TFBS, as prepared in Example 1 above. Those resin and PAG components are admixed in the ethyl lactate solvent.
  • the formulated resist composition is spin coated onto HMDS vapor primed 4 inch silicon wafers and softbaked via a vacuum hotplate at 90° C. for 60 seconds.
  • the resist coating layer is exposed through a photomask at 193 nm, and then the exposed coating layers are post-exposure baked at 110° C.
  • the coated wafers are then treated with 0.26N aqueous tetrabutylammonium hydroxide solution to develop the imaged resist layer.
US13/095,533 2010-04-27 2011-04-27 Photoacid generators and photoresists comprising same Active 2031-08-08 US8609891B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/095,533 US8609891B2 (en) 2010-04-27 2011-04-27 Photoacid generators and photoresists comprising same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US32860810P 2010-04-27 2010-04-27
US13/095,533 US8609891B2 (en) 2010-04-27 2011-04-27 Photoacid generators and photoresists comprising same

Publications (2)

Publication Number Publication Date
US20110287361A1 US20110287361A1 (en) 2011-11-24
US8609891B2 true US8609891B2 (en) 2013-12-17

Family

ID=44454765

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/095,533 Active 2031-08-08 US8609891B2 (en) 2010-04-27 2011-04-27 Photoacid generators and photoresists comprising same

Country Status (6)

Country Link
US (1) US8609891B2 (de)
EP (1) EP2383611A3 (de)
JP (1) JP5756672B2 (de)
KR (1) KR101844307B1 (de)
CN (1) CN102344400B (de)
TW (1) TWI465417B (de)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110269070A1 (en) * 2010-03-31 2011-11-03 Rohm And Haas Electronic Materials Llc Photoacid generators and photoresists comprising same
US9383644B2 (en) 2014-09-18 2016-07-05 Heraeus Precious Metals North America Daychem LLC Sulfonic acid derivative compounds as photoacid generators in resist applications
US9477150B2 (en) 2015-03-13 2016-10-25 Heraeus Precious Metals North America Daychem LLC Sulfonic acid derivative compounds as photoacid generators in resist applications
US11333974B2 (en) * 2018-10-24 2022-05-17 Shin-Etsu Chemical Co., Ltd. Onium salt, chemically amplified resist composition, and patterning process

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6034025B2 (ja) * 2011-02-25 2016-11-30 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
JP5977560B2 (ja) * 2011-04-07 2016-08-24 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
JP6013218B2 (ja) 2012-02-28 2016-10-25 信越化学工業株式会社 酸発生剤、化学増幅型レジスト材料、及びパターン形成方法
WO2013140969A1 (ja) * 2012-03-19 2013-09-26 Jsr株式会社 フォトレジスト組成物、化合物及びその製造方法
TWI527792B (zh) * 2012-06-26 2016-04-01 羅門哈斯電子材料有限公司 光酸產生劑、含該光酸產生劑之光阻劑及含該光阻劑之經塗覆物件
JP6306852B2 (ja) * 2012-11-09 2018-04-04 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
TWI662364B (zh) 2015-12-31 2019-06-11 Rohm And Haas Electronic Materials Llc 光致抗蝕劑組合物、包含光致抗蝕劑組合物的經塗佈基板及形成電子裝置的方法
TWI619699B (zh) 2015-12-31 2018-04-01 Rohm And Haas Electronic Materials Llc 光酸產生劑
CN114380722B (zh) * 2021-12-30 2023-06-30 宁波南大光电材料有限公司 一种磺酰氟烷烃酯的快速水解方法

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS604165A (ja) * 1983-06-21 1985-01-10 Taiho Yakuhin Kogyo Kk スルホニウム誘導体
US4885158A (en) * 1988-04-29 1989-12-05 Gaf Corporation Heat stable quaternized lactams having oxylated sulfur anions
EP0401499A1 (de) 1989-05-22 1990-12-12 Shipley Company Inc. Copolymer-Bindemittel enthaltender Photolack
US20020091216A1 (en) 1998-08-26 2002-07-11 Hyundai Electronics Industries Co., Ltd. Novel photoresist monomer having hydroxy group and carboxy group, copolymer thereof and photoresist composition using the same
US20020102491A1 (en) * 2000-10-20 2002-08-01 Fuji Photo Film Co., Ltd. Positive photosensitive composition
US6455226B1 (en) 1999-11-03 2002-09-24 Hyundai Electronics Industries Co., Ltd. Photoresist polymers and photoresist composition containing the same
US20020155383A1 (en) * 2000-07-12 2002-10-24 Fuji Photo Film Co., Ltd. Positive resist composition
US20040087690A1 (en) 2002-11-01 2004-05-06 3M Innovative Properties Company Ionic photoacid generators with segmented hydrocarbon-fluorocarbon sulfonate anions
EP1574903A1 (de) 2004-03-08 2005-09-14 Rohm and Haas Electronic Materials, L.L.C. Mit Cyanoadamantyl-Resten Polymere enthaltende Fotoresiste
US20080206671A1 (en) * 2007-02-28 2008-08-28 Rohm And Haas Electronic Materials Llc Novel polymers and photoresist compositions
WO2009057769A1 (ja) * 2007-11-01 2009-05-07 Central Glass Company, Limited 新規スルホン酸塩及びその誘導体、光酸発生剤並びにこれを用いたレジスト材料及びパターン形成方法
US20100136479A1 (en) * 2008-10-31 2010-06-03 Fujifilm Corporation Positive photosensitive composition
WO2011104127A1 (en) 2010-02-24 2011-09-01 Basf Se Latent acids and their use

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
NO173574C (no) 1984-06-01 1993-12-29 Rohm & Haas Fremgangsmaate til fremstilling av et termisk stabilt, positivt eller negativt bilde paa en underlagsflate
CA1307695C (en) 1986-01-13 1992-09-22 Wayne Edmund Feely Photosensitive compounds and thermally stable and aqueous developablenegative images
US4968581A (en) 1986-02-24 1990-11-06 Hoechst Celanese Corporation High resolution photoresist of imide containing polymers
US4810613A (en) 1987-05-22 1989-03-07 Hoechst Celanese Corporation Blocked monomer and polymers therefrom for use as photoresists
DE3721741A1 (de) 1987-07-01 1989-01-12 Basf Ag Strahlungsempfindliches gemisch fuer lichtempfindliche beschichtungsmaterialien
EP0366590B2 (de) 1988-10-28 2001-03-21 International Business Machines Corporation Positiv arbeitende hochempfindliche Photolack-Zusammensetzung
DE69322946T2 (de) 1992-11-03 1999-08-12 Ibm Photolackzusammensetzung
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5861231A (en) 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US6057083A (en) 1997-11-04 2000-05-02 Shipley Company, L.L.C. Polymers and photoresist compositions
US6165674A (en) 1998-01-15 2000-12-26 Shipley Company, L.L.C. Polymers and photoresist compositions for short wavelength imaging
US6664022B1 (en) 2000-08-25 2003-12-16 Shipley Company, L.L.C. Photoacid generators and photoresists comprising same
US6849374B2 (en) 2000-11-03 2005-02-01 Shipley Company, L.L.C. Photoacid generators and photoresists comprising same
JP4644457B2 (ja) * 2003-09-10 2011-03-02 富士フイルム株式会社 感光性組成物及びそれを用いたパターン形成方法
JP4452632B2 (ja) * 2005-01-24 2010-04-21 富士フイルム株式会社 感光性組成物、該感光性組成物に用いる化合物及び該感光性組成物を用いたパターン形成方法
JP5112733B2 (ja) * 2006-04-11 2013-01-09 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. フォトリソグラフィ用コーティング組成物
JP5449675B2 (ja) * 2007-09-21 2014-03-19 富士フイルム株式会社 感光性組成物、該感光性組成物を用いたパターン形成方法及び該感光性組成物に用いられる化合物
JP5292078B2 (ja) * 2008-12-05 2013-09-18 富士フイルム株式会社 感活性光線または感放射線性樹脂組成物及び該組成物を用いたパターン形成方法
JP5703662B2 (ja) * 2009-11-06 2015-04-22 住友化学株式会社 酸発生剤用の塩及びレジスト組成物
US8460851B2 (en) * 2010-01-14 2013-06-11 Sumitomo Chemical Company, Limited Salt and photoresist composition containing the same
JP5624906B2 (ja) * 2010-03-23 2014-11-12 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物、及び、レジスト膜

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS604165A (ja) * 1983-06-21 1985-01-10 Taiho Yakuhin Kogyo Kk スルホニウム誘導体
US4885158A (en) * 1988-04-29 1989-12-05 Gaf Corporation Heat stable quaternized lactams having oxylated sulfur anions
EP0401499A1 (de) 1989-05-22 1990-12-12 Shipley Company Inc. Copolymer-Bindemittel enthaltender Photolack
US20020091216A1 (en) 1998-08-26 2002-07-11 Hyundai Electronics Industries Co., Ltd. Novel photoresist monomer having hydroxy group and carboxy group, copolymer thereof and photoresist composition using the same
US6455226B1 (en) 1999-11-03 2002-09-24 Hyundai Electronics Industries Co., Ltd. Photoresist polymers and photoresist composition containing the same
US20020155383A1 (en) * 2000-07-12 2002-10-24 Fuji Photo Film Co., Ltd. Positive resist composition
US20020102491A1 (en) * 2000-10-20 2002-08-01 Fuji Photo Film Co., Ltd. Positive photosensitive composition
US20040087690A1 (en) 2002-11-01 2004-05-06 3M Innovative Properties Company Ionic photoacid generators with segmented hydrocarbon-fluorocarbon sulfonate anions
EP1574903A1 (de) 2004-03-08 2005-09-14 Rohm and Haas Electronic Materials, L.L.C. Mit Cyanoadamantyl-Resten Polymere enthaltende Fotoresiste
US20080206671A1 (en) * 2007-02-28 2008-08-28 Rohm And Haas Electronic Materials Llc Novel polymers and photoresist compositions
WO2009057769A1 (ja) * 2007-11-01 2009-05-07 Central Glass Company, Limited 新規スルホン酸塩及びその誘導体、光酸発生剤並びにこれを用いたレジスト材料及びパターン形成方法
US20100304303A1 (en) * 2007-11-01 2010-12-02 Central Glass Company, Limited Novel Sulfonic Acid Salt and Derivative Thereof, Photoacid Generator Agent, and Resist Material and Pattern Formation Method Using the Photoacid Generator Agent
US20100136479A1 (en) * 2008-10-31 2010-06-03 Fujifilm Corporation Positive photosensitive composition
WO2011104127A1 (en) 2010-02-24 2011-09-01 Basf Se Latent acids and their use

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
English Translation of Chinese Office Action issued in connection with corresponding Chinese Patent Application No. 201110187346.3, Mar. 12, 2013.
European Search Report issued Dec. 28, 2011 for corresponding European Patent Application No. 11163651.0.
European Search Report issued Sep. 6, 2011 for corresponding European Patent Application No. 11163651.0.

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110269070A1 (en) * 2010-03-31 2011-11-03 Rohm And Haas Electronic Materials Llc Photoacid generators and photoresists comprising same
US9348220B2 (en) * 2010-03-31 2016-05-24 Rohm And Haas Electronic Materials Llc Photoacid generators and photoresists comprising same
US9383644B2 (en) 2014-09-18 2016-07-05 Heraeus Precious Metals North America Daychem LLC Sulfonic acid derivative compounds as photoacid generators in resist applications
US9477150B2 (en) 2015-03-13 2016-10-25 Heraeus Precious Metals North America Daychem LLC Sulfonic acid derivative compounds as photoacid generators in resist applications
US9709886B2 (en) 2015-03-13 2017-07-18 Heraeus Precious Metals North America Daychem LLC Sulfonic acid derivative compounds as photoacid generators in resist applications
US11333974B2 (en) * 2018-10-24 2022-05-17 Shin-Etsu Chemical Co., Ltd. Onium salt, chemically amplified resist composition, and patterning process

Also Published As

Publication number Publication date
JP5756672B2 (ja) 2015-07-29
CN102344400B (zh) 2015-07-15
KR101844307B1 (ko) 2018-04-02
TWI465417B (zh) 2014-12-21
EP2383611A2 (de) 2011-11-02
US20110287361A1 (en) 2011-11-24
KR20110119582A (ko) 2011-11-02
TW201210998A (en) 2012-03-16
JP2012031134A (ja) 2012-02-16
EP2383611A3 (de) 2012-01-25
CN102344400A (zh) 2012-02-08

Similar Documents

Publication Publication Date Title
US8609891B2 (en) Photoacid generators and photoresists comprising same
US9348220B2 (en) Photoacid generators and photoresists comprising same
US6849374B2 (en) Photoacid generators and photoresists comprising same
US10809616B2 (en) Cholate photoacid generators and photoresists comprising same
US8338077B2 (en) Photoacid generators and photoresists comprising same
US10466588B2 (en) Sulfonyl photoacid generators and photoresists comprising same
US9475763B2 (en) Photoresist comprising nitrogen-containing compound
US9255079B2 (en) Photoacid generators and photoresists comprising same
US20030134227A1 (en) Cyclic sulfonium and sulfoxonium photoacid generators and photoresists comprising same
US20110039206A1 (en) Novel resins and photoresist compositions comprising same
US6783912B2 (en) Photoacid generators and photoresists comprising same

Legal Events

Date Code Title Description
AS Assignment

Owner name: ROHM AND HAAS ELECTRONIC MATERIALS LLC, MASSACHUSE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BAE, YOUNG CHEOL;CARDOLACCIA, THOMAS;LIU, YI;SIGNING DATES FROM 20110802 TO 20110808;REEL/FRAME:031610/0020

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8