US5504925A - Apparatus and method for implementing interrupts in pipelined processors - Google Patents

Apparatus and method for implementing interrupts in pipelined processors Download PDF

Info

Publication number
US5504925A
US5504925A US08/348,786 US34878694A US5504925A US 5504925 A US5504925 A US 5504925A US 34878694 A US34878694 A US 34878694A US 5504925 A US5504925 A US 5504925A
Authority
US
United States
Prior art keywords
operand
operand address
circuit
storage elements
instruction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US08/348,786
Other languages
English (en)
Inventor
Paul V. Jeffs
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intergraph Corp
Original Assignee
Intergraph Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intergraph Corp filed Critical Intergraph Corp
Priority to US08/348,786 priority Critical patent/US5504925A/en
Assigned to CITICORP USA, INC. reassignment CITICORP USA, INC. SECURITY AGREEMENT Assignors: INTERGRAPH CORPORATION
Application granted granted Critical
Publication of US5504925A publication Critical patent/US5504925A/en
Assigned to FOOTHILL CAPITAL CORPORATION reassignment FOOTHILL CAPITAL CORPORATION SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERGRAPH CORPORATION
Assigned to INTERGRAPH CORPORATION reassignment INTERGRAPH CORPORATION TERMINATION AND RELEASE Assignors: CITICORP USA, INC.
Assigned to FOOTHILL CAPITAL CORPORATION reassignment FOOTHILL CAPITAL CORPORATION SECURITY AGREEMENT Assignors: INTEGRAPH CORPORATION
Assigned to INTERGRAPH HARDWARE TECHNOLOGIES COMPANY reassignment INTERGRAPH HARDWARE TECHNOLOGIES COMPANY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERGRAPH CORPORATION
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • G06F9/3863Recovery, e.g. branch miss-prediction, exception handling using multiple copies of the architectural state, e.g. shadow registers

Definitions

  • pipelined processors were constructed wherein several instructions could be in some phase of execution at the same time.
  • pipelined instructions may modify the process state in an order different from that defined by the sequential architectural model.
  • the process state usually does not correspond to the state that would exist if all instructions executed sequentially. That makes restarting the process very difficult, if not impossible.
  • an instruction can modify the process state only when all previously issued instructions are known to be free of exception conditions.
  • an N-stage result shift register is used to control instruction execution, where N represents the maximum number of clock periods that an instruction may require to complete.
  • An instruction that takes i clock periods to execute places control information in stage i of the result shift register at the time it issues. During each clock period, the control information is shifted down one stage towards stage one. When the control information reaches stage one, it is used during the next clock period to control the hardware so that the result data generated by the instruction is stored at that time in the correct result register.
  • both the result data and any exception conditions are stored in the reorder buffer.
  • the entry at the head of the reorder buffer contains valid results (its corresponding instruction has completed)
  • the exception data is checked. If no exception conditions are detected, the result data is written into the registers. If an exception is detected, instruction issuing stops, and all further writes into the register file are inhibited.
  • a third disclosed method attempts to overcome the disadvantages of both the result shift register method and the reorder buffer method.
  • computed results are placed in a working register file, but enough state information is stored in a history buffer so that a precise state can be restored if an exception occurs.
  • the history buffer is organized and functions in a manner very similar to the reorder buffer.
  • a history buffer entry is loaded with control information, and the current value of the destination register (to be overwritten by the issuing instruction) is also read from the register file and written into the buffer entry.
  • the result data generated by the instruction are written directly into the register file when an instruction completes. Exception data are written into the history buffer.
  • the history buffer contains an entry at the head that is known to have finished without exceptions, the history buffer entry is no longer needed and that buffer location can be reused.
  • An instruction executing circuit performs a function designated by the first instruction on the operand data output from the floating point register and generates result data.
  • the instruction executing circuit includes an exception circuit for generating exception data indicating whether an exception occurred when the function was performed.
  • all instructions except a floating point divide instruction may be executed in seven clock cycles or less.
  • the floating point divide instruction takes, e.g., 30 clock cycles to execute.
  • the B operand address for the floating point divide instruction is stored in shift register stage 80H and maintained there by delay element 81 until seven clock cycles remain in the execution cycle. Thereafter, the B operand address is shifted into shift register stage 80G, and shifting proceeds as with the other B operand addresses.
  • the B operand address of the instruction together with its corresponding control information is shifted one shift register stage per clock cycle until they are shifted into shift register stages 80A and 98A.
  • storage control circuit 104 evaluates the control information and communicates the B operand address to the SBIN terminal of floating point register 30. Additionally, the result data for the first instruction should be communicated to the RIN terminal of floating point register via communication path 62.
  • a signal is then provided on communication path 112 to the SCTL terminal of floating point register 30 for storing the result data at the location addressed by the B operand address on communication path 111.
  • exception data appears on communication path 66 and is communicated to storage control circuit 104 and to the shift register stage corresponding to the B operand address of the instruction.
  • storage control circuit 104 issues a signal on communication path 112 to SCTL terminal of floating point register 30 for inhibiting the storing of the result data in floating point register 30.
  • the operand queue address stored in shift register stage 98A is communicated via communication path 136 to operand queue 114 for addressing the A operand corresponding to the instruction which generated the exception.
  • the addressed A operand (and optional program counter value) is communicated to trap queue 118 through communication path 150 wherein it is stored at the address generated by M counter 138. If this is the first time an exception is generated, then M count initialize circuit 142 initializes M counter 138 before the A operand is stored in trap queue 118. Otherwise the M counter is incremented before the A operand is stored in trap queue 118.
  • storage control circuit 104 evaluates the control information and communicates the load/store address through communication path 115 to the SLSIN terminal of floating point register 30. If a load operation was specified, the memory data should be communicated to the MDIN terminal of floating point register 30 via communication path 42. A signal is then provided on communication path 113 to the SLSCTL terminal of floating point register 30 for storing the memory data at the location addressed by the load/store address on communication path 113. If a store operation was specified, a signal is then provided on communication path 113 to the SLSCTL terminal of floating point register 30 for providing the addressed memory data on communication path 46.
  • Load/store instructions typically do not require saving the old value designated. That is why they are allowed to execute concurrently with instructions that use functional units 50. However, if for some reason a load/store instruction is to be aborted, control information to that effect is stored in shift register stage 99A or 99B through communication path 101. When the control information is shifted into shift register stage 99A, storage control circuit 104 issues a signal on communication path 113 to the LSCTL terminal of floating point register 30 for inhibiting the load/store operation.
  • K count initialize circuit 154 initializes K counter 146, and the stored A operands are read from trap register 118, communicated through communication paths 184 and 192 to the RIN terminal of floating point register 30, and restored therein.
  • the instructions which caused the exception conditions then may be reexecuted using the program counter value saved in either trap register 118 or the CPU or simulated with the appropriate software to update floating point register 30 to correspond with a sequential architectural model.

Landscapes

  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Advance Control (AREA)
US08/348,786 1992-09-18 1994-12-02 Apparatus and method for implementing interrupts in pipelined processors Expired - Fee Related US5504925A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/348,786 US5504925A (en) 1992-09-18 1994-12-02 Apparatus and method for implementing interrupts in pipelined processors

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94721592A 1992-09-18 1992-09-18
US08/348,786 US5504925A (en) 1992-09-18 1994-12-02 Apparatus and method for implementing interrupts in pipelined processors

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US94721592A Continuation 1992-09-18 1992-09-18

Publications (1)

Publication Number Publication Date
US5504925A true US5504925A (en) 1996-04-02

Family

ID=25485754

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/348,786 Expired - Fee Related US5504925A (en) 1992-09-18 1994-12-02 Apparatus and method for implementing interrupts in pipelined processors

Country Status (5)

Country Link
US (1) US5504925A (de)
EP (1) EP0588252B1 (de)
JP (1) JP3556246B2 (de)
CA (1) CA2105806C (de)
DE (1) DE69318914T2 (de)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5649088A (en) * 1994-12-27 1997-07-15 Lucent Technologies Inc. System and method for recording sufficient data from parallel execution stages in a central processing unit for complete fault recovery
US5778220A (en) * 1996-11-18 1998-07-07 Intel Corporation Method and apparatus for disabling interrupts in a highly pipelined processor
US6006033A (en) * 1994-08-15 1999-12-21 International Business Machines Corporation Method and system for reordering the instructions of a computer program to optimize its execution
US6108767A (en) * 1998-07-24 2000-08-22 Sun Microsystems, Inc. Method, apparatus and computer program product for selecting a predictor to minimize exception traps from a top-of-stack cache
US6609190B1 (en) * 2000-01-06 2003-08-19 International Business Machines Corporation Microprocessor with primary and secondary issue queue
US20030212915A1 (en) * 2002-05-09 2003-11-13 International Business Machines Corporation Register file write power reduction mechanism
US6697939B1 (en) * 2000-01-06 2004-02-24 International Business Machines Corporation Basic block cache microprocessor with instruction history information
US20110153983A1 (en) * 2009-12-22 2011-06-23 Hughes Christopher J Gathering and Scattering Multiple Data Elements
US8972697B2 (en) 2012-06-02 2015-03-03 Intel Corporation Gather using index array and finite state machine
US9626333B2 (en) 2012-06-02 2017-04-18 Intel Corporation Scatter using index array and finite state machine
US10042814B2 (en) 2007-12-31 2018-08-07 Intel Corporation System and method for using a mask register to track progress of gathering and scattering elements between data registers and memory
US10114651B2 (en) 2009-12-22 2018-10-30 Intel Corporation Gathering and scattering multiple data elements
US10387151B2 (en) 2007-12-31 2019-08-20 Intel Corporation Processor and method for tracking progress of gathering/scattering data element pairs in different cache memory banks

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG48907A1 (en) * 1993-12-01 1998-05-18 Intel Corp Exception handling in a processor that performs speculative out-of-order instruction execution
US5893930A (en) * 1996-07-12 1999-04-13 International Business Machines Corporation Predictive translation of a data address utilizing sets of associative entries stored consecutively in a translation lookaside buffer
US6216222B1 (en) 1998-05-14 2001-04-10 Arm Limited Handling exceptions in a pipelined data processing apparatus
JP2006039874A (ja) * 2004-07-26 2006-02-09 Fujitsu Ltd 情報処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4285035A (en) * 1979-01-02 1981-08-18 Honeywell Information Systems Inc. Apparatus and method for rewrite data insertion in a three descriptor instruction
US4484259A (en) * 1980-02-13 1984-11-20 Intel Corporation Fraction bus for use in a numeric data processor
US4760519A (en) * 1983-07-11 1988-07-26 Prime Computer, Inc. Data processing apparatus and method employing collision detection and prediction
US5072364A (en) * 1989-05-24 1991-12-10 Tandem Computers Incorporated Method and apparatus for recovering from an incorrect branch prediction in a processor that executes a family of instructions in parallel
US5201057A (en) * 1987-01-22 1993-04-06 Uht Augustus K System for extracting low level concurrency from serial instruction streams

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5003462A (en) * 1988-05-31 1991-03-26 International Business Machines Corporation Apparatus and method for implementing precise interrupts on a pipelined processor with multiple functional units with separate address translation interrupt means
JP2858140B2 (ja) * 1988-10-19 1999-02-17 アポロ・コンピューター・インコーポレーテッド パイプラインプロセッサ装置および方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4285035A (en) * 1979-01-02 1981-08-18 Honeywell Information Systems Inc. Apparatus and method for rewrite data insertion in a three descriptor instruction
US4484259A (en) * 1980-02-13 1984-11-20 Intel Corporation Fraction bus for use in a numeric data processor
US4760519A (en) * 1983-07-11 1988-07-26 Prime Computer, Inc. Data processing apparatus and method employing collision detection and prediction
US5201057A (en) * 1987-01-22 1993-04-06 Uht Augustus K System for extracting low level concurrency from serial instruction streams
US5072364A (en) * 1989-05-24 1991-12-10 Tandem Computers Incorporated Method and apparatus for recovering from an incorrect branch prediction in a processor that executes a family of instructions in parallel

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
Johnson, W. M., "Super-Scalar Processor Design," Computer Systems Laboratory, Stanford University, Jun. 1989, Technical Report No. CSL-TR-89-383.
Johnson, W. M., Super Scalar Processor Design, Computer Systems Laboratory, Stanford University, Jun. 1989, Technical Report No. CSL TR 89 383. *
Smith, J. E. and Pleszskun, A. R., "Implementing Precise Interrupts in Pipelined Processors," IEEE Transaction on Computers, May 1988, vol. 37, No. 5, pp. 562-573.
Smith, J. E. and Pleszskun, A. R., Implementing Precise Interrupts in Pipelined Processors, IEEE Transaction on Computers, May 1988, vol. 37, No. 5, pp. 562 573. *

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6006033A (en) * 1994-08-15 1999-12-21 International Business Machines Corporation Method and system for reordering the instructions of a computer program to optimize its execution
US5649088A (en) * 1994-12-27 1997-07-15 Lucent Technologies Inc. System and method for recording sufficient data from parallel execution stages in a central processing unit for complete fault recovery
US5778220A (en) * 1996-11-18 1998-07-07 Intel Corporation Method and apparatus for disabling interrupts in a highly pipelined processor
US6108767A (en) * 1998-07-24 2000-08-22 Sun Microsystems, Inc. Method, apparatus and computer program product for selecting a predictor to minimize exception traps from a top-of-stack cache
US6609190B1 (en) * 2000-01-06 2003-08-19 International Business Machines Corporation Microprocessor with primary and secondary issue queue
US6697939B1 (en) * 2000-01-06 2004-02-24 International Business Machines Corporation Basic block cache microprocessor with instruction history information
US20030212915A1 (en) * 2002-05-09 2003-11-13 International Business Machines Corporation Register file write power reduction mechanism
US7085940B2 (en) * 2002-05-09 2006-08-01 International Business Machines Corporation Floating point unit power reduction via inhibiting register file write during tight loop execution
US10042814B2 (en) 2007-12-31 2018-08-07 Intel Corporation System and method for using a mask register to track progress of gathering and scattering elements between data registers and memory
US10387151B2 (en) 2007-12-31 2019-08-20 Intel Corporation Processor and method for tracking progress of gathering/scattering data element pairs in different cache memory banks
US20110153983A1 (en) * 2009-12-22 2011-06-23 Hughes Christopher J Gathering and Scattering Multiple Data Elements
US10114651B2 (en) 2009-12-22 2018-10-30 Intel Corporation Gathering and scattering multiple data elements
US10175990B2 (en) 2009-12-22 2019-01-08 Intel Corporation Gathering and scattering multiple data elements
US8447962B2 (en) 2009-12-22 2013-05-21 Intel Corporation Gathering and scattering multiple data elements
US9626333B2 (en) 2012-06-02 2017-04-18 Intel Corporation Scatter using index array and finite state machine
US9753889B2 (en) 2012-06-02 2017-09-05 Intel Corporation Gather using index array and finite state machine
US8972697B2 (en) 2012-06-02 2015-03-03 Intel Corporation Gather using index array and finite state machine
US10146737B2 (en) 2012-06-02 2018-12-04 Intel Corporation Gather using index array and finite state machine
US10152451B2 (en) 2012-06-02 2018-12-11 Intel Corporation Scatter using index array and finite state machine

Also Published As

Publication number Publication date
CA2105806C (en) 2001-11-20
EP0588252B1 (de) 1998-06-03
JP3556246B2 (ja) 2004-08-18
DE69318914T2 (de) 1999-01-14
CA2105806A1 (en) 1994-03-19
EP0588252A3 (de) 1995-01-04
EP0588252A2 (de) 1994-03-23
JPH06195229A (ja) 1994-07-15
DE69318914D1 (de) 1998-07-09

Similar Documents

Publication Publication Date Title
US5504925A (en) Apparatus and method for implementing interrupts in pipelined processors
US6374347B1 (en) Register file backup queue
US5467473A (en) Out of order instruction load and store comparison
US4734852A (en) Mechanism for performing data references to storage in parallel with instruction execution on a reduced instruction-set processor
EP0111776B1 (de) Unterbrechungsverarbeitungseinheit
US4745547A (en) Vector processing
GB2285155A (en) System for exception recovery using a conditional sbstitution instruction which inserts a replacement result in the destination of the excepting instruction
US4279016A (en) Instruction pre-fetch microprocessor interrupt system
US6003126A (en) Special instruction register including allocation field utilized for temporary designation of physical registers as general registers
JPH06259253A (ja) データプロセッサおよびその動作方法
US5832202A (en) Exception recovery in a data processing system
KR0133237B1 (ko) 다중 실행장치 처리시스템 상태의 선택적 저장방법 및 시스템
JP2682232B2 (ja) 浮動小数点演算処理装置
US5678016A (en) Processor and method for managing execution of an instruction which determine subsequent to dispatch if an instruction is subject to serialization
EP0306891A2 (de) Pipeline-Sklavenprotokoll für eine CPU-Gleitkommaeinheiten-Gruppe hoher Leistung
EP0212132A1 (de) Verfahren und Digitalrechner zur Fehlerkorrektur
US5784606A (en) Method and system in a superscalar data processing system for the efficient handling of exceptions
JPH07129399A (ja) 命令属性レジスターを使用して多機能命令を実行する マイクロコンピュータ
JP2783285B2 (ja) 情報処理装置
JP2685713B2 (ja) データ処理装置
JPS59123937A (ja) 演算装置におけるバイパス制御方式
JPH07319693A (ja) パイプライン処理方式
JPS6149695B2 (de)
JPS5914048A (ja) 演算バツフア方式

Legal Events

Date Code Title Description
AS Assignment

Owner name: CITICORP USA, INC., NEW YORK

Free format text: SECURITY AGREEMENT;ASSIGNOR:INTERGRAPH CORPORATION;REEL/FRAME:007662/0422

Effective date: 19951006

AS Assignment

Owner name: FOOTHILL CAPITAL CORPORATION, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:INTERGRAPH CORPORATION;REEL/FRAME:008239/0350

Effective date: 19961220

AS Assignment

Owner name: INTERGRAPH CORPORATION, ALABAMA

Free format text: TERMINATION AND RELEASE;ASSIGNOR:CITICORP USA, INC.;REEL/FRAME:008454/0190

Effective date: 19970106

REMI Maintenance fee reminder mailed
AS Assignment

Owner name: FOOTHILL CAPITAL CORPORATION, CALIFORNIA

Free format text: SECURITY AGREEMENT;ASSIGNOR:INTEGRAPH CORPORATION;REEL/FRAME:010425/0955

Effective date: 19991130

FPAY Fee payment

Year of fee payment: 4

SULP Surcharge for late payment
AS Assignment

Owner name: INTERGRAPH HARDWARE TECHNOLOGIES COMPANY, NEVADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERGRAPH CORPORATION;REEL/FRAME:012785/0509

Effective date: 20020409

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
FP Lapsed due to failure to pay maintenance fee

Effective date: 20040402

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362