US20220165565A1 - Method of processing substrate, recording medium, and substrate processing apparatus - Google Patents

Method of processing substrate, recording medium, and substrate processing apparatus Download PDF

Info

Publication number
US20220165565A1
US20220165565A1 US17/535,778 US202117535778A US2022165565A1 US 20220165565 A1 US20220165565 A1 US 20220165565A1 US 202117535778 A US202117535778 A US 202117535778A US 2022165565 A1 US2022165565 A1 US 2022165565A1
Authority
US
United States
Prior art keywords
gas
substrate
wafer
film
supplying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/535,778
Other languages
English (en)
Inventor
Atsuro Seino
Arito Ogawa
Yutaka Matsuno
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Assigned to Kokusai Electric Corporation reassignment Kokusai Electric Corporation ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: OGAWA, ARITO, MATSUNO, YUTAKA, SEINO, ATSURO
Publication of US20220165565A1 publication Critical patent/US20220165565A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Definitions

  • the present disclosure relates to a method of processing a substrate, a recording medium, and a substrate processing apparatus.
  • a low-resistance tungsten (W) film is used as a word line of a NAND flash memory or a DRAM including a three-dimensional structure.
  • a titanium nitride (TiN) film may be used as a barrier film between the W film and an insulating film.
  • a thin film may not easily form a continuous film and may grow in an island shape, which may result in a low coverage.
  • Some embodiments of the present disclosure provide a technique capable of improving a coverage.
  • a technique that includes: (a) supplying a first gas containing hydrogen and oxygen to a substrate in a process chamber; (b) supplying a second gas containing nitrogen and hydrogen to the substrate; (c) supplying a third gas containing a halogen element to the substrate; (d) supplying a reaction gas to the substrate; (e) performing (a) and (b); and (f) forming a film on the substrate by performing (c) and (d) after performing (e).
  • FIG. 1 is a longitudinal sectional view schematically showing a vertical process furnace of a substrate processing apparatus according to embodiments of the present disclosure.
  • FIG. 2 is a schematic cross-sectional view taken along a line A-A in FIG. 1 .
  • FIG. 3 is a schematic configuration diagram of a controller of a substrate processing apparatus according to embodiments of the present disclosure, in which a control system of the controller is illustrated in a block diagram.
  • FIG. 4 is a diagram showing a substrate processing sequence according to embodiments of the present disclosure.
  • FIGS. 5A to 5D are schematic views explaining a state of a substrate surface in the substrate processing sequence shown in FIG. 4 .
  • FIG. 6 is a diagram showing a modification of a substrate processing sequence according to embodiments of the present disclosure.
  • FIGS. 7A to 7D are schematic views explaining a state of a substrate surface in the substrate processing sequence shown in FIG. 6 .
  • FIGS. 8A to 8D are schematic views explaining the state of the substrate surface in the substrate processing sequence shown in FIG. 6 .
  • FIG. 9 is a diagram showing a modification of a substrate processing sequence according to embodiments of the present disclosure.
  • FIGS. 10A to 10D are schematic views explaining a state of a substrate surface in the substrate processing sequence shown in FIG. 9 .
  • FIG. 11 is a diagram showing a modification of a substrate processing sequence according to embodiments of the present disclosure.
  • FIGS. 12A and 12B are longitudinal sectional views schematically showing the outline of a process furnace of a substrate processing apparatus according to other embodiments of the present disclosure.
  • FIG. 13A is a diagram showing comparison in film thickness between a TiN film formed on a bare substrate and a TiN formed on a substrate on which an oxide film is formed, according to the substrate processing sequence shown in FIG. 4 and the substrate processing sequence shown in FIG. 9 .
  • FIG. 13B is a diagram showing comparison in deposition rate between a TiN film formed on a bare substrate and a TiN film formed on a substrate on which an oxide film is formed, according to the substrate processing sequence shown in FIG. 4 , and comparison in deposition rate between a TiN film formed on a bare substrate and a TiN film formed on a substrate on which an oxide film is formed, when a film-forming process is performed without performing a pre-process.
  • FIG. 14A is a diagram showing a relationship between a first gas supply time and a film thickness of a TiN film formed on each of a bare substrate and a substrate on which an oxide film is formed, according to the substrate processing sequence shown in FIG. 4 .
  • FIG. 14B is a diagram showing a relationship between the number of cycles in a pre-process and a film thickness of a TiN film formed on each of a bare substrate and a substrate on which an oxide film is formed, according to the substrate processing sequence shown in FIG. 6 .
  • FIGS. 1 to 5D Embodiments of the present disclosure will now be described with reference to FIGS. 1 to 5D .
  • the drawings used in the following description are schematic, and a dimensional relationship, ratios, and the like of various elements shown in figures may not match the actual ones. Further, a dimensional relationship, ratios, and the like of various elements among plural figures may not match each other.
  • a substrate process apparatus 10 includes a process furnace 202 in which a heater 207 as a heating means (a heating mechanism or a heating system) is provided.
  • the heater 207 is formed in a cylindrical shape and is supported by a heater base (not shown) as a support plate to be vertically installed.
  • An outer tube 203 forming a process container is disposed inside the heater 207 to be concentric with the heater 207 .
  • the outer tube 203 is made of, for example, a heat resistant material such as quartz (SiO 2 ) or silicon carbide (SiC) and is formed in a cylindrical shape with its upper end closed and its lower end opened.
  • a manifold (inlet flange) 209 is disposed below the outer tube 203 to be concentric with the outer tube 203 .
  • the manifold 209 is made of, for example, a metal material such as stainless steel (SUS) and is formed in a cylindrical shape with its upper and lower ends opened.
  • An O-ring 220 a serving as a seal is installed between the upper end portion of the manifold 209 and the outer tube 203 . When the manifold 209 is supported by the heater base, the outer tube 203 is installed vertically.
  • An inner tube 204 forming the process container is disposed inside the outer tube 203 .
  • the inner tube 204 is made of, for example, a heat resistant material such as quartz (SiO 2 ) or silicon carbide (SiC) and is formed in a cylindrical shape with its upper end closed and its lower end opened.
  • the process container mainly includes the outer tube 203 , the inner tube 204 , and the manifold 209 .
  • a process chamber 201 is formed in a hollow cylindrical portion (inside the inner tube 204 ) of the process container.
  • the process chamber 201 is configured to be capable of accommodating wafers 200 as substrates in such a state that the wafers 200 are arranged in a horizontal posture and in multiple stages along a vertical direction by a boat 217 which will be described later.
  • Nozzles 410 , 420 , and 430 are installed in the process chamber 201 to penetrate a sidewall of the manifold 209 and the inner tube 204 .
  • Gas supply pipes 310 , 320 , and 330 are connected to the nozzles 410 , 420 , and 430 , respectively.
  • the process furnace 202 in the embodiments is not limited to the above-described shape.
  • Mass flow controllers (MFCs) 312 , 322 , and 332 which are flow rate controllers (flow rate control parts), are installed at the gas supply pipes 310 , 320 , and 330 , respectively, sequentially from the corresponding upstream sides. Further, valves 314 , 324 , and 334 , which are opening/closing valves, are installed at the gas supply pipes 310 , 320 , and 330 , respectively.
  • Gas supply pipes 510 , 520 , and 530 configured to supply an inert gas are connected to the gas supply pipes 310 , 320 , and 330 at the downstream side of the valves 314 , 324 , and 334 , respectively.
  • MFCs 512 , 522 , and 532 which are flow rate controllers (flow rate control parts), and valves 514 , 524 , and 534 , which are opening/closing valves, are installed at the gas supply pipes 510 , 520 , and 530 , respectively, sequentially from the corresponding upstream sides.
  • the nozzles 410 , 420 , and 430 are connected to leading ends of the gas supply pipes 310 , 320 , and 330 , respectively.
  • the nozzles 410 , 420 , and 430 are configured as L-shaped nozzles, and their horizontal portions are installed to penetrate the sidewall of the manifold 209 and the inner tube 204 .
  • nozzles 410 , 420 , and 430 are installed inside a channel-shaped (groove-shaped) preliminary chamber 201 a formed to protrude outward in a radial direction and extend in a vertical direction of the inner tube 204 and are also installed in the preliminary chamber 201 a toward an upper side (an upper side in an arrangement direction of the wafers 200 ) along the inner wall of the inner tube 204 .
  • a channel-shaped (groove-shaped) preliminary chamber 201 a formed to protrude outward in a radial direction and extend in a vertical direction of the inner tube 204 and are also installed in the preliminary chamber 201 a toward an upper side (an upper side in an arrangement direction of the wafers 200 ) along the inner wall of the inner tube 204 .
  • the nozzles 410 , 420 , and 430 are installed to extend from a lower region to an upper region of the process chamber 201 , and a plurality of gas supply holes 410 a , 420 a , and 430 a are formed at positions facing the wafers 200 , respectively.
  • a process gas is supplied from the gas supply holes 410 a , 420 a , and 430 a of the nozzles 410 , 420 , and 430 to the wafers 200 respectively.
  • the gas supply holes 410 a , 420 a , and 430 a are formed from a lower portion to an upper portion of the inner tube 204 , are the same in an aperture area, and are installed at the same aperture pitch.
  • the gas supply holes 410 a , 420 a , and 430 a are not limited to the above-described shape.
  • the aperture area may be gradually increased from the lower portion to the upper portion of the inner tube 204 .
  • the flow rate of the gas supplied from the gas supply holes 410 a , 420 a , and 430 a may be more uniform.
  • the gas supply holes 410 a , 420 a , and 430 a of the nozzles 410 , 420 , and 430 are formed at height positions from a lower portion to an upper portion of the boat 217 , which will be described later. Therefore, the process gas supplied into the process chamber 201 from the gas supply holes 410 a , 420 a , and 430 a of the nozzles 410 , 420 , and 430 is supplied to the entire region of the wafers 200 accommodated from the lower portion to the upper portion of the boat 217 .
  • the nozzles 410 , 420 , and 430 are installed to extend from the lower region to the upper region of the process chamber 201 , but may be installed to extend to the vicinity of a ceiling of the boat 217 in some embodiments.
  • a first gas containing hydrogen (H) and oxygen (O) is supplied from the gas supply pipe 310 into the process chamber 201 via the MFC 312 , the valve 314 , and the nozzle 410 .
  • a second gas containing nitrogen (N) and H is supplied from the gas supply pipe 320 into the process chamber 201 via the MFC 322 , the valve 324 , and the nozzle 420 .
  • the second gas is also used as a reaction gas that reacts with a third gas to be described later.
  • the second gas may also be referred to as a reducing gas.
  • the third gas containing a halogen element is supplied from the gas supply pipe 330 into the process chamber 201 via the MFC 332 , the valve 334 , and the nozzle 430 .
  • a N 2 gas is supplied from the gas supply pipes 510 , 520 , and 530 into the process chamber 201 via the MFCs 512 , 522 , and 532 , the valves 514 , 524 , and 534 , and the nozzles 410 , 420 , and 430 , respectively.
  • the N 2 gas is used as the inert gas.
  • the inert gas in addition to the N 2 gas, it may be possible to use, e.g., a rare gas such as an argon (Ar) gas, a helium (He) gas, a neon (Ne) gas, a xenon (Xe) gas, or the like.
  • a rare gas such as an argon (Ar) gas, a helium (He) gas, a neon (Ne) gas, a xenon (Xe) gas, or the like.
  • a process gas supply system mainly includes the gas supply pipes 310 , 320 , and 330 , the MFCs 312 , 322 , and 332 , the valves 314 , 324 , and 334 , and the nozzles 410 , 420 , and 430 .
  • the nozzles 410 , 420 , and 430 may be considered as the process gas supply system.
  • the process gas supply system may be referred to as a gas supply system.
  • a first gas supply system mainly includes the gas supply pipe 310 , the MFC 312 , and the valve 314 .
  • the first gas supply system may include the nozzle 410 .
  • a second gas supply system mainly includes the gas supply pipe 320 , the MFC 322 , and the valve 324 .
  • the second gas supply system may include the nozzle 420 .
  • a third gas supply system mainly includes the gas supply pipe 330 , the MFC 332 , and the valve 334 .
  • the third gas supply system may include the nozzle 430 .
  • the second gas as the reaction gas is supplied from the gas supply pipe 320
  • the second gas supply system may be referred to as a reaction gas supply system.
  • an inert gas supply system mainly includes the gas supply pipes 510 , 520 , and 530 , the MFC 512 , 522 , and 532 , and the valves 514 , 524 , and 534 .
  • a gas is transferred via the nozzles 410 , 420 , and 430 arranged in the preliminary chamber 201 a in an annular vertically-long space defined by the inner wall of the inner tube 204 and ends of a plurality of wafers 200 . Then, the gas is ejected into the inner tube 204 from the plurality of gas supply holes 410 a , 420 a , and 430 a formed at positions of the nozzles 410 , 420 , and 430 which face the wafers.
  • the process gas or the like is ejected toward a direction parallel to the surface of the wafers 200 by the gas supply hole 410 a of the nozzle 410 , the gas supply hole 420 a of the nozzle 420 , and the gas supply hole 430 a of the nozzle 430 .
  • An exhaust hole (exhaust port) 204 a is a through-hole formed at a sidewall of the inner tube 204 at a position facing the nozzles 410 , 420 , and 430 .
  • the exhaust hole 204 a is a slit-shaped through-hole formed elongated in the vertical direction.
  • a gas supplied into the process chamber 201 from the gas supply holes 410 a , 420 a , and 430 a of the nozzles 410 , 420 , and 430 and flowing on the surface of the wafers 200 passes through the exhaust hole 204 a and flows through an exhaust passage 206 including a gap formed between the inner tube 204 and the outer tube 203 . Then, the gas which flowed through the exhaust passage 206 flows through an exhaust pipe 231 and is discharged to an outside of the process furnace 202 .
  • the exhaust hole 204 a is formed at a position facing the plurality of wafers 200 , and a gas supplied from the gas supply holes 410 a , 420 a , and 430 a to the vicinity of the wafers 200 in the process chamber 201 flows toward the horizontal direction and then flows through the exhaust passage 206 via the exhaust hole 204 a .
  • the exhaust hole 204 a is not limited to being configured by the slit-shaped through-hole, but may be configured by a plurality of holes.
  • the exhaust pipe 231 configured to exhaust an internal atmosphere of the process chamber 201 is installed at the manifold 209 .
  • a pressure sensor 245 which is a pressure detector (pressure detecting part) configured to detect an internal pressure of the process chamber 201 , an auto pressure controller (APC) valve 243 , and a vacuum pump 246 as a vacuum-exhausting device, are connected to the exhaust pipe 231 sequentially from the upstream side.
  • the APC valve 243 may perform or stop a vacuum-exhausting operation in the process chamber 201 by opening or closing the valve while the vacuum pump 246 is actuated, and may also regulate the internal pressure of the process chamber 201 by adjusting an opening state of the valve while the vacuum pump 246 is actuated.
  • An exhaust system mainly includes the exhaust hole 204 a , the exhaust passage 206 , the exhaust pipe 231 , the APC valve 243 , and the pressure sensor 245 .
  • the exhaust system may include the vacuum pump 246 .
  • a seal cap 219 serving as a furnace opening lid configured to be capable of hermetically sealing a lower end opening of the manifold 209 is installed under the manifold 209 .
  • the seal cap 219 is configured to come into contact with the lower end of the manifold 209 from the lower side in the vertical direction.
  • the seal cap 219 is made of, for example, metal such as stainless steel (SUS), and is formed in a disc shape.
  • An O-ring 220 b as a seal making contact with the lower end of the manifold 209 is installed on an upper surface of the seal cap 219 .
  • a rotator 267 configured to rotate the boat 217 in which the wafers 200 are accommodated is installed at an opposite side of the process chamber 201 with respect to the seal cap 219 .
  • a rotary shaft 255 of the rotator 267 penetrates the seal cap 219 and is connected to the boat 217 .
  • the rotator 267 is configured to rotate the wafers 200 by rotating the boat 217 .
  • the seal cap 219 is configured to be vertically moved up or down by a boat elevator 115 as an elevator vertically installed outside the outer tube 203 .
  • the boat elevator 115 is configured to be capable of loading/unloading the boat 217 into/from the process chamber 201 by moving the seal cap 219 up or down.
  • the boat elevator 115 is configured as a transfer device (transfer system) which transfers the boat 217 and the wafers 200 accommodated in the boat 217 into/out of the process chamber 201 .
  • the boat 217 serving as a substrate support is configured to support a plurality of wafers 200 , for example, 25 to 200 wafers 200 , in such a state that the wafers 200 are arranged in a horizontal posture and along the vertical direction at intervals with the centers of the wafers 200 aligned with one another.
  • the boat 217 is made of, for example, a heat resistant material such as quartz or SiC.
  • Heat insulating plates 218 made of, for example, a heat resistant material such as quartz or SiC, are supported in a horizontal posture and in multiple stages (not shown) below the boat 217 . This configuration makes it difficult to transfer heat from the heater 207 to the seal cap 219 .
  • the embodiments are not limited to the above-described form.
  • a heat insulating cylinder configured as a cylindrical member made of a heat resistant material such as quartz or SiC may be installed below the boat 217 .
  • a temperature sensor 263 serving as a temperature detector is installed in the inner tube 204 . Based on temperature information detected by the temperature sensor 263 , a state of supplying electric power to the heater 207 is regulated such that a temperature distribution in the process chamber 201 becomes a desired temperature distribution.
  • the temperature sensor 263 is configured as an L-shape, like the nozzles 410 , 420 , and 430 , and is installed along the inner wall of the inner tube 204 .
  • a controller 121 which is a control part (control means), may be configured as a computer including a central processing unit (CPU) 121 a , a random access memory (RAM) 121 b , a memory 121 c , and an I/O port 121 d .
  • the RAM 121 b , the memory 121 c , and the I/O port 121 d are configured to be capable of exchanging data with the CPU 121 a via an internal bus.
  • An input/output device 122 including, e.g., a touch panel or the like, is connected to the controller 121 .
  • the memory 121 c includes, for example, a flash memory, a hard disk drive (HDD), or the like.
  • a control program that controls operations of a substrate processing apparatus, a process recipe, in which sequences, conditions, and the like of a method of manufacturing a semiconductor device, which will be described later, are written, and the like are readably stored in the memory 121 c .
  • the process recipe functions as a program that is combined to cause the controller 121 to execute each process (each step) in the method of manufacturing a semiconductor device, which will be described later, to obtain a predetermined result.
  • the process recipe, the control program, and the like may be generally and simply referred to as a “program.”
  • program When the term “program” is used herein, it may indicate a case of including the process recipe, a case of including the control program, or a case of including both the process recipe and the control program.
  • the RAM 121 b is configured as a memory area (work area) in which a program, data, or the like read by the CPU 121 a is temporarily stored.
  • the I/O port 121 d is connected to the MFCs 312 , 322 , 332 , 512 , 522 , and 532 , the valves 314 , 324 , 334 , 514 , 524 , and 534 , the pressure sensor 245 , the APC valve 243 , the vacuum pump 246 , the heater 207 , the temperature sensor 263 , the rotator 267 , the boat elevator 115 , and the like.
  • the CPU 121 a is configured to read and execute the control program from the memory 121 c .
  • the CPU 121 a is also configured to read the recipe and the like from the memory 121 c according to an input of an operation command from the input/output device 122 , and the like.
  • the CPU 121 a is configured to be capable of controlling a flow rate regulation operation of various kinds of gases by the MFCs 312 , 322 , 332 , 512 , 522 , and 532 , an opening/closing operation of the valves 314 , 324 , 334 , 514 , 524 , and 534 , an opening/closing operation of the APC valve 243 , a pressure regulation operation performed by the APC valve 243 based on the pressure sensor 245 , a temperature regulation operation performed by the heater 207 based on the temperature sensor 263 , actuating and stopping of the vacuum pump 246 , an operation of rotating the boat 217 with the rotator 267 and adjusting a rotation speed of the boat 217 , an operation of moving the boat 217 up or down by the boat elevator 115 , an operation of accommodating the wafers 200 in the boat 217 , and the like, according to contents of the read recipe.
  • the controller 121 may be configured by installing, on the computer, the aforementioned program stored in an external memory (for example, a magnetic tape, a magnetic disc such as a flexible disc or a hard disc, an optical disc such as a CD or a DVD, a magneto-optical disc such as a MO, a semiconductor memory such as a USB memory or a memory card, and the like) 123 .
  • an external memory for example, a magnetic tape, a magnetic disc such as a flexible disc or a hard disc, an optical disc such as a CD or a DVD, a magneto-optical disc such as a MO, a semiconductor memory such as a USB memory or a memory card, and the like.
  • the memory 121 c and the external memory 123 are configured as a computer-readable recording medium.
  • the memory 121 c and the external memory 123 may be generally and simply referred to as a “recording medium.”
  • the term “recording medium” may indicate a case of including the memory 121 c a case of including the external memory 123 , or a case of including both the memory 121 c and the external memory 123 .
  • the program may be provided to the computer by using a communication means such as the Internet or a dedicated line, instead of using the external memory 123 .
  • FIGS. 4 and 5A to 5D As a process of manufacturing a semiconductor device, an example of a process of forming a film on a wafer 200 including an oxide film formed on the surface of the wafer 200 will be described with reference to FIGS. 4 and 5A to 5D .
  • the process is performed by using the process furnace 202 of the above-described substrate processing apparatus 10 .
  • operations of various parts constituting the substrate processing apparatus 10 are controlled by the controller 121 .
  • a substrate processing process (a process of manufacturing a semiconductor device) according to the embodiments of the present disclosure includes:
  • wafer When the term “wafer” is used in the present disclosure, it may refer to “a wafer itself” or “a wafer and a laminated body of certain layers, films, or the like formed on a surface of a wafer.” When the phrase “a surface of a wafer” is used in the present disclosure, it may refer to “a surface of a wafer itself” or “a surface of a certain layer, film, or the like formed on a wafer”. When the term “substrate” is used in the present disclosure, it may be synonymous with the term “wafer.”
  • the boat 217 supporting the plurality of wafers 200 is lifted up by the boat elevator 115 and is loaded into the process chamber 201 (boat loading) and accommodated in the process container. That is, the wafer 200 including an oxide film formed on the surface of the wafer 200 is accommodated in the process container.
  • the seal cap 219 seals a lower end opening of the outer tube 203 via the O-ring 220 .
  • the interior of the process chamber 201 is vacuum-exhausted by the vacuum pump 246 to reach a desired pressure (degree of vacuum). At this time, the internal pressure of the process chamber 201 is measured by the pressure sensor 245 .
  • the APC valve 243 is feedback-controlled based on the measured pressure information (pressure regulation).
  • the vacuum pump 246 is always in operation at least until processing on the wafers 200 is completed.
  • the interior of the process chamber 201 is heated by the heater 207 to reach a desired temperature. At this time, a state of supplying electric power to the heater 207 is feedback-controlled based on the temperature information detected by the temperature sensor 263 such that a temperature distribution in the process chamber 201 becomes a desired temperature distribution (temperature regulation). Heating the interior of the process chamber 201 by the heater 207 is continuously performed at least until the processing on the wafers 200 is completed.
  • the valve 314 is opened to allow a first gas to flow through the gas supply pipe 310 .
  • a flow rate of the first gas is regulated by the MFC 312 , and the first gas is supplied into the process chamber 201 from the gas supply hole 410 a of the nozzle 410 and is exhausted via the exhaust pipe 231 .
  • the valve 514 may be opened to allow an inert gas such as a N 2 gas to flow through the gas supply pipe 520 .
  • the valves 524 and 534 may be opened to allow an inert gas to flow through the gas supply pipes 520 and 530 to prevent the first gas from penetrating into the nozzles 420 and 430 .
  • the APC valve 243 is regulated so that the internal pressure of the process chamber 201 is set to a pressure within a range of, for example, 1 to 3,990 Pa.
  • the supply flow rate of the first gas controlled by the MFC 312 is set to a flow rate within a range of, for example, 0.01 to 1 slm.
  • the temperature of the heater 207 is set to a temperature such that the temperature of the wafer 200 is a temperature within a range of, for example, 300 to 600 degrees C.
  • the notation of a numerical range such as “1 to 3,990 Pa” in the present disclosure means that a lower limit value and an upper limit value are included in the range. Therefore, for example, “1 to 3,990 Pa” means “1 Pa or more and 3,990 Pa or less.” The same applies to other numerical ranges.
  • the first gas is supplied to the wafer 200 .
  • the first gas for example, water vapor (H 2 O gas), which is a gas containing H and O, may be used.
  • H 2 O gas water vapor
  • one molecular layer of H 2 O molecules is physically adsorbed by supplying the H 2 O gas on the wafer 200 (a base film on the surface of the wafer 200 )) on which a SiO 2 film as an oxide film is formed on the surface of the wafer 200 , as shown in FIG. 5A .
  • a second gas which will be described later, is supplied to react with a surface of the plurality of layers, thus generating an OH group.
  • the first gas is supplied to the wafer 200 under a condition that the one molecular layer of H 2 O molecules is physically adsorbed on the wafer 200 . That is, the first gas supply is performed in an atmosphere in which the one molecular layer of H 2 O molecules is physically adsorbed on the wafer 200 .
  • the H 2 O molecules tend to be desorbed from the wafer 200 as the temperature rises.
  • the temperature in this process is set so that the temperature of the wafer 200 is, for example, as low as possible while being equal to or higher than a boiling point of H 2 O.
  • the temperature at the time of supplying the first gas is set so that the temperature of the wafer 200 is, for example, equal to or higher than the boiling point of H 2 O and is the same as a film-forming temperature in a film-forming process to be described later.
  • the H 2 O molecules may be physically adsorbed on the wafer 200 .
  • the valve 314 is closed to stop the supply of the first gas into the process chamber 201 .
  • the valve 324 is opened to allow the second gas to flow through the gas supply pipe 320 . That is, after the supply of the first gas, the supply of the second gas is started without supplying a purge gas. As a result, it is possible to suppress a decrease in an amount of H 2 O molecules physically adsorbed on the wafer 200 .
  • a flow rate of the second gas is regulated by the MFC 322 , and the second gas is supplied into the process chamber 201 from the gas supply hole 420 a of the nozzle 420 and is exhausted via the exhaust pipe 231 .
  • the second gas is supplied to the wafer 200 .
  • the valve 524 may be opened to allow an inert gas to flow into the gas supply pipe 520 .
  • the valves 514 and 534 may be opened to allow an inert gas to flow through the gas supply pipes 510 and 530 , thus preventing the second gas from penetrating into the nozzles 410 and 430 .
  • a purge gas may be supplied after the supply of the first gas and before the supply of the second gas. By supplying the purge gas, a reaction between the H 2 O gas in the gas phase and the second gas may be suppressed.
  • the APC valve 243 is regulated so that the internal pressure of the process chamber 201 is set to a pressure within a range of, for example, 1 to 3,990 Pa.
  • a supply flow rate of the second gas controlled by the MFC 322 is set to a flow rate within a range of, for example, 0.1 to 30 slm.
  • the time for which the second gas is supplied to the wafer 200 is set to a time within a range of, for example, 0.01 to 600 seconds.
  • the second gas is supplied to the wafer.
  • the second gas for example, an ammonia (NH 3 ) gas containing N and H may be used.
  • the second gas is supplied to the wafer 200 under a condition in which the second gas reacts with the H 2 O molecules on the wafer 200 . That is, the second gas supply is performed in an atmosphere in which the second gas reacts with the H 2 O molecules physically adsorbed on the wafer 200 .
  • FIG. 5B The reaction when the NH 3 gas is used as the second gas is shown in FIG. 5B .
  • the NH 3 gas reacts with the H 2 O molecules adsorbed on the wafer 200 to generate ammonium (NH 4 + ) and hydroxide ions (OH ⁇ ).
  • the temperature in this process is set under a condition in which the second gas reacts with the H 2 O molecules on the wafer 200 .
  • the temperature at the time of supplying the second gas is set so that the temperature of the wafer 200 is, for example, the same as the film-forming temperature in the film-forming process to be described later.
  • NH 3 which is the second gas, separates H from H 2 O physically adsorbed on the wafer 200 to form an OH termination on the wafer 200 . That is, by supplying the second gas, an OH group is adsorbed on the surface of the wafer 200 , and the surface of the wafer 200 is terminated with the OH group.
  • the terms “terminated” and “adsorbed” may include a state in which the entire surface of the wafer 200 is not covered. Depending on a gas supply condition and a surface condition of the wafer 200 , the entire surface of the wafer 200 may not be covered. Further, when a reaction stops in a self-limited manner, the entire surface of the wafer 200 may not be covered.
  • purging is performed, and the following first to fourth steps are performed one or more times. That is, after performing the pre-process and then supplying a purge gas such as a N 2 gas into the process chamber 201 , the following first to fourth steps are repeatedly performed. That is, after removing the first gas, the second gas, and reaction by-products in the gas phase by purging, in a state where the OH group is adsorbed on the wafer 200 , the following first to fourth steps are performed one or more times on the wafer 200 on which the OH group is exposed.
  • supplying the purge gas to perform the purging after the pre-process and before the film-forming process reaction by-products and excess gases existing in the process chamber 201 may be removed, thereby improving characteristics of a film formed in the film-forming process.
  • the valve 334 is opened to allow a third gas to flow through the gas supply pipe 330 .
  • a flow rate of the third gas is regulated by the MFC 332 , and the third gas is supplied into the process chamber 201 from the gas supply hole 430 a of the nozzle 430 and is exhausted via the exhaust pipe 231 .
  • the valve 534 may be opened to allow an inert gas such as a N 2 gas to flow through the gas supply pipe 530 .
  • the valves 514 and 524 may be opened to allow an inert gas to flow through the gas supply pipes 510 and 520 , thus preventing the third gas from penetrating into the nozzles 410 and 420 .
  • the APC valve 243 is regulated such that the internal pressure of the process chamber 201 is set to a pressure within a range of, for example, 1 to 3,990 Pa.
  • the supply flow rate of the third gas controlled by the MFC 332 is set to a flow rate within a range of, for example, 0.1 to 3.0 slm.
  • the temperature of the heater 207 is set to a temperature such that the temperature of the wafer 200 is a temperature within a range of, for example, 300 to 600 degrees C.
  • the time for which the third gas is supplied to the wafer 200 is set to a time within a range of, for example, 0.01 to 60 seconds.
  • the third gas is supplied to the wafer 200 on which the OH group is adsorbed, that is, the wafer 200 whose surface is terminated with the OH group.
  • the third gas it may be possible to use, e.g., a titanium tetrachloride (TiCl 4 ) gas containing titanium (Ti) and a halogen element.
  • TiCl 4 gas e.g., a titanium tetrachloride (TiCl 4 ) gas containing titanium (Ti) and a halogen element.
  • halogen (Cl) contained in the TiCl 4 gas reacts with the OH group existing on the wafer 200 to cause TiCl (x is smaller than 4) to be adsorbed on the wafer 200 as shown in FIG. 5C . That is, since TiCl of a molecular size smaller than that of TiCl 4 is adsorbed on the wafer 200 , a size (molecular weight) of steric hindrance may be reduced as compared with the case where TiCl 4 is adsorbed. That is, since adsorption inhibition of TiCl 4 due to the steric hindrance may be suppressed, it is possible to increase an adsorption amount of TiCl of a small molecular size.
  • the valve 334 is closed to stop the supply of the third gas.
  • the APC valve 243 of the exhaust pipe 231 is left open to vacuum-exhaust the interior of the process chamber 201 by the vacuum pump 246 such that a residual gas is removed from the wafer 200 , whereby the unreacted third gas and reaction by-products remaining in the process chamber 201 are excluded from the interior of the process chamber 201 .
  • the valves 514 , 524 , and 534 are opened to allow an inert gas as a purge gas to be supplied into the process chamber 201 .
  • the inert gas may act as the purge gas to remove the residual gas from the wafer 200 , thereby excluding the unreacted third gas and reaction by-products remaining in the process chamber 201 from the interior of the process chamber 201 .
  • the supply flow rates of the inert gases controlled by the MFC 512 , 522 , and 532 are set to, for example, 0.1 to 30 slm, respectively.
  • the valves 514 , 524 , and 534 are closed to stop the supply of the inert gas into the process chamber 201 .
  • the valve 324 is opened to allow a reaction gas to flow through the gas supply pipe 320 .
  • a flow rate of the reaction gas is regulated by the MFC 322 , and the reaction gas is supplied into the process chamber 201 from the gas supply hole 420 a of the nozzle 420 and is exhausted via the exhaust pipe 231 . In this operation, the reaction gas is supplied to the wafer 200 .
  • valve 524 may be opened to allow an inert gas to flow through the gas supply pipe 520 .
  • valves 514 and 534 may be opened to allow the inert gas to flow through the gas supply pipes 510 and 530 to prevent the reaction gas from penetrating into the nozzles 410 and 430 .
  • the APC valve 243 is regulated such that the internal pressure of the process chamber 201 is set to a pressure within a range of, for example, 1 to 3,990 Pa.
  • the supply flow rate of the reaction gas controlled by the MFC 322 is set to a flow rate within a range of, for example, 0.1 to 30 slm.
  • the time for which the reaction gas is supplied to the wafer 200 is set to a time within a range of, for example, 0.01 to 30 seconds.
  • the reaction gas is supplied to the wafer.
  • the reaction gas it may be possible to use, e.g., an ammonia (NH 3 ) gas.
  • NH 3 ammonia
  • FIG. 5D A reaction when the NH 3 gas is used as the reaction gas is shown in FIG. 5D .
  • the NH 3 gas undergoes a substitution reaction with at least a portion of the Ti-containing layer formed on the wafer 200 .
  • Ti contained in the Ti-containing layer and N contained in the NH 3 gas are bonded to form a TiN layer on the wafer 200 .
  • TiCl adsorbed on the wafer 200 reacts with NH 3 , a TiN film is formed on the wafer 200 including an oxide film formed on the surface of the wafer 200 , thereby improving a coverage of the TiN film.
  • reaction by-products such as HCl, ammonium chloride (NH 4 Cl), and H 2 are generated at the time of the substitution reaction.
  • the valve 324 is closed to stop the supply of the reaction gas.
  • the APC valve 243 of the exhaust pipe 231 is left open to vacuum-exhaust the interior of the process chamber 201 by the vacuum pump 246 such that a residual gas is removed from the wafer 200 , whereby an unreacted reaction gas or a reaction gas which contributed to the film formation and reaction by-products remaining in the process chamber 201 are excluded from the process chamber 201 .
  • the valves 514 , 524 , and 534 are opened to allow an inert gas as a purge gas to be supplied into the process chamber 201 .
  • the inert gas may act as the purge gas to remove the residual gas from the wafer 200 , thereby excluding the unreacted reaction gas and the reaction by-products remaining in the process chamber 201 from the interior of the process chamber 201 .
  • the supply flow rates of the inert gases controlled by the MFC 512 , 522 , and 532 are set to, for example, 0.1 to 30 slm, respectively.
  • the inert gas acts as the purge gas.
  • a film of a predetermined thickness is formed on the wafer 200 by performing a cycle a predetermined number of times (N times) (once or more), the cycle including sequentially performing the described-above first to fourth steps.
  • N times a predetermined number of times
  • a TiN film is formed.
  • An inert gas is supplied into the process chamber 201 from each of the gas supply pipes 510 to 530 and is exhausted via the exhaust pipe 231 .
  • the inert gas acts as a purge gas, whereby the interior of the process chamber 201 is purged with the inert gas to remove a gas and reaction by-products remaining in the process chamber 201 from the interior of the process chamber 201 (after-purge).
  • the internal atmosphere of the process chamber 201 is substituted with the inert gas (inert gas substitution), and the internal pressure of the process chamber 201 is returned to the atmospheric pressure (returning to atmospheric pressure).
  • the seal cap 219 is moved down by the boat elevator 115 to open the lower end of the outer tube 203 . Then, the processed wafers 200 supported by the boat 217 are unloaded from the lower end of the outer tube 203 to the outside of the outer tube 203 (boat unloading). After that, the processed wafers 200 are discharged from the boat 217 (wafer discharging).
  • the present disclosure is not limited thereto.
  • the present disclosure may be applied to a case where the first gas supply and the second gas supply may be performed a plurality of times or a case where the sequence of gas supply in the pre-process may be changed such that the first gas is supplied after the second gas is supplied.
  • FIG. 6 shows a modification of the substrate processing sequence according to the embodiments of the present disclosure.
  • a cycle including sequentially performing the above-described first gas supply and second gas supply is performed a predetermined number of times (M times). That is, before performing the film-forming process, as the pre-process, a cycle including sequentially performing a step of supplying the first gas to the wafer 200 and a step of supplying the second gas to the wafer 200 is performed a plurality of times. At this time, a purge gas is not supplied between the first gas supply and the second gas supply, as in the above embodiments. Even in this case, the same effects as those in the above-described substrate processing sequence shown in FIG. 4 may be obtained.
  • FIGS. 7A to 7D and 8A to 8D A reaction in a case where a H 2 O gas is used as the first gas, a NH 3 gas is used as the second gas and the reaction gas, and a TiCl 4 gas is used as the third gas is shown in FIGS. 7A to 7D and 8A to 8D .
  • a H 2 O gas As shown in FIG. 7A , one molecular layer of H 2 O molecules is physically adsorbed on the wafer 200 including a SiO 2 film formed on the surface of the wafer 200 .
  • the NH 3 gas As shown in FIG.
  • the NH 3 gas reacts with the H 2 O molecules adsorbed on the wafer 200 to generate ammonium (NH 4 + ) and hydroxide ions (OH ⁇ ), thereby forming an OH termination on the wafer 200 .
  • the H 2 O gas by supplying the H 2 O gas at and after the second time, as shown in FIG. 7C , the H 2 O molecules are adsorbed on an empty site on the wafer 200 where no OH group is adsorbed.
  • supplying the NH 3 gas at and after the second time as shown in FIG.
  • H 2 O physically adsorbed on the wafer 200 reacts with NH 3 to generate NH 4 + and OH ⁇ , thereby forming an OH termination at the empty site on the wafer.
  • NH 3 reacts with some of OH groups adsorbed on the wafer 200 NIH (where x is 2 or less, and, for example, NH 2 is generated) and H 2 O are generated, whereby NH 2 is adsorbed on the wafer 200 . That is, a NH x termination is formed on the wafer 200 , thereby forming an OH termination and a NH termination on the wafer 200 .
  • the OH termination and the NH termination on the wafer 200 may be increased by repeatedly supplying the first gas and the second gas, as the pre-process, as in this modification. Then, as shown in FIGS. 8B and 8C , an adsorption amount of TiCl of a small molecular size may be increased, so that an adsorption inhibition of TiCl 4 due to steric hindrance may be suppressed, thereby promoting the adsorption of TiCl x . Then, by supplying the NH 3 gas, it is possible to improve the coverage of the TiN film, thereby suppressing residual impurities in the underlying SiO 2 film and a TiN film formed on the SiO 2 film.
  • FIG. 9 shows another modification of the substrate processing sequence according to the embodiments of the present disclosure.
  • the pre-process the first gas is supplied after the second gas is supplied, and then purging is performed and the above-described film-forming process are performed. That is, before the film-forming process is performed, as the pre-process, a step of supplying the second gas to the wafer 200 and a step of supplying the first gas to the wafer 200 are performed once in this order. At this time, a purge gas is not supplied between the second gas supply and the first gas supply. Even in this case, the same effects as those in the above-described substrate processing sequence shown in FIG. 4 may be obtained.
  • FIGS. 10A to 10D A reaction in a case where a H 2 O gas is used as the first gas, a NH 3 gas is used as the second gas and the reaction gas, and a TiCl 4 gas is used as the third gas is shown in FIGS. 10A to 10D .
  • a NH 3 gas is used as the second gas and the reaction gas
  • a TiCl 4 gas is used as the third gas.
  • FIG. 10A by supplying the NH 3 gas to the wafer 200 including a SiO 2 film as an oxide film formed on the surface of the wafer 200 , NH 3 is physically adsorbed on the wafer 200 or NH 2 is chemically adsorbed on the wafer 200 . Then, as shown in FIG.
  • H 2 O is physically adsorbed on an empty site by supplying the H 2 O gas on the wafer 200 on which NH 3 or NH 2 are adsorbed, in an atmosphere where the H 2 O gas is physically adsorbed on the wafer 200 .
  • NH 3 reacts with H 2 O to generate NH 4 + and OH ⁇ , thereby forming an OH termination on the wafer 200 .
  • NH 2 reacts with H 2 O to generate NH x + and OH ⁇ , thereby forming an OH termination on the wafer 200 . Then, as shown in FIG.
  • FIG. 11 shows another modification of the substrate processing sequence according to the embodiments of the present disclosure.
  • a cycle including sequentially performing the above-described second gas supply and first gas supply is performed a predetermined number of times (M times), and the purging is performed.
  • M times predetermined number of times
  • the above-described film-forming process is performed. That is, before performing the film-forming process, as the pre-process, a cycle including sequentially performing a step of supplying the second gas to the wafer 200 and a step of supplying the first gas to the wafer 200 is performed a plurality of times. At this time, a purge gas is not supplied between the second gas supply and the first gas supply. Even in this case, the same effects as those in the above-described substrate processing sequence shown in FIG. 4 may be obtained.
  • a H 2 O gas is used as the first gas
  • a NH 3 gas is used as the second gas and the reaction gas
  • a TiCl 4 gas is used as the third gas
  • the physically adsorbed H 2 O reacts with NH 3 to generate NH 4 + and OH ⁇ , thereby forming an OH termination at the empty site on the wafer.
  • the OH termination on the wafer 200 may be increased by repeatedly supplying the second gas and the first gas a plurality of times, thereby increasing an adsorption amount of TiCl of a small molecular size. That is, an adsorption inhibition of TiCl 4 due to steric hindrance may be suppressed, thereby promoting the adsorption of TiCl x .
  • by supplying the NH 3 gas it is possible to improve a coverage of the TiN film, thereby suppressing residual impurities in the underlying SiO 2 film and the TiN film formed on the SiO 2 film.
  • the form in which the purging is performed between the pre-process and the film-forming process is shown but the present disclosure is not limited thereto, and the purging may not be performed between the pre-process and the film-forming process.
  • the form in which the purging is not performed between the first gas supply and the second gas supply is shown but the present disclosure is not limited thereto, and purging may be performed between the first gas supply and the second gas supply.
  • purging may be performed between the first gas supply and the second gas supply.
  • the present disclosure is not limited thereto.
  • the present disclosure may be suitably applied to a case of using a wafer 200 on which an oxide film containing at least one or more selected from the group of Si, aluminum (Al), germanium (Ge), gallium (Ga), zirconium (Zr), Ti, and hafnium (Hf) is formed.
  • the present disclosure is not limited thereto.
  • the present disclosure may be suitably applied to a case of using a gas containing at least one or more selected from the group of H 2 and O 2 , H 2 O, and hydrogen peroxide (H 2 O 2 ) as the first gas.
  • the present disclosure is not limited thereto.
  • the present disclosure may be suitably applied to a case of using a gas containing at least one or more selected from the group of NH 3 , N 2 and H 2 , diazene (N 2 H 2 ), triazene (N 3 H 3 ), and hydrazine (N 2 H 4 ), and other amine group-containing gases as the second gas.
  • the present disclosure is not limited thereto.
  • the present disclosure may be suitably applied to a case of using a gas containing Cl or fluorine (F) as a halogen element and containing at least one or more selected from the group of a Group IV element such as Ti, Zr, or Hf, and a Group VI element such as molybdenum (Mo) or tungsten (W).
  • a gas containing Cl or fluorine (F) as a halogen element and containing at least one or more selected from the group of a Group IV element such as Ti, Zr, or Hf, and a Group VI element such as molybdenum (Mo) or tungsten (W).
  • the present disclosure may be suitably applied to a case of using a gas containing Cl or F as a halogen element and containing at least one or more selected from the group of a Group XIV element such as Si, a Group XIII element such as Al, and a Group V element such as tantalum (Ta).
  • a gas containing Cl or F as a halogen element and containing at least one or more selected from the group of a Group XIV element such as Si, a Group XIII element such as Al, and a Group V element such as tantalum (Ta).
  • an MB y gas such as a silicon tetrachloride (SiCl 4 ) gas, a molybdenum pentachloride (MoCl 5 ) gas, an aluminum chloride (AlCl 3 ) gas, a molybdenum dichloride dioxide (MoO 2 Cl 2 ) gas, a tungsten hexafluoride (WF 6 ) gas, or the like.
  • SiCl 4 silicon tetrachloride
  • MoCl 5 molybdenum pentachloride
  • AlCl 3 aluminum chloride
  • MoO 2 Cl 2 molybdenum dichloride dioxide
  • WF 6 tungsten hexafluoride
  • an adsorption amount of MB x (x is smaller than y), which is smaller in number than halogen element contained in the third gas and is small in a molecular size, may be increased on the OH group formed on the wafer 200 , whereby it is possible to suppress an adsorption inhibition of MB y due to steric hindrance, thereby promoting the adsorption of MB x .
  • the present disclosure is not limited thereto.
  • the present disclosure may also be suitably applied to a case where a film is formed by using a single-wafer type substrate processing apparatus configured to process a single substrate or several substrates at a time.
  • the present disclosure may also be suitably applied to a case where a film is formed by using a substrate processing apparatus including a process furnace 302 shown in FIG. 12A .
  • the process furnace 302 includes a process container 303 forming a process chamber 301 , a shower head 303 s configured to supply a gas into the process chamber 301 in a shower shape, a support 317 configured to support one or several wafers 200 in a horizontal posture, a rotary shaft 355 configured to support the support 317 from below, and a heater 307 installed at the support 317 .
  • a gas supply port 332 a configured to supply the above-described first gas
  • a gas supply port 332 b configured to supply the above-described second gas
  • a gas supply port 332 c configured to supply the above-described third gas are connected to an inlet (gas introduction port) of the shower head 303 s .
  • a first gas supply system similar to the first gas supply system in the above-described embodiments is connected to the gas supply port 332 a .
  • a second gas supply system similar to the second gas supply system in the above-described embodiments is connected to the gas supply port 332 b .
  • a third gas supply system similar to the above-described third gas supply system is connected to the gas supply port 332 c .
  • a gas distribution plate configured to supply a gas in a shower shape into the process chamber 301 is installed at an outlet (gas discharge port) of the shower head 303 s .
  • An exhaust port 331 configured to exhaust the interior of the process chamber 301 is installed at the process container 303 .
  • An exhaust system similar to the exhaust system in the above-described embodiments is connected to the exhaust port 331 .
  • the present disclosure may be suitably applied to even a case where a film is formed by using a substrate processing apparatus including a process furnace 402 shown in FIG. 12B .
  • the process furnace 402 includes a process container 403 forming a process chamber 401 , a support 417 configured to support one or several wafers 200 in a horizontal position, a rotary shaft 455 configured to support the support 417 from below, a lamp heater 407 configured to irradiate the wafers 200 of the process container 403 with light, and a quartz window 403 w configured to transmit the light of the lamp heater 407 .
  • a gas supply port 432 a configured to supply the above-described first gas
  • a gas supply port 432 b configured to supply the above-described second gas
  • a gas supply port 432 c configured to supply the above-described third gas
  • a first gas supply system similar to the first gas supply system in the above-described embodiments is connected to the gas supply port 432 a .
  • a second gas supply system similar to the second gas supply system in the above-described embodiments is connected to the gas supply port 432 b .
  • a third gas supply system similar to the third gas supply system in the above-described embodiments is connected to the gas supply port 432 c .
  • An exhaust port 431 configured to exhaust the interior of the process chamber 401 is installed at the process container 403 .
  • An exhaust system similar to the exhaust system in the above-described embodiments is connected to the exhaust port 431 .
  • a film may be formed under the same sequences and process conditions as those in the above-described embodiments.
  • a process recipe (a program in which processing procedures, process conditions, and the like are written) used when forming these various types of thin films may be provided individually (in plural) according to substrate processing contents (film type, composition ratio, film quality, film thickness, processing procedures, process conditions, and the like of a thin film to be formed). Then, when starting the substrate processing, an appropriate process recipe may be appropriately selected from a plurality of process recipes according to the contents of the substrate processing. Specifically, the plurality of process recipes individually provided according to the contents of the substrate processing may be stored (installed) in advance in the memory 121 c included in the substrate processing apparatus via a telecommunication line or a recording medium (the external memory 123 ) where the process recipes are recorded.
  • the CPU 121 a included in the substrate processing apparatus appropriately may select an appropriate process recipe from the plurality of process recipes stored in the memory 121 c according to the contents of the substrate processing.
  • the CPU 121 a included in the substrate processing apparatus appropriately may select an appropriate process recipe from the plurality of process recipes stored in the memory 121 c according to the contents of the substrate processing.
  • the present disclosure may also be realized by, for example, changing a process recipe of the existing substrate processing apparatus.
  • the process recipe according to the present disclosure may be installed on the existing substrate processing apparatus via a telecommunications line or a recording medium where the process recipe is recorded, or it is also possible to change a process recipe of the existing substrate processing apparatus to the process recipe according to the present disclosure by operating an input/output device of the existing substrate processing apparatus.
  • the present disclosure may be applied to, for example, a word line portion of a NAND flash memory, DRAM or the like of a three-dimensional structure.
  • Sample 1 and Sample 3 each of which is a bare wafer (Si substrate), and Sample 2 and Sample 4, each of which is a wafer including a SiO 2 film formed on the surface of the wafer, are provided, and film-forming processes shown below are performed on Samples 1 to 4, respectively.
  • Sample 1 is obtained by using the above-described substrate processing apparatus 10 to perform a film-forming process a predetermined number of times after the pre-process according to the above-described substrate processing sequence of FIG. 4 to form a TiN film on the bare wafer. That is, after first gas supply and second gas supply are performed on the bare wafer, the film-forming process is performed a plurality of times. Process conditions are set to predetermined conditions within the process condition range described in the above-described embodiments.
  • Sample 2 is obtained by using the above-described substrate processing apparatus 10 to perform a film-forming process a predetermined number of times after the pre-process according to the above-described substrate processing sequence of FIG. 4 to form a TiN film on the wafer on which the SiO 2 film is formed. That is, after first gas supply and second gas supply are performed on the wafer on which the SiO 2 film is formed, the film-forming process is performed a plurality of times.
  • Process conditions are set to predetermined conditions within the process condition range described in the above-described embodiments and are the same as the process conditions in Sample 1.
  • Sample 3 is obtained by using the above-described substrate processing apparatus 10 to perform a film-forming process a predetermined number of times after the pre-process according to the above-described substrate processing sequence of FIG. 9 to form a TiN film on the bare wafer. That is, after second gas supply and first gas supply are performed on the bare wafer, the film-forming process are performed a plurality of times. Process conditions are set to predetermined conditions within the process condition range described in the above-described embodiments.
  • Sample 4 is obtained by using the above-described substrate processing apparatus 10 to alternately perform a film-forming process a predetermined number of times after the pre-process according to the above-described substrate processing sequence of FIG. 9 to form a TiN film on the wafer on which the SiO 2 film is formed. That is, after second gas supply and first gas supply are performed on the wafer on which the SiO 2 film is formed, the film-forming process is performed a plurality of times. Process conditions are set to predetermined conditions within the process condition range described in the above-described embodiments and are the same as the process conditions in Sample 3.
  • FIG. 13A is a diagram showing a comparison among film thicknesses of the TiN films formed on Samples 1 to 4.
  • the film thickness of the TiN film is converted into an amount of Ti detected. That is, it is shown that as the amount of Ti detected increases, the film thickness of the TiN film increases, and as the film thickness of the TiN film increases, the film is more continuous.
  • the TiN film formed on the wafer on which the SiO 2 film is formed is smaller in a film thickness and is less likely to form a continuous film than the TiN film formed on the bare wafer. Further, as shown in FIG. 13A , it is confirmed that the film thickness of the TiN film formed on the bare wafer is thicker and a more continuous film is formed in the case where the first gas of Sample 1 is first supplied than the case where the second gas of Sample 3 is first supplied.
  • Sample 1 and Sample 3 each of which is a bare wafer, and Sample 2 and Sample 4, each of which is a wafer including a SiO 2 film formed on the surface of the wafer, are provided, and film-forming processes shown below are performed on Samples 1 to 4, respectively.
  • Sample 1 and Sample 2 are obtained by using the above-described substrate processing apparatus 10 to perform a film-forming process a predetermined number of times after the pre-process according to the above-described substrate processing sequence of FIG. 4 to form a TiN film on the bare wafer and a TiN film on the wafer including the SiO 2 film formed on the surface of the wafer, respectively.
  • Sample 3 and Sample 4 are obtained by using the above-described substrate processing apparatus 10 to perform the above-described film-forming process without performing the pre-process according to the above-described substrate processing sequence of FIG. 4 to form a TiN film on the bare wafer and a TiN film on the wafer including the SiO 2 film formed on the surface of the wafer, respectively.
  • FIG. 13B is a diagram showing comparison among deposition rates of Ti formed on the wafers of Samples 1 to 4.
  • Sample 1 and Sample 3 each of which is a bare wafer, and Sample 2 and Sample 4, each of which is a wafer including a SiO 2 film formed on the surface of the wafer, are provided, and film-forming processes shown below are performed on Samples 1 to 4, respectively.
  • Sample 1 and Sample 2 are obtained by using the above-described substrate processing apparatus 10 to perform a film-forming process a predetermined number of times after the pre-process according to the above-described substrate processing sequence of FIG. 4 to form a TiN film on the bare wafer and a TiN film on the wafer on which the SiO 2 film is formed, respectively. That is, after first gas supply and second gas supply, the film-forming process is performed a predetermined number of times.
  • Sample 3 and Sample 4 are obtained by using the above-described substrate processing apparatus 10 to perform a film-forming process a predetermined number of times after performing the pre-process a predetermined number of times according to the above-described substrate processing sequence of FIG. 6 to form a TiN film on the bare wafer and a TiN film on the wafer on which the SiO 2 film is formed, respectively. That is, after the first gas supply and the second gas supply are performed a predetermined number of times, the film-forming process is performed a predetermined number of times.
  • FIG. 14A is a diagram showing a relationship between the supply time of the first gas and the film thickness of the TiN film
  • FIG. 14B is a diagram showing a relationship between the number of cycles and the film thickness of the TiN film.
  • FIG. 14A it is confirmed that by lengthening the supply time of the first gas in the pre-process, it is possible to form the TiN film with its film thickness slightly increased on the bare wafer and on the wafer including the SiO 2 film formed on the surface of the wafer, respectively, to form a thin continuous film, thereby improving the coverage.
  • FIG. 14B it is confirmed that by increasing the number of cycles including the first gas supply and the second gas supply in the pre-process, it is possible to form the TiN film with its film thickness slightly increased on the bare wafer and on the wafer including the SiO 2 film formed on the surface of the wafer, respectively, to form a thin continuous film, thereby improving the coverage.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
US17/535,778 2020-11-25 2021-11-26 Method of processing substrate, recording medium, and substrate processing apparatus Pending US20220165565A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020-194947 2020-11-25
JP2020194947A JP7324740B2 (ja) 2020-11-25 2020-11-25 基板処理方法、プログラム、基板処理装置及び半導体装置の製造方法

Publications (1)

Publication Number Publication Date
US20220165565A1 true US20220165565A1 (en) 2022-05-26

Family

ID=81657296

Family Applications (1)

Application Number Title Priority Date Filing Date
US17/535,778 Pending US20220165565A1 (en) 2020-11-25 2021-11-26 Method of processing substrate, recording medium, and substrate processing apparatus

Country Status (5)

Country Link
US (1) US20220165565A1 (ja)
JP (1) JP7324740B2 (ja)
KR (1) KR20220072796A (ja)
CN (1) CN114551220A (ja)
TW (1) TWI830089B (ja)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
CN101325174B (zh) * 2004-04-09 2011-06-15 东京毅力科创株式会社 Ti膜及TiN膜的成膜方法以及接触结构
JP2011066263A (ja) 2009-09-18 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US8778816B2 (en) * 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
SG10201607880PA (en) * 2015-09-25 2017-04-27 Tokyo Electron Ltd METHOD FOR FORMING TiON FILM
JP6910118B2 (ja) * 2016-08-05 2021-07-28 東京エレクトロン株式会社 成膜方法および成膜システム、ならびに表面処理方法
JP6755164B2 (ja) * 2016-11-14 2020-09-16 東京エレクトロン株式会社 TiN系膜およびその形成方法
KR102376835B1 (ko) 2017-09-25 2022-03-21 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램

Also Published As

Publication number Publication date
TW202229603A (zh) 2022-08-01
TWI830089B (zh) 2024-01-21
KR20220072796A (ko) 2022-06-02
JP7324740B2 (ja) 2023-08-10
CN114551220A (zh) 2022-05-27
JP2022083561A (ja) 2022-06-06

Similar Documents

Publication Publication Date Title
US11020760B2 (en) Substrate processing apparatus and precursor gas nozzle
US11001923B2 (en) Method of manufacturing semiconductor device and recording medium
US20210098258A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US11621169B2 (en) Method of manufacturing semiconductor device, recording medium, and substrate processing apparatus
US20240093361A1 (en) Vaporizer, processing apparatus and method of manufacturing semiconductor device
US11201054B2 (en) Method of manufacturing semiconductor device having higher exhaust pipe temperature and non-transitory computer-readable recording medium
US20210388487A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20210305058A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP7198908B2 (ja) 基板処理装置、反応容器、半導体装置の製造方法およびプログラム
US20220392770A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, method of processing substrate, and recording medium
US20220208557A1 (en) Method of processing substrate, method of manufacturing semiconductor device, recording medium, and substrate processing apparatus
US20200411330A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20220165565A1 (en) Method of processing substrate, recording medium, and substrate processing apparatus
US20210242023A1 (en) Method of manufacturing semiconductor device, non-transitory computer-readable recording medium and substrate processing apparatus
US20230037898A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, recording medium, and method of processing substrate
US20220093386A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer-readable recording medium
JP7175375B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム。
US20220216061A1 (en) Substrate processing method, method of manufacturing semiconductor device, non-transitory computer-readable recording medium and substrate processing apparatus
WO2018061109A1 (ja) 半導体装置の製造方法
US20220267905A1 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20230098746A1 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
JP2024061057A (ja) 基板処理方法、半導体装置の製造方法、プログラムおよび基板処理装置
JP2022124047A (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび基板処理方法

Legal Events

Date Code Title Description
AS Assignment

Owner name: KOKUSAI ELECTRIC CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SEINO, ATSURO;OGAWA, ARITO;MATSUNO, YUTAKA;SIGNING DATES FROM 20211108 TO 20211109;REEL/FRAME:058212/0466

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION