US20220130962A1 - Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same - Google Patents

Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same Download PDF

Info

Publication number
US20220130962A1
US20220130962A1 US17/569,376 US202217569376A US2022130962A1 US 20220130962 A1 US20220130962 A1 US 20220130962A1 US 202217569376 A US202217569376 A US 202217569376A US 2022130962 A1 US2022130962 A1 US 2022130962A1
Authority
US
United States
Prior art keywords
fin
fin portion
layer
forming
sub
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/569,376
Inventor
Gopinath Bhimarasetti
Walid M. Hafez
Joodong Park
Weimin Han
Raymond E. Cotner
Chia-Hong Jan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tahoe Research Ltd
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US17/569,376 priority Critical patent/US20220130962A1/en
Publication of US20220130962A1 publication Critical patent/US20220130962A1/en
Assigned to TAHOE RESEARCH, LTD. reassignment TAHOE RESEARCH, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTEL CORPORATION
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin

Definitions

  • Embodiments of the invention are in the field of semiconductor devices and processing and, in particular, non-planar semiconductor devices having omega-fins with doped sub-fin regions and methods of fabricating non-planar semiconductor devices having omega-fins with doped sub-fin regions.
  • tri-gate transistors In the manufacture of integrated circuit devices, multi-gate transistors, such as tri-gate transistors, have become more prevalent as device dimensions continue to scale down. In conventional processes, tri-gate transistors are generally fabricated on either bulk silicon substrates or silicon-on-insulator substrates. In some instances, bulk silicon substrates are preferred due to their lower cost and compatibility with the existing high-yielding bulk silicon substrate infrastructure.
  • FIG. 1A is a cross-sectional view of a portion of a non-planar semiconductor device having outwardly-tapered with doped sub-fin regions.
  • FIG. 1B is a cross-sectional view of a portion of a non-planar semiconductor device having omega-fins with doped sub-fin regions, in accordance with an embodiment of the present invention.
  • FIGS. 2A-2I illustrate cross-sectional view of various operations in an method of fabricating a non-planar semiconductor device having omega-fins with doped sub-fin regions, in accordance with an embodiment of the present invention where:
  • FIG. 2A illustrates a bulk semiconductor substrate having fins etched therein and a catalyst layer formed conformal therewith;
  • FIG. 2B illustrates the structure of FIG. 2A following formation of a mask on and above the plurality of fins
  • FIG. 2C illustrates the structure of FIG. 2B following recessing of the mask to form a recessed mask
  • FIG. 2D illustrates the structure of FIG. 2C following removal of exposed portions of the catalyst layer
  • FIG. 2E illustrates the structure of FIG. 2D following removal of the recessed mask to expose the patterned catalyst layer
  • FIG. 2F illustrates the structure of FIG. 2E following catalytic oxidation of the sub-fin regions
  • FIG. 2G illustrates the structure of FIG. 2F following removal of the patterned catalyst layer and the formed oxide layer
  • FIG. 2H illustrates the structure of FIG. 2G following formation of a solid state dopant source layer and optional capping layer
  • FIG. 2I illustrates the structure of FIG. 2H following patterning of the solid state dopant source layer and optional capping layer.
  • FIG. 3A illustrates a cross-sectional view of a non-planar semiconductor device having omega-fins with doped sub-fin regions, in accordance with an embodiment of the present invention.
  • FIG. 3B illustrates a plan view taken along the a-a′ axis of the semiconductor device of FIG. 3A , in accordance with an embodiment of the present invention.
  • FIG. 4 illustrates a computing device in accordance with one implementation of the invention.
  • Non-planar semiconductor devices having omega-fins with doped sub-fin regions and methods of fabricating non-planar semiconductor devices having omega-fins with doped sub-fin regions are described.
  • numerous specific details are set forth, such as specific integration and material regimes, in order to provide a thorough understanding of embodiments of the present invention. It will be apparent to one skilled in the art that embodiments of the present invention may be practiced without these specific details. In other instances, well-known features, such as integrated circuit design layouts, are not described in detail in order to not unnecessarily obscure embodiments of the present invention.
  • the various embodiments shown in the Figures are illustrative representations and are not necessarily drawn to scale.
  • One or more embodiments described herein are directed to approaches for fabricating omega-fins for enhanced sub-fin doping.
  • Applications may include, but are not limited to, sub-10 nm process technology nodes.
  • both a solid-state sub-fin doping source technique and a catalytic oxidation of sub-fin region technique are utilized for semiconductor device fabrication.
  • omega-fin structures can facilitate enhanced sub-fin doping in tightly spaced fins for, e.g., sub-10 nm technology nodes.
  • Sub-fin doping may be achieved by depositing boron or phosphorous doped oxides (BSG/PSG) followed by a capping SiN layer. An anneal process is used to drive the dopants from the BSG or PSG layer into the sub-fin. The extent of doping into the sub-fin is directly related to the thickness of the BSG or PSG layer.
  • BSG/PSG boron or phosphorous doped oxides
  • a minimum thickness of SiN may be needed to drive the dopants into the sub-fin instead of dopants escaping into the space between the fins.
  • a combination of the minimum required BSG/PSG and SiN thicknesses can render achieving sub doping difficult as the fin pitch is reduced.
  • fin pitches can be scaled, the BSG/PSG and SiN thicknesses may not be proportionally scaled, posing a challenge.
  • Embodiments described herein provide for fabrication of a sub-fin width that is reduced relative to the active (protruding) fin portion, resulting in omega-fins.
  • the fabrication of omega-fins provides extra space in the sub-fin regions, even after reducing the fin-pitch, for depositing the required BSG/PSG or SiN layer thicknesses.
  • FIG. 1A is a cross-sectional view of a portion of a non-planar semiconductor device having outwardly-tapered with doped sub-fin regions.
  • a bulk silicon substrate 100 is provided having fins 102 etched therein.
  • the fins 102 are formed directly in the bulk substrate 100 and, as such, are formed continuous with the bulk substrate 100 .
  • Each fin 102 may be described as having a sub-fin region 102 A and a protruding portion 102 B.
  • the protruding portion 102 B is ultimately the portion on which a gate electrode is formed.
  • each sub-fin region 102 A is outwardly tapered.
  • a solid state dopant source layer 120 and optional capping layer 122 are confined to the sub-fin regions 102 A.
  • the solid state dopant source layer 120 may ultimately be used to dope the sub-fin regions 102 A.
  • the lower portions of the fins 102 and, hence, the solid state dopant source layer 120 and optional capping layer 122 are in close proximity to one another, rendering scaling of such features quite difficult.
  • approaches that have been implemented to address scaling challenges have involved one or more of (a) increasing the dopant concentration in the solid state dopant source layer (e.g., in BSG/PSG) or (b) increasing the density of the capping layer (e.g., SiN) to prevent dopant “escape” to the space between fins.
  • the process of creating space in the sub-fin region is achieved by selective catalytic oxidation, as is described in greater detail below.
  • FIG. 1B is a cross-sectional view of a portion of a non-planar semiconductor device having omega-fins with doped sub-fin regions, in accordance with an embodiment of the present invention.
  • a bulk silicon substrate 150 is provided having omega-fins 152 etched therein.
  • the omega-fins 152 are formed directly in the bulk substrate 150 and, as such, are formed continuous with the bulk substrate 150 .
  • Each omega-fin 152 may be described as having a sub-fin region 152 A and a protruding portion 152 B.
  • the protruding portion 152 B is ultimately the portion on which a gate electrode is formed. As depicted in FIG.
  • each sub-fin region 152 A is narrower than the corresponding protruding portion 152 B.
  • a solid state dopant source layer 120 and optional capping layer 122 are confined to the sub-fin regions 152 A.
  • the solid state dopant source layer 120 may ultimately be used to dope the sub-fin regions 152 A.
  • the lower portions of the omega-fins 152 and, hence, the solid state dopant source layer 120 and optional capping layer 122 are in not in relative close proximity to one another, rendering scaling of such features feasible.
  • a process flow for creating omega-fin structures involves use of an oxidation catalyst layer deposited by atomic layer deposition (ALD) to provide the catalyst on all exposed surfaces of the fin.
  • ALD atomic layer deposition
  • the catalyst may only be needed in the sub-fin regions of a plurality of semiconductor fins. Therefore, a process is described below which protects the catalyst in the sub-fin region, while removing the catalyst from the active fin regions.
  • this approach is accomplished by using a carbon hard mask (CHM) to fill spaces between fins after catalyst deposition. The CHM is then recessed using, e.g., a dry etch technique to the desired depth.
  • CHM carbon hard mask
  • the exposed catalyst in the active fin region is removed, e.g., by a wet etch process.
  • the CHM which remains in the spaces in the sub-fin region can be removed by an ash technique, thus leaving the catalyst exposed in the sub-fin region.
  • low pressure oxidation can be used to oxidize the silicon in the sub-fin region.
  • the presence of the catalyst in the sub-fin region accelerates the oxidation approximately 10 - 15 times faster than the active fin region where the catalyst has been previously removed.
  • the catalyst and the oxide can be removed by wet etch resulting in omega-fin structures.
  • FIGS. 2A-2I illustrate cross-sectional view of various operations in a method of fabricating a non-planar semiconductor device having omega-fins with doped sub-fin regions, in accordance with an embodiment of the present invention.
  • a bulk semiconductor substrate 200 such as a bulk single crystalline silicon substrate is provided having fins 202 etched therein.
  • the fins are formed directly in the bulk substrate 200 and, as such, are formed continuous with the bulk substrate 200 . Artifacts remaining from the fabrication of fins 202 may also be present. For example, although not depicted, a hardmask layer, such as a silicon nitride hardmask layer, and a pad oxide layer, such as a silicon dioxide layer, may remain atop fins 202 .
  • the bulk substrate 200 and, hence, the fins 202 are undoped or lightly doped at this stage.
  • the bulk substrate 200 and, hence, the fins 202 have a concentration of less than approximately 1E17 atoms/cm 3 of boron dopant impurity atoms.
  • each fin 202 may be described as having a sub-fin region 202 A and a protruding portion 202 B.
  • the protruding portion 202 B is ultimately the portion on which a gate electrode is formed.
  • the each sub-fin region 202 A may be outwardly tapered as a result of the etch process used to form the fins 202 , as is depicted in FIG. 2A .
  • a catalyst layer 204 is formed conformal with the substrate 200 /fins 202 structure.
  • the catalyst layer is a layer of aluminum oxide (Al 2 O 3 ). It is to be appreciated that, if present, a hardmask layer and/or a pad oxide layer may remain atop fins 202 at this stage. However, as shown, such a hardmask layer and/or pad oxide layer used in fin formation have been removed prior to the formation of the catalyst layer 204 , as is depicted in FIG. 2A .
  • a mask 206 is formed on the structure of FIG. 2A .
  • the mask 206 is formed to a height sufficient to completely cover the fins 202 .
  • the hardmask may be formed to have an essentially planar top surface or may be subjected to a planarization process such as chemical mechanical planarization (CMP).
  • CMP chemical mechanical planarization
  • the mask 206 is or includes a carbon hardmask (CHM) material layer.
  • CHM carbon hardmask
  • the mask 206 of FIG. 2B is recessed to a height below the tops of the fins 202 , forming a recessed mask 208 .
  • the recessed mask 208 is formed to a level essentially co-planar with the tops of the sub-fin regions 202 A, exposing the protruding portions 202 B and a portion of the catalyst layer 204 , as is depicted in FIG. 2C .
  • recessing of the mask 206 to form recessed mask 208 is performed by an etch process such as, but not limited to, a plasma, vapor, ashing or wet etch process, or combination thereof.
  • the mask 206 is a carbon hardmask layer and is recessed using an ashing process based on oxygen.
  • the exposed portions of the catalyst layer 204 are removed to provide a patterned catalyst layer 210 which is confined to regions protected by recessed mask 208 .
  • the patterned catalyst layer 210 is confined to the sub-fin regions 202 A, as is depicted in FIG. 2D .
  • removal of the exposed portions of the catalysts layer 204 to form the patterned catalyst layer 210 is performed using a wet etch process.
  • the catalyst layer is or includes a layer of Al 2 O 3 , and the wet etch process is based on hydrofluoric acid (HF).
  • HF hydrofluoric acid
  • the wet etch process is selective to the recessed mask 208 , as is depicted in FIG. 2D .
  • the recessed mask 208 is completely removed, exposing the patterned catalyst layer 210 .
  • removal of the recessed mask 208 is performed by an etch process such as, but not limited to, a plasma, vapor, ashing or wet etch process, or combination thereof.
  • the recessed mask 208 is a carbon hardmask layer and is removed using an ashing process based on oxygen.
  • removal of the recessed mask 208 is performed using a process selective to the patterned catalysts layer 210 , preserving the patterned catalyst layer 210 , as is depicted in FIG. 2E .
  • oxidation of the sub-fin regions 202 A of the plurality of fins 202 is performed.
  • the oxidation is performed by exposing the patterned catalyst layer 210 to a combination of hydrogen and oxygen (H 2 /O 2 ) under reduced pressure.
  • H 2 /O 2 hydrogen and oxygen
  • the patterned catalyst layer 210 accelerates the oxidation of the underlying/adjacent silicon approximately 10-15 times faster than the oxidation of other portions of the silicon fins (i.e., the oxidation rate of the sub-fin regions 202 A is approximately 10-15 times faster than the oxidation rate of the protruding fin portions 202 B because of the presence of the patterned catalysts layer 210 ).
  • such selective catalytic oxidation enables relatively rapid conversion of the sub-fin regions 202 A into an oxide layer 212 (such as silicon oxide or silicon dioxide) without significantly oxidizing the protruding portions 202 B of the fins 202 .
  • the remaining silicon of the fins 202 provides for omega-fins 214 having sub-fin regions 214 A narrower than the overlying protruding fin portions 214 B, as is depicted in FIG. 2F . It is to be appreciated that at least some oxidation may occur on the protruding portions 202 B of the fins 202 ; however, the extent of oxidation is negligible as compared to the sub-fin regions 202 A.
  • the patterned catalyst layer 210 and the oxide layer 212 are removed to reveal the sub-fin regions 214 A and the protruding regions 214 B of the omega-fins 214 .
  • the catalyst layer is or includes a layer of Al 2 O 3
  • the oxide layer 212 is or includes a layer of SiO 2
  • the wet etch process is based on hydrofluoric acid (HF).
  • HF hydrofluoric acid
  • the patterned catalyst layer 210 and the oxide layer 212 are removed in a single wet etch operation.
  • the patterned catalyst layer 210 and the oxide layer 212 are removed in successive wet etch operations.
  • a solid state dopant source layer 216 is formed conformal with the substrate 200 /omega-fins 214 structure of FIG. 2G .
  • the solid state dopant source layer 216 is a P-type solid state dopant source layer composed of a dielectric layer incorporating P-type dopants therein such as, but not limited to, a P-type doped oxide, nitride or carbide layer.
  • the P-type solid state dopant source layer is a borosilicate glass layer.
  • the P-type solid state dopant source layer may be formed by a process suitable to provide a conformal layer on the omega-fins 214 .
  • the P-type solid state dopant source layer is formed by a chemical vapor deposition (CVD) process or other deposition process (e.g., ALD, PECVD, PVD, HDP assisted CVD, low temp CVD) as a conformal layer above the entire structure of FIG. 2G .
  • the P-type solid state dopant source layer is a BSG layer having a boron concentration approximately in the range of 0.1-10 weight %.
  • the solid state dopant source layer 216 is an N-type solid state dopant source layer composed of a dielectric layer incorporating N-type dopants therein such as, but not limited to, an N-type doped oxide, nitride or carbide layer.
  • the N-type solid state dopant source layer is a phosphosilicate glass layer or an arsenic silicate glass layer.
  • the N-type solid state dopant source layer may be formed by a process suitable to provide a conformal layer on the omega-fins 214 .
  • the N-type solid state dopant source layer is formed by a chemical vapor deposition (CVD) process or other deposition process (e.g., ALD, PECVD, PVD, HDP assisted CVD, low temp CVD) as a conformal layer above the entire structure of FIG. 2G .
  • the N-type solid state dopant source layer is a PSG layer or an AsSG layer having a phosphorous or arsenic, respectively, concentration approximately in the range of 0.1-10 weight %.
  • a capping layer 218 is optionally formed on the solid state dopant source layer 216 .
  • the capping layer 218 is formed as an in situ-formed capping layer to protect the solid state dopant source layer 216 during subsequent exposure to ambient conditions.
  • the capping layer is a nitride layer, such as a silicon nitride layer.
  • the solid state dopant source layer 216 and, if present, the capping layer 218 are patterned to form a patterned solid state dopant source layer 220 and a patterned capping layer 222 .
  • the solid state dopant source layer 216 and the capping layer 218 are patterned by a plasma, vapor or wet etch process. Patterning of the solid state dopant source layer 216 and the capping layer 218 may be performed in a same or different processing operation. Although not depicted, in an embodiment, the patterning involves first formation and then recessing of a dielectric fill layer formed over the structure of FIG. 2H . Such a dielectric fill layer may be recessed to expose protruding portions 214 B of the omega-fins 214 , while being recessed to a height approximately the same as the height of the sub-fin regions 214 A.
  • the solid state dopant source layer 216 and the capping layer 218 are sequentially of simultaneously recessed to approximately the same level as the dielectric fill layer. Accordingly, in one embodiment, the resulting patterned solid state dopant source layer 220 is confined to the sub-fin regions 214 A of the plurality of omega-fins 214 , as is depicted in FIG. 2I .
  • a drive-in anneal is performed to provide doped sub-fin regions 214 A of the omega-fins 214 . More particularly, upon heating, dopants from the patterned solid state dopant source layer 220 , such as boron, phosphorous or arsenic dopant atoms, are diffused into the sub-fin regions 214 A. The diffusion may also lead to doping within the bulk substrate portion 200 , where adjacent fins 214 share a common doped region in the bulk substrate 200 .
  • the protruding portions 214 B of omega-fins 214 essentially retain the doping profile of the original bulk substrate 200 and fins 202 described in association with FIG. 2A .
  • a doping profile interface may exist between the protruding portions 214 B and the doped sub-fin regions ( 214 A as now doped).
  • the interface represents a doping concentration step or rapid gradient change where the doped sub-fin regions have a total dopant concentration of 2E18 atoms/cm 3 or greater, while the protruding portions 214 B have a total dopant concentration significantly less than 2E18 atoms/cm 3 , e.g., of approximately 5E17 atoms/cm 3 or less.
  • the doped sub-fin regions are doped across the entire sub-fin region.
  • the drive-in operation is performed at a temperature approximately in the range of 800-1050 degrees Celsius.
  • one or embodiments described herein include use of a solid source doping layer (e.g., BSG, PSG or AsSG) deposited on fins subsequent to fin etch. Later, after a trench fill and polish, the doping layer is recessed along with the trench fill material to define the fin height (HSi) for the device. The operation removes the doping layer from the fin sidewalls above HSi. Therefore, the doping layer is present only along the fin sidewalls in the sub-fin region which ensures precise control of doping placement. After a drive-in anneal, high doping is limited to the sub-fin region, quickly transitioning to low doping in the adjacent region of the fin above HSi (which forms the channel region of the transistor).
  • a solid source doping layer e.g., BSG, PSG or AsSG
  • borosilicate glass (BSG) is implemented for NMOS fin doping, while a phosphosilicate (PSG) or arsenic-silicate glass (AsSG) layer is implemented for PMOS fin doping.
  • PSG phosphosilicate glass
  • AsSG arsenic-silicate glass
  • one or more embodiments described herein is directed to a process to selectively dope sub-fin regions of tri-gate or FinFET transistors fabricated on bulk silicon wafers, e.g., by way of tri-gate doped glass sub-fin out-diffusion.
  • a process to selectively dope a sub-fin region of tri-gate or FinFET transistors to mitigate sub-fin leakage while simultaneously keeping fin doping low is described above.
  • incorporación of a solid state doping sources into the transistor process flow, which after being recessed from the fin sidewalls, delivers well doping into the sub-fin region while keeping the fin body relatively undoped.
  • a solid state doping sources e.g., p-type and n-type doped oxides, nitrides or carbides
  • one or more approaches described herein enables self-alignment of the bottom of an active portion of a bulk fin with a doping boundary between the active portion and the remaining bulk portion (e.g., the portion below the gate-controlled region).
  • sub-fin regions below the active silicon fin portion of the device (e.g., the gate-controlled region, or HSi) is under diminished or no gate control. As such, if source or drain regions are at or below the HSi point, then leakage pathways may exist through the sub-fin region.
  • sufficient doping is provided through sub-fin doping without necessarily delivering the same level of doping to the HSi portions of the fins.
  • Vt threshold voltage
  • FIGS. 3A and 3B illustrate a cross-sectional view and a plan view (taken along the a-a′ axis of the cross-sectional view), respectively, of a non-planar semiconductor device having omega-fins with doped sub-fin regions, in accordance with an embodiment of the present invention.
  • a semiconductor structure or device 300 includes a non-planar active region (e.g., a fin structure including protruding fin portion 304 and sub-fin region 305 ) formed from substrate 302 , and within isolation region 306 .
  • the sub-fin regions 305 are narrower than the corresponding protruding portions 304 and, as such, provide for an omega-fin geometry for the fins.
  • a solid state dopant source layer 390 and optional capping layer 392 may be retained in the structure, along the sidewalls of the sub-fin regions 305 , corresponding to embodiments described above.
  • each of the plurality of semiconductor fins 304 / 305 has an omega-fin geometry, as is depicted in FIG. 3A .
  • the protruding portion 304 of each of the plurality of semiconductor fins has a width of approximately 10 nanometers or less.
  • the solid state dopant source layer 390 has a top surface approximately co-planar with an interface between the sub-fin portion 305 and the protruding portion 304 of each of the plurality of semiconductor fins, as is depicted in FIG. 3A .
  • the isolation layer 306 has a top surface approximately co-planar with an interface between the sub-fin portion 305 and the protruding portion 304 of each of the plurality of semiconductor fins, as is depicted in FIG. 3A .
  • the solid state dopant source layer 390 is a borosilicate glass (BSG) layer.
  • the solid state dopant source layer 390 is a phosphosilicate glass (PSG) layer or an arsenic silicate glass (AsSG) layer.
  • the capping layer 392 is composed of silicon nitride.
  • the capping layer 392 has a top surface approximately co-planar with an interface between the sub-fin portion 305 and the protruding portion 304 of each of the plurality of semiconductor fins, as is depicted in FIG. 3A .
  • an interface 380 exists between the doping profile of protruding fin portion 304 and sub-fin region 305 .
  • the interface 380 can be a transition region that is relatively abrupt.
  • One or more embodiments confine, or essentially confine, dopants from a doping process to a sub-fin region of a semiconductor device.
  • the transition of doping concentration can drop quickly from the sub-fin region to the protruding fin region.
  • the transition is essentially immediate with a dopant concentration of less than approximately 5E17 atoms/cm 3 for each of the protruding portions and of greater than approximately 2E18 atoms/cm 3 for the corresponding sub-fin regions.
  • substrate portions below the sub-fin regions 305 may be doped, in one sense forming well regions.
  • the lower portions of the substrate 302 are doped at least in part by downward diffusion from a solid state doping source (such as layer 390 ) into the underlying substrate.
  • a gate line 308 is disposed over the protruding portions 304 of the non-planar active region as well as over a portion of the isolation region 306 .
  • gate line 308 includes a gate electrode 350 and a gate dielectric layer 352 .
  • gate line 308 may also include a dielectric cap layer 354 .
  • a gate contact 314 , and overlying gate contact via 316 are also seen from this perspective, along with an overlying metal interconnect 360 , all of which are disposed in inter-layer dielectric stacks or layers 370 .
  • the gate contact 314 is, in one embodiment, disposed over isolation region 306 , but not over the non-planar active regions.
  • the gate line 308 is shown as disposed over the protruding fin portions 304 .
  • Source and drain regions 304 A and 304 B of the protruding fin portions 304 can be seen from this perspective.
  • the source and drain regions 304 A and 304 B are doped portions of original material of the protruding fin portions 304 .
  • the material of the protruding fin portions 304 is removed and replaced with another semiconductor material, e.g., by epitaxial deposition.
  • the source and drain regions 304 A and 304 B may extend below the height of dielectric layer 306 , i.e., into the sub-fin region 305 .
  • the more heavily doped sub-fin regions 305 i.e., the doped portions of the fins below interface 380 , inhibits source to drain leakage through this portion of the bulk semiconductor fins.
  • the semiconductor structure or device 300 is a non-planar device such as, but not limited to, a fin-FET or a tri-gate device.
  • a corresponding semiconducting channel region is composed of or is formed in a three-dimensional body.
  • the gate electrode stacks of gate lines 308 surround at least a top surface and a pair of sidewalls of the three-dimensional body, as is depicted in FIG. 3A .
  • Substrate 302 may be composed of a semiconductor material that can withstand a manufacturing process and in which charge can migrate.
  • substrate 302 is a bulk substrate composed of a crystalline silicon, silicon/germanium or germanium layer doped with a charge carrier, such as but not limited to phosphorus, arsenic, boron or a combination thereof, to form active region 304 .
  • a charge carrier such as but not limited to phosphorus, arsenic, boron or a combination thereof.
  • the concentration of silicon atoms in bulk substrate 302 is greater than 97%.
  • bulk substrate 302 is composed of an epitaxial layer grown atop a distinct crystalline substrate, e.g. a silicon epitaxial layer grown atop a boron-doped bulk silicon mono-crystalline substrate.
  • Bulk substrate 302 may alternatively be composed of a group III-V material.
  • bulk substrate 302 is composed of a III-V material such as, but not limited to, gallium nitride, gallium phosphide, gallium arsenide, indium phosphide, indium antimonide, indium gallium arsenide, aluminum gallium arsenide, indium gallium phosphide, or a combination thereof.
  • bulk substrate 302 is composed of a III-V material and the charge-carrier dopant impurity atoms are ones such as, but not limited to, carbon, silicon, germanium, oxygen, sulfur, selenium or tellurium.
  • Isolation region 306 may be composed of a material suitable to ultimately electrically isolate, or contribute to the isolation of, portions of a permanent gate structure from an underlying bulk substrate or isolate active regions formed within an underlying bulk substrate, such as isolating fin active regions.
  • the isolation region 306 is composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxy-nitride, silicon nitride, or carbon-doped silicon nitride.
  • Gate line 308 may be composed of a gate electrode stack which includes a gate dielectric layer 352 and a gate electrode layer 350 .
  • the gate electrode of the gate electrode stack is composed of a metal gate and the gate dielectric layer is composed of a high-K material.
  • the gate dielectric layer is composed of a material such as, but not limited to, hafnium oxide, hafnium oxy-nitride, hafnium silicate, lanthanum oxide, zirconium oxide, zirconium silicate, tantalum oxide, barium strontium titanate, barium titanate, strontium titanate, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, lead zinc niobate, or a combination thereof.
  • a portion of gate dielectric layer may include a layer of native oxide formed from the top few layers of the substrate 302 .
  • the gate dielectric layer is composed of a top high-k portion and a lower portion composed of an oxide of a semiconductor material.
  • the gate dielectric layer is composed of a top portion of hafnium oxide and a bottom portion of silicon dioxide or silicon oxy-nitride.
  • the gate electrode is composed of a metal layer such as, but not limited to, metal nitrides, metal carbides, metal silicides, metal aluminides, hafnium, zirconium, titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt, nickel or conductive metal oxides.
  • the gate electrode is composed of a non-workfunction-setting fill material formed above a metal workfunction-setting layer.
  • spacers associated with the gate electrode stacks may be composed of a material suitable to ultimately electrically isolate, or contribute to the isolation of, a permanent gate structure from adjacent conductive contacts, such as self-aligned contacts.
  • the spacers are composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxy-nitride, silicon nitride, or carbon-doped silicon nitride.
  • Gate contact 314 and overlying gate contact via 316 may be composed of a conductive material.
  • one or more of the contacts or vias are composed of a metal species.
  • the metal species may be a pure metal, such as tungsten, nickel, or cobalt, or may be an alloy such as a metal-metal alloy or a metal-semiconductor alloy (e.g., such as a silicide material).
  • providing structure 300 involves formation of a contact pattern which is essentially perfectly aligned to an existing gate pattern while eliminating the use of a lithographic step with exceedingly tight registration budget.
  • this approach enables the use of intrinsically highly selective wet etching (e.g., versus conventionally implemented dry or plasma etching) to generate contact openings.
  • a contact pattern is formed by utilizing an existing gate pattern in combination with a contact plug lithography operation.
  • the approach enables elimination of the need for an otherwise critical lithography operation to generate a contact pattern, as used in conventional approaches.
  • a trench contact grid is not separately patterned, but is rather formed between poly (gate) lines. For example, in one such embodiment, a trench contact grid is formed subsequent to gate grating patterning but prior to gate grating cuts.
  • the gate stack structure 308 may be fabricated by a replacement gate process.
  • dummy gate material such as polysilicon or silicon nitride pillar material, may be removed and replaced with permanent gate electrode material.
  • a permanent gate dielectric layer is also formed in this process, as opposed to being carried through from earlier processing.
  • dummy gates are removed by a dry etch or wet etch process.
  • dummy gates are composed of polycrystalline silicon or amorphous silicon and are removed with a dry etch process including use of SF 6 .
  • dummy gates are composed of polycrystalline silicon or amorphous silicon and are removed with a wet etch process including use of aqueous NH 4 OH or tetramethylammonium hydroxide. In one embodiment, dummy gates are composed of silicon nitride and are removed with a wet etch including aqueous phosphoric acid.
  • one or more approaches described herein contemplate essentially a dummy and replacement gate process in combination with a dummy and replacement contact process to arrive at structure 300 .
  • the replacement contact process is performed after the replacement gate process to allow high temperature anneal of at least a portion of the permanent gate stack.
  • an anneal of at least a portion of the permanent gate structures e.g., after a gate dielectric layer is formed, is performed at a temperature greater than approximately 600 degrees Celsius. The anneal is performed prior to formation of the permanent contacts.
  • a semiconductor device has contact structures that contact portions of a gate electrode formed over an active region.
  • a gate contact structure such as a via
  • one or more embodiments of the present invention include first using a gate aligned trench contact process. Such a process may be implemented to form trench contact structures for semiconductor structure fabrication, e.g., for integrated circuit fabrication.
  • a trench contact pattern is formed as aligned to an existing gate pattern.
  • a conventional process may include patterning of a poly (gate) grid with separate patterning of contact features.
  • dummy gates need not ever be formed prior to fabricating gate contacts over active portions of the gate stacks.
  • the gate stacks described above may actually be permanent gate stacks as initially formed.
  • the processes described herein may be used to fabricate one or a plurality of semiconductor devices.
  • the semiconductor devices may be transistors or like devices.
  • the semiconductor devices are a metal-oxide semiconductor (MOS) transistors for logic or memory, or are bipolar transistors.
  • MOS metal-oxide semiconductor
  • the semiconductor devices have a three-dimensional architecture, such as a trigate device, an independently accessed double gate device, or a FIN-FET.
  • a trigate device such as an independently accessed double gate device, or a FIN-FET.
  • One or more embodiments may be particularly useful for fabricating semiconductor devices at a 10 nanometer (10 nm) or smaller (such as 7 nm) technology node.
  • FIG. 4 illustrates a computing device 400 in accordance with one implementation of the invention.
  • the computing device 400 houses a board 402 .
  • the board 402 may include a number of components, including but not limited to a processor 404 and at least one communication chip 406 .
  • the processor 404 is physically and electrically coupled to the board 402 .
  • the at least one communication chip 406 is also physically and electrically coupled to the board 402 .
  • the communication chip 406 is part of the processor 404 .
  • computing device 400 may include other components that may or may not be physically and electrically coupled to the board 402 .
  • these other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touchscreen display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).
  • volatile memory e.g., DRAM
  • non-volatile memory e.g., ROM
  • flash memory e.g., a graphics processor, a digital signal processor, a crypto processor, a chipset, an
  • the communication chip 406 enables wireless communications for the transfer of data to and from the computing device 400 .
  • the term “wireless” and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not.
  • the communication chip 406 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond.
  • the computing device 400 may include a plurality of communication chips 406 .
  • a first communication chip 406 may be dedicated to shorter range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 406 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
  • the processor 404 of the computing device 400 includes an integrated circuit die packaged within the processor 404 .
  • the integrated circuit die of the processor includes one or more devices, such as MOS-FET transistors built in accordance with implementations of the invention.
  • the term “processor” may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • the communication chip 406 also includes an integrated circuit die packaged within the communication chip 406 .
  • the integrated circuit die of the communication chip includes one or more devices, such as MOS-FET transistors built in accordance with implementations of the invention.
  • another component housed within the computing device 400 may contain an integrated circuit die that includes one or more devices, such as MOS-FET transistors built in accordance with implementations of embodiments of the invention.
  • the computing device 400 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder.
  • the computing device 400 may be any other electronic device that processes data.
  • embodiments of the present invention include non-planar semiconductor devices having omega-fins with doped sub-fin regions and methods of fabricating non-planar semiconductor devices having omega-fins with doped sub-fin regions.
  • a semiconductor device includes a plurality of semiconductor fins disposed above a semiconductor substrate, each semiconductor fin having a sub-fin portion below a protruding portion, the sub-fin portion narrower than the protruding portion.
  • a solid state dopant source layer is disposed above the semiconductor substrate, conformal with the sub-fin region but not the protruding portion of each of the plurality of semiconductor fins.
  • An isolation layer is disposed above the solid state dopant source layer and between the sub-fin regions of the plurality of semiconductor fins.
  • a gate stack is disposed above the isolation layer and conformal with the protruding portions of each of the plurality of semiconductor fins, the gate stack including a gate dielectric layer and gate electrode. Source and drain regions are disposed in the protruding portions of each of the plurality of semiconductor fins, on either side of the gate stack.
  • each of the plurality of semiconductor fins has an omega-fin geometry.
  • the protruding portion of each of the plurality of semiconductor fins has a width of approximately 10 nanometers or less.
  • the solid state dopant source layer has a top surface approximately co-planar with an interface between the sub-fin portion and the protruding portion of each of the plurality of semiconductor fins.
  • the isolation layer has a top surface approximately co-planar with an interface between the sub-fin portion and the protruding portion of each of the plurality of semiconductor fins.
  • the solid state dopant source layer is a borosilicate glass (BSG) layer.
  • BSG borosilicate glass
  • the solid state dopant source layer is a phosphosilicate glass (PSG) layer or an arsenic silicate glass (AsSG) layer.
  • the semiconductor device further includes a capping layer disposed on and conformal with the solid state dopant source layer.
  • the isolation layer is disposed on the capping layer.
  • the capping layer is composed of silicon nitride and has a top surface approximately co-planar with an interface between the sub-fin portion and the protruding portion of each of the plurality of semiconductor fins.
  • the semiconductor device further includes a dopant concentration interface between each protruding portion and corresponding sub-fin portion of each of the plurality of semiconductor fins.
  • the dopant concentration interface is an abrupt transition of less than approximately 5E17 atoms/cm 3 for each protruding portion and of greater than approximately 2E18 atoms/cm 3 for the corresponding sub-fin portion of each of the plurality of semiconductor fins.
  • the plurality of semiconductor fins disposed above the semiconductor substrate is a plurality of single crystalline silicon fins continuous with a bulk single crystalline silicon substrate.
  • a semiconductor device includes a plurality of semiconductor fins disposed above a semiconductor substrate, each semiconductor fin having a sub-fin portion below a protruding portion, the sub-fin portion narrower than the protruding portion.
  • a dopant concentration interface is between each protruding portion and corresponding sub-fin portion of each of the plurality of semiconductor fins.
  • An isolation layer is disposed between the sub-fin regions of the plurality of semiconductor fins.
  • a gate stack is disposed above the isolation layer and is conformal with the protruding portions of each of the plurality of semiconductor fins, the gate stack including a gate dielectric layer and gate electrode. Source and drain regions are disposed in the protruding portions of each of the plurality of semiconductor fins, on either side of the gate stack.
  • each of the plurality of semiconductor fins has an omega-fin geometry.
  • the protruding portion of each of the plurality of semiconductor fins has a width of approximately 10 nanometers or less.
  • the isolation layer has a top surface approximately co-planar with an interface between the sub-fin portion and the protruding portion of each of the plurality of semiconductor fins.
  • the dopant concentration interface is an abrupt transition of less than approximately 5E17 atoms/cm 3 for each protruding portion and of greater than approximately 2E18 atoms/cm 3 for the corresponding sub-fin portion of each of the plurality of semiconductor fins.
  • the plurality of semiconductor fins disposed above the semiconductor substrate is a plurality of single crystalline silicon fins continuous with a bulk single crystalline silicon substrate.
  • a method of fabricating a semiconductor device involves forming a plurality of semiconductor fins above a semiconductor substrate.
  • the method also involves forming a catalyst layer above the semiconductor substrate, conformal with the plurality of semiconductor fins.
  • the method also involves forming a mask above the catalyst layer.
  • the method also involves recessing the mask and the catalyst layer to approximately a same level below a top surface of the plurality of semiconductor fins, exposing protruding portions of each of the plurality of semiconductor fins above sub-fin regions of each of the plurality of semiconductor fins.
  • the method also involves oxidizing outer portions of the sub-fin regions of each of the plurality of semiconductor fins using the catalyst layer to catalytically oxidize the sub-fin regions.
  • the method also involves removing oxide formed from the oxidizing to provide a plurality of omega-fins having sub-fin regions narrower than corresponding protruding proportions.
  • the plurality of semiconductor fins is a plurality of silicon fins
  • forming the catalyst layer involves forming a layer of Al 2 O 3 conformal with the plurality of silicon fins.
  • oxidizing the outer portions of the sub-fin regions involves exposing the layer of Al 2 O 3 to a combination of hydrogen and oxygen (H 2 /O 2 ).
  • the method further involves, subsequent to providing the plurality of omega-fins, forming a solid state dopant source layer above the semiconductor substrate, conformal with the plurality of omega-fins.
  • the solid state dopant source layer is then recessed to be approximately co-planar with the sub-fin regions of the plurality of omega-fins. Dopants from the solid state dopant source layer are then driven into the sub-fin regions of each of the plurality of omega-fins.
  • forming the solid state dopant source layer involves forming a borosilicate glass (BSG) layer.
  • BSG borosilicate glass
  • forming the solid state dopant source layer involves forming a phosphosilicate glass (PSG) layer or an arsenic silicate glass (AsSG) layer.
  • PSG phosphosilicate glass
  • AsSG arsenic silicate glass
  • the method further involves forming a gate stack conformal with the protruding portions of each of the plurality of omega-fins. Source and drain regions are then formed in the protruding portions of each of the plurality of omega-fins, on either side of the gate stack.

Abstract

Non-planar semiconductor devices having omega-fins with doped sub-fin regions and methods of fabricating non-planar semiconductor devices having omega-fins with doped sub-fin regions are described. For example, a semiconductor device includes a plurality of semiconductor fins disposed above a semiconductor substrate, each semiconductor fin having a sub-fin portion below a protruding portion, the sub-fin portion narrower than the protruding portion. A solid state dopant source layer is disposed above the semiconductor substrate, conformal with the sub-fin region but not the protruding portion of each of the plurality of semiconductor fins. An isolation layer is disposed above the solid state dopant source layer and between the sub-fin regions of the plurality of semiconductor fins. A gate stack is disposed above the isolation layer and conformal with the protruding portions of each of the plurality of semiconductor fins.

Description

    CLAIM OF PRIORITY
  • This patent application is a divisional of U.S. patent application Ser. No. 16/435,301, filed Jun. 7, 2019, which is a divisional of U.S. patent application Ser. No. 15/122,796, filed Aug. 31, 2016, now U.S. Pat. No. 10,355,093, issued Jul. 16, 2019, which is a U.S. National Phase application under 35 U.S.C. § 371 of International Application No. PCT/US2014/044433, filed Jun. 26, 2014, entitled “NON-PLANAR SEMICONDUCTOR DEVICE HAVING OMEGA-FIN WITH DOPED SUB-FIN REGION AND METHOD TO FABRICATE SAME,” the entire contents of which are incorporated herein by reference.
  • TECHNICAL FIELD
  • Embodiments of the invention are in the field of semiconductor devices and processing and, in particular, non-planar semiconductor devices having omega-fins with doped sub-fin regions and methods of fabricating non-planar semiconductor devices having omega-fins with doped sub-fin regions.
  • BACKGROUND
  • For the past several decades, the scaling of features in integrated circuits has been a driving force behind an ever-growing semiconductor industry. Scaling to smaller and smaller features enables increased densities of functional units on the limited real estate of semiconductor chips. For example, shrinking transistor size allows for the incorporation of an increased number of memory or logic devices on a chip, lending to the fabrication of products with increased capacity. The drive for ever-more capacity, however, is not without issue. The necessity to optimize the performance of each device becomes increasingly significant.
  • In the manufacture of integrated circuit devices, multi-gate transistors, such as tri-gate transistors, have become more prevalent as device dimensions continue to scale down. In conventional processes, tri-gate transistors are generally fabricated on either bulk silicon substrates or silicon-on-insulator substrates. In some instances, bulk silicon substrates are preferred due to their lower cost and compatibility with the existing high-yielding bulk silicon substrate infrastructure.
  • Scaling multi-gate transistors has not been without consequence, however. As the dimensions of these fundamental building blocks of microelectronic circuitry are reduced and as the sheer number of fundamental building blocks fabricated in a given region is increased, the constraints on the semiconductor processes used to fabricate these building blocks have become overwhelming.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a cross-sectional view of a portion of a non-planar semiconductor device having outwardly-tapered with doped sub-fin regions.
  • FIG. 1B is a cross-sectional view of a portion of a non-planar semiconductor device having omega-fins with doped sub-fin regions, in accordance with an embodiment of the present invention.
  • FIGS. 2A-2I illustrate cross-sectional view of various operations in an method of fabricating a non-planar semiconductor device having omega-fins with doped sub-fin regions, in accordance with an embodiment of the present invention where:
  • FIG. 2A illustrates a bulk semiconductor substrate having fins etched therein and a catalyst layer formed conformal therewith;
  • FIG. 2B illustrates the structure of FIG. 2A following formation of a mask on and above the plurality of fins;
  • FIG. 2C illustrates the structure of FIG. 2B following recessing of the mask to form a recessed mask;
  • FIG. 2D illustrates the structure of FIG. 2C following removal of exposed portions of the catalyst layer;
  • FIG. 2E illustrates the structure of FIG. 2D following removal of the recessed mask to expose the patterned catalyst layer;
  • FIG. 2F illustrates the structure of FIG. 2E following catalytic oxidation of the sub-fin regions;
  • FIG. 2G illustrates the structure of FIG. 2F following removal of the patterned catalyst layer and the formed oxide layer;
  • FIG. 2H illustrates the structure of FIG. 2G following formation of a solid state dopant source layer and optional capping layer; and
  • FIG. 2I illustrates the structure of FIG. 2H following patterning of the solid state dopant source layer and optional capping layer.
  • FIG. 3A illustrates a cross-sectional view of a non-planar semiconductor device having omega-fins with doped sub-fin regions, in accordance with an embodiment of the present invention.
  • FIG. 3B illustrates a plan view taken along the a-a′ axis of the semiconductor device of FIG. 3A, in accordance with an embodiment of the present invention.
  • FIG. 4 illustrates a computing device in accordance with one implementation of the invention.
  • DESCRIPTION OF THE EMBODIMENTS
  • Non-planar semiconductor devices having omega-fins with doped sub-fin regions and methods of fabricating non-planar semiconductor devices having omega-fins with doped sub-fin regions are described. In the following description, numerous specific details are set forth, such as specific integration and material regimes, in order to provide a thorough understanding of embodiments of the present invention. It will be apparent to one skilled in the art that embodiments of the present invention may be practiced without these specific details. In other instances, well-known features, such as integrated circuit design layouts, are not described in detail in order to not unnecessarily obscure embodiments of the present invention. Furthermore, it is to be understood that the various embodiments shown in the Figures are illustrative representations and are not necessarily drawn to scale.
  • One or more embodiments described herein are directed to approaches for fabricating omega-fins for enhanced sub-fin doping. Applications may include, but are not limited to, sub-10 nm process technology nodes. In one or more embodiments, both a solid-state sub-fin doping source technique and a catalytic oxidation of sub-fin region technique are utilized for semiconductor device fabrication.
  • More specifically, one or more embodiments described herein provide approaches for fabricating omega-fin structures. Such omega-fin structures can facilitate enhanced sub-fin doping in tightly spaced fins for, e.g., sub-10 nm technology nodes. Sub-fin doping may be achieved by depositing boron or phosphorous doped oxides (BSG/PSG) followed by a capping SiN layer. An anneal process is used to drive the dopants from the BSG or PSG layer into the sub-fin. The extent of doping into the sub-fin is directly related to the thickness of the BSG or PSG layer. Additionally, a minimum thickness of SiN may be needed to drive the dopants into the sub-fin instead of dopants escaping into the space between the fins. However, a combination of the minimum required BSG/PSG and SiN thicknesses can render achieving sub doping difficult as the fin pitch is reduced. Although fin pitches can be scaled, the BSG/PSG and SiN thicknesses may not be proportionally scaled, posing a challenge. Embodiments described herein provide for fabrication of a sub-fin width that is reduced relative to the active (protruding) fin portion, resulting in omega-fins. In one such embodiment, the fabrication of omega-fins provides extra space in the sub-fin regions, even after reducing the fin-pitch, for depositing the required BSG/PSG or SiN layer thicknesses.
  • To provide a reference point for some of the concepts involved herein, FIG. 1A is a cross-sectional view of a portion of a non-planar semiconductor device having outwardly-tapered with doped sub-fin regions. Referring to FIG. 1A, a bulk silicon substrate 100 is provided having fins 102 etched therein. The fins 102 are formed directly in the bulk substrate 100 and, as such, are formed continuous with the bulk substrate 100. Each fin 102 may be described as having a sub-fin region 102A and a protruding portion 102B. The protruding portion 102B is ultimately the portion on which a gate electrode is formed. As depicted in FIG. 1A, each sub-fin region 102A is outwardly tapered. A solid state dopant source layer 120 and optional capping layer 122 are confined to the sub-fin regions 102A. The solid state dopant source layer 120 may ultimately be used to dope the sub-fin regions 102A. As described above, due at least in part to the outward taper of the sub-fin regions 102A, the lower portions of the fins 102 and, hence, the solid state dopant source layer 120 and optional capping layer 122, are in close proximity to one another, rendering scaling of such features quite difficult.
  • To provide further context, approaches that have been implemented to address scaling challenges have involved one or more of (a) increasing the dopant concentration in the solid state dopant source layer (e.g., in BSG/PSG) or (b) increasing the density of the capping layer (e.g., SiN) to prevent dopant “escape” to the space between fins. Generally, embodiments described herein enable an opening up of space in the sub-fin region to facilitate deposition the required BSG/PSG and SiN films. In one such embodiment, the process of creating space in the sub-fin region is achieved by selective catalytic oxidation, as is described in greater detail below.
  • Thus, by contrast to FIG. 1A, FIG. 1B is a cross-sectional view of a portion of a non-planar semiconductor device having omega-fins with doped sub-fin regions, in accordance with an embodiment of the present invention. Referring to FIG. 1B, a bulk silicon substrate 150 is provided having omega-fins 152 etched therein. The omega-fins 152 are formed directly in the bulk substrate 150 and, as such, are formed continuous with the bulk substrate 150. Each omega-fin 152 may be described as having a sub-fin region 152A and a protruding portion 152B. The protruding portion 152B is ultimately the portion on which a gate electrode is formed. As depicted in FIG. 1B, each sub-fin region 152A is narrower than the corresponding protruding portion 152B. A solid state dopant source layer 120 and optional capping layer 122 are confined to the sub-fin regions 152A. The solid state dopant source layer 120 may ultimately be used to dope the sub-fin regions 152A. As described above, due at least in part to the omega-fin geometry, the lower portions of the omega-fins 152 and, hence, the solid state dopant source layer 120 and optional capping layer 122, are in not in relative close proximity to one another, rendering scaling of such features feasible.
  • In a particular implementation, a process flow for creating omega-fin structures involves use of an oxidation catalyst layer deposited by atomic layer deposition (ALD) to provide the catalyst on all exposed surfaces of the fin. However, the catalyst may only be needed in the sub-fin regions of a plurality of semiconductor fins. Therefore, a process is described below which protects the catalyst in the sub-fin region, while removing the catalyst from the active fin regions. In one embodiment, this approach is accomplished by using a carbon hard mask (CHM) to fill spaces between fins after catalyst deposition. The CHM is then recessed using, e.g., a dry etch technique to the desired depth. Once the CHM is recessed, the exposed catalyst in the active fin region is removed, e.g., by a wet etch process. The CHM which remains in the spaces in the sub-fin region can be removed by an ash technique, thus leaving the catalyst exposed in the sub-fin region. At this stage, low pressure oxidation can be used to oxidize the silicon in the sub-fin region. The presence of the catalyst in the sub-fin region accelerates the oxidation approximately 10-15 times faster than the active fin region where the catalyst has been previously removed. After the oxidation is performed, the catalyst and the oxide can be removed by wet etch resulting in omega-fin structures.
  • In an exemplary process scheme, FIGS. 2A-2I illustrate cross-sectional view of various operations in a method of fabricating a non-planar semiconductor device having omega-fins with doped sub-fin regions, in accordance with an embodiment of the present invention.
  • Referring to FIG. 2A, a bulk semiconductor substrate 200, such as a bulk single crystalline silicon substrate is provided having fins 202 etched therein.
  • In an embodiment, the fins are formed directly in the bulk substrate 200 and, as such, are formed continuous with the bulk substrate 200. Artifacts remaining from the fabrication of fins 202 may also be present. For example, although not depicted, a hardmask layer, such as a silicon nitride hardmask layer, and a pad oxide layer, such as a silicon dioxide layer, may remain atop fins 202. In one embodiment, the bulk substrate 200 and, hence, the fins 202, are undoped or lightly doped at this stage. For example, in a particular embodiment, the bulk substrate 200 and, hence, the fins 202, have a concentration of less than approximately 1E17 atoms/cm3 of boron dopant impurity atoms. Furthermore, each fin 202 may be described as having a sub-fin region 202A and a protruding portion 202B. The protruding portion 202B is ultimately the portion on which a gate electrode is formed. At this stage, the each sub-fin region 202A may be outwardly tapered as a result of the etch process used to form the fins 202, as is depicted in FIG. 2A.
  • Referring again to FIG. 2A, a catalyst layer 204 is formed conformal with the substrate 200/fins 202 structure. In an embodiment, the catalyst layer is a layer of aluminum oxide (Al2O3). It is to be appreciated that, if present, a hardmask layer and/or a pad oxide layer may remain atop fins 202 at this stage. However, as shown, such a hardmask layer and/or pad oxide layer used in fin formation have been removed prior to the formation of the catalyst layer 204, as is depicted in FIG. 2A.
  • Referring to FIG. 2B, a mask 206 is formed on the structure of FIG. 2A.
  • In an embodiment, the mask 206 is formed to a height sufficient to completely cover the fins 202. The hardmask may be formed to have an essentially planar top surface or may be subjected to a planarization process such as chemical mechanical planarization (CMP). In one embodiment, the mask 206 is or includes a carbon hardmask (CHM) material layer.
  • Referring to FIG. 2C, the mask 206 of FIG. 2B is recessed to a height below the tops of the fins 202, forming a recessed mask 208.
  • In an embodiment, the recessed mask 208 is formed to a level essentially co-planar with the tops of the sub-fin regions 202A, exposing the protruding portions 202B and a portion of the catalyst layer 204, as is depicted in FIG. 2C. In an embodiment, recessing of the mask 206 to form recessed mask 208 is performed by an etch process such as, but not limited to, a plasma, vapor, ashing or wet etch process, or combination thereof. In one embodiment, the mask 206 is a carbon hardmask layer and is recessed using an ashing process based on oxygen.
  • Referring to FIG. 2D, the exposed portions of the catalyst layer 204 are removed to provide a patterned catalyst layer 210 which is confined to regions protected by recessed mask 208.
  • In one such embodiment, the patterned catalyst layer 210 is confined to the sub-fin regions 202A, as is depicted in FIG. 2D. In an embodiment, removal of the exposed portions of the catalysts layer 204 to form the patterned catalyst layer 210 is performed using a wet etch process. In one such embodiment, the catalyst layer is or includes a layer of Al2O3, and the wet etch process is based on hydrofluoric acid (HF). In an embodiment, the wet etch process is selective to the recessed mask 208, as is depicted in FIG. 2D.
  • Referring to FIG. 2E, the recessed mask 208 is completely removed, exposing the patterned catalyst layer 210.
  • In an embodiment, removal of the recessed mask 208 is performed by an etch process such as, but not limited to, a plasma, vapor, ashing or wet etch process, or combination thereof. In one embodiment, the recessed mask 208 is a carbon hardmask layer and is removed using an ashing process based on oxygen. In an embodiment, removal of the recessed mask 208 is performed using a process selective to the patterned catalysts layer 210, preserving the patterned catalyst layer 210, as is depicted in FIG. 2E.
  • Referring to FIG. 2F, using the patterned catalyst layer 210, oxidation of the sub-fin regions 202A of the plurality of fins 202 is performed.
  • In an embodiment, the oxidation is performed by exposing the patterned catalyst layer 210 to a combination of hydrogen and oxygen (H2/O2) under reduced pressure. During the oxidation process, in regions of the fins 202 having the patterned catalyst layer 210 thereon (i.e., the sub-fin regions 202A), the patterned catalyst layer 210 accelerates the oxidation of the underlying/adjacent silicon approximately 10-15 times faster than the oxidation of other portions of the silicon fins (i.e., the oxidation rate of the sub-fin regions 202A is approximately 10-15 times faster than the oxidation rate of the protruding fin portions 202B because of the presence of the patterned catalysts layer 210). Accordingly, such selective catalytic oxidation enables relatively rapid conversion of the sub-fin regions 202A into an oxide layer 212 (such as silicon oxide or silicon dioxide) without significantly oxidizing the protruding portions 202B of the fins 202. Accordingly, in one embodiment, the remaining silicon of the fins 202 provides for omega-fins 214 having sub-fin regions 214A narrower than the overlying protruding fin portions 214B, as is depicted in FIG. 2F. It is to be appreciated that at least some oxidation may occur on the protruding portions 202B of the fins 202; however, the extent of oxidation is negligible as compared to the sub-fin regions 202A.
  • Referring to FIG. 2G, the patterned catalyst layer 210 and the oxide layer 212 are removed to reveal the sub-fin regions 214A and the protruding regions 214B of the omega-fins 214.
  • In one such embodiment, the catalyst layer is or includes a layer of Al2O3, the oxide layer 212 is or includes a layer of SiO2, and the wet etch process is based on hydrofluoric acid (HF). In a particular embodiment, the patterned catalyst layer 210 and the oxide layer 212 are removed in a single wet etch operation. However, in other embodiments, the patterned catalyst layer 210 and the oxide layer 212 are removed in successive wet etch operations.
  • Referring to FIG. 2H, a solid state dopant source layer 216 is formed conformal with the substrate 200/omega-fins 214 structure of FIG. 2G.
  • In a first embodiment, the solid state dopant source layer 216 is a P-type solid state dopant source layer composed of a dielectric layer incorporating P-type dopants therein such as, but not limited to, a P-type doped oxide, nitride or carbide layer. In a specific such embodiment, the P-type solid state dopant source layer is a borosilicate glass layer. The P-type solid state dopant source layer may be formed by a process suitable to provide a conformal layer on the omega-fins 214. For example, in one embodiment, the P-type solid state dopant source layer is formed by a chemical vapor deposition (CVD) process or other deposition process (e.g., ALD, PECVD, PVD, HDP assisted CVD, low temp CVD) as a conformal layer above the entire structure of FIG. 2G. In a particular embodiment, the P-type solid state dopant source layer is a BSG layer having a boron concentration approximately in the range of 0.1-10 weight %.
  • In a second embodiment, the solid state dopant source layer 216 is an N-type solid state dopant source layer composed of a dielectric layer incorporating N-type dopants therein such as, but not limited to, an N-type doped oxide, nitride or carbide layer. In a specific such embodiment, the N-type solid state dopant source layer is a phosphosilicate glass layer or an arsenic silicate glass layer. The N-type solid state dopant source layer may be formed by a process suitable to provide a conformal layer on the omega-fins 214. For example, in one embodiment, the N-type solid state dopant source layer is formed by a chemical vapor deposition (CVD) process or other deposition process (e.g., ALD, PECVD, PVD, HDP assisted CVD, low temp CVD) as a conformal layer above the entire structure of FIG. 2G. In a particular embodiment, the N-type solid state dopant source layer is a PSG layer or an AsSG layer having a phosphorous or arsenic, respectively, concentration approximately in the range of 0.1-10 weight %.
  • In an embodiment, as is also depicted in FIG. 2H, a capping layer 218 is optionally formed on the solid state dopant source layer 216. In one such embodiment, the capping layer 218 is formed as an in situ-formed capping layer to protect the solid state dopant source layer 216 during subsequent exposure to ambient conditions. In a specific embodiment, the capping layer is a nitride layer, such as a silicon nitride layer.
  • Referring to FIG. 2I, the solid state dopant source layer 216 and, if present, the capping layer 218 are patterned to form a patterned solid state dopant source layer 220 and a patterned capping layer 222.
  • In an embodiment, the solid state dopant source layer 216 and the capping layer 218 are patterned by a plasma, vapor or wet etch process. Patterning of the solid state dopant source layer 216 and the capping layer 218 may be performed in a same or different processing operation. Although not depicted, in an embodiment, the patterning involves first formation and then recessing of a dielectric fill layer formed over the structure of FIG. 2H. Such a dielectric fill layer may be recessed to expose protruding portions 214B of the omega-fins 214, while being recessed to a height approximately the same as the height of the sub-fin regions 214A. The solid state dopant source layer 216 and the capping layer 218 are sequentially of simultaneously recessed to approximately the same level as the dielectric fill layer. Accordingly, in one embodiment, the resulting patterned solid state dopant source layer 220 is confined to the sub-fin regions 214A of the plurality of omega-fins 214, as is depicted in FIG. 2I.
  • In an embodiment, subsequent to forming the patterned solid state dopant source layer 220 and the optional patterned capping layer 222, a drive-in anneal is performed to provide doped sub-fin regions 214A of the omega-fins 214. More particularly, upon heating, dopants from the patterned solid state dopant source layer 220, such as boron, phosphorous or arsenic dopant atoms, are diffused into the sub-fin regions 214A. The diffusion may also lead to doping within the bulk substrate portion 200, where adjacent fins 214 share a common doped region in the bulk substrate 200. In this manner, the protruding portions 214B of omega-fins 214 essentially retain the doping profile of the original bulk substrate 200 and fins 202 described in association with FIG. 2A. As a result, a doping profile interface may exist between the protruding portions 214B and the doped sub-fin regions (214A as now doped). In one such embodiment, the interface represents a doping concentration step or rapid gradient change where the doped sub-fin regions have a total dopant concentration of 2E18 atoms/cm3 or greater, while the protruding portions 214B have a total dopant concentration significantly less than 2E18 atoms/cm3, e.g., of approximately 5E17 atoms/cm3 or less. In an embodiment, the doped sub-fin regions are doped across the entire sub-fin region. In an embodiment, the drive-in operation is performed at a temperature approximately in the range of 800-1050 degrees Celsius.
  • Thus, one or embodiments described herein include use of a solid source doping layer (e.g., BSG, PSG or AsSG) deposited on fins subsequent to fin etch. Later, after a trench fill and polish, the doping layer is recessed along with the trench fill material to define the fin height (HSi) for the device. The operation removes the doping layer from the fin sidewalls above HSi. Therefore, the doping layer is present only along the fin sidewalls in the sub-fin region which ensures precise control of doping placement. After a drive-in anneal, high doping is limited to the sub-fin region, quickly transitioning to low doping in the adjacent region of the fin above HSi (which forms the channel region of the transistor).
  • In general, referring again to FIGS. 2A-2I, in an embodiment, borosilicate glass (BSG) is implemented for NMOS fin doping, while a phosphosilicate (PSG) or arsenic-silicate glass (AsSG) layer is implemented for PMOS fin doping. It is to be appreciated that, in an embodiment, a process scheme that involves both NMOS fin doping and PMOS fin doping for different respective fins on a common substrate may add some integration complexity but is well within the spirit and scope of embodiments of the present invention.
  • Referring more generally to FIGS. 1A, 1B and 2A-2I, one or more embodiments described herein is directed to a process to selectively dope sub-fin regions of tri-gate or FinFET transistors fabricated on bulk silicon wafers, e.g., by way of tri-gate doped glass sub-fin out-diffusion. For example, described above is a process to selectively dope a sub-fin region of tri-gate or FinFET transistors to mitigate sub-fin leakage while simultaneously keeping fin doping low. Incorporation of a solid state doping sources (e.g., p-type and n-type doped oxides, nitrides or carbides) into the transistor process flow, which after being recessed from the fin sidewalls, delivers well doping into the sub-fin region while keeping the fin body relatively undoped. Additionally, in an embodiment, one or more approaches described herein enables self-alignment of the bottom of an active portion of a bulk fin with a doping boundary between the active portion and the remaining bulk portion (e.g., the portion below the gate-controlled region).
  • For example, it may be desirable to use bulk silicon for fins or trigate. However, there is a concern that regions (sub-fin) below the active silicon fin portion of the device (e.g., the gate-controlled region, or HSi) is under diminished or no gate control. As such, if source or drain regions are at or below the HSi point, then leakage pathways may exist through the sub-fin region. In accordance with an embodiment of the present invention, in order to address the above issues, sufficient doping is provided through sub-fin doping without necessarily delivering the same level of doping to the HSi portions of the fins.
  • To provide further context, conventional approaches to addressing the above issues have involved the use of well implant operations, where the sub-fin region is heavily doped (e.g., much greater than 2E18/cm3), which shuts off sub-fin leakage but leads to substantial doping in the fin as well. The addition of halo implants further increases fin doping such that end of line fins are doped at a high level (e.g., greater than approximately 1E18/cm3). By contrast, one or more embodiments described herein provide low doping in the fin which may be beneficial since higher current drive is enabled by improving carrier mobility, which is otherwise degraded by ionized impurity scattering for high doped channel devices. Furthermore, since random variation of threshold voltage (Vt) is directly proportional to the square root of doping density, low doped devices also have the advantage of lowering the random mismatch in Vt. This enables products to operate at lower voltages without functional failures. At the same time, the region just below the fin (i.e. the sub-fin) must be highly doped in order to prevent sub-fin source-drain leakage. Conventional implant steps used to deliver this doping to the sub-fin region also dope the fin region substantially, making it impossible to achieve low doped fins and suppress sub-fin leakage at same time.
  • It is to be appreciated that the structures resulting from the above exemplary processing scheme, e.g., structures from FIG. 2I, may be used in a same or similar form for subsequent processing operations to complete device fabrication, such as PMOS and NMOS device fabrication. As an example of a completed device, FIGS. 3A and 3B illustrate a cross-sectional view and a plan view (taken along the a-a′ axis of the cross-sectional view), respectively, of a non-planar semiconductor device having omega-fins with doped sub-fin regions, in accordance with an embodiment of the present invention.
  • Referring to FIG. 3A, a semiconductor structure or device 300 includes a non-planar active region (e.g., a fin structure including protruding fin portion 304 and sub-fin region 305) formed from substrate 302, and within isolation region 306. In accordance with an embodiment of the present invention, the sub-fin regions 305 are narrower than the corresponding protruding portions 304 and, as such, provide for an omega-fin geometry for the fins. Furthermore, in an embodiment, a solid state dopant source layer 390 and optional capping layer 392 may be retained in the structure, along the sidewalls of the sub-fin regions 305, corresponding to embodiments described above.
  • In one embodiment, each of the plurality of semiconductor fins 304/305 has an omega-fin geometry, as is depicted in FIG. 3A. In one embodiment, the protruding portion 304 of each of the plurality of semiconductor fins has a width of approximately 10 nanometers or less. In one embodiment, the solid state dopant source layer 390 has a top surface approximately co-planar with an interface between the sub-fin portion 305 and the protruding portion 304 of each of the plurality of semiconductor fins, as is depicted in FIG. 3A. In one embodiment, the isolation layer 306 has a top surface approximately co-planar with an interface between the sub-fin portion 305 and the protruding portion 304 of each of the plurality of semiconductor fins, as is depicted in FIG. 3A. In one embodiment, the solid state dopant source layer 390 is a borosilicate glass (BSG) layer. In one embodiment, the solid state dopant source layer 390 is a phosphosilicate glass (PSG) layer or an arsenic silicate glass (AsSG) layer. In one embodiment, the capping layer 392 is composed of silicon nitride. In one embodiment, the capping layer 392 has a top surface approximately co-planar with an interface between the sub-fin portion 305 and the protruding portion 304 of each of the plurality of semiconductor fins, as is depicted in FIG. 3A.
  • As is also depicted in FIG. 3A, in an embodiment, an interface 380 exists between the doping profile of protruding fin portion 304 and sub-fin region 305. The interface 380 can be a transition region that is relatively abrupt. One or more embodiments confine, or essentially confine, dopants from a doping process to a sub-fin region of a semiconductor device. As an example, the transition of doping concentration can drop quickly from the sub-fin region to the protruding fin region. In one such embodiment, the transition is essentially immediate with a dopant concentration of less than approximately 5E17 atoms/cm3 for each of the protruding portions and of greater than approximately 2E18 atoms/cm3 for the corresponding sub-fin regions. Furthermore, substrate portions below the sub-fin regions 305 may be doped, in one sense forming well regions. In one embodiment, the lower portions of the substrate 302 are doped at least in part by downward diffusion from a solid state doping source (such as layer 390) into the underlying substrate.
  • Referring again to FIG. 3A, a gate line 308 is disposed over the protruding portions 304 of the non-planar active region as well as over a portion of the isolation region 306. As shown, gate line 308 includes a gate electrode 350 and a gate dielectric layer 352. In one embodiment, gate line 308 may also include a dielectric cap layer 354. A gate contact 314, and overlying gate contact via 316 are also seen from this perspective, along with an overlying metal interconnect 360, all of which are disposed in inter-layer dielectric stacks or layers 370. Also seen from the perspective of FIG. 3A, the gate contact 314 is, in one embodiment, disposed over isolation region 306, but not over the non-planar active regions.
  • Referring to FIG. 3B, the gate line 308 is shown as disposed over the protruding fin portions 304. Source and drain regions 304A and 304B of the protruding fin portions 304 can be seen from this perspective. In one embodiment, the source and drain regions 304A and 304B are doped portions of original material of the protruding fin portions 304. In another embodiment, the material of the protruding fin portions 304 is removed and replaced with another semiconductor material, e.g., by epitaxial deposition. In either case, the source and drain regions 304A and 304B may extend below the height of dielectric layer 306, i.e., into the sub-fin region 305. In accordance with an embodiment of the present invention, the more heavily doped sub-fin regions 305, i.e., the doped portions of the fins below interface 380, inhibits source to drain leakage through this portion of the bulk semiconductor fins.
  • In an embodiment, the semiconductor structure or device 300 is a non-planar device such as, but not limited to, a fin-FET or a tri-gate device. In such an embodiment, a corresponding semiconducting channel region is composed of or is formed in a three-dimensional body. In one such embodiment, the gate electrode stacks of gate lines 308 surround at least a top surface and a pair of sidewalls of the three-dimensional body, as is depicted in FIG. 3A.
  • Substrate 302 may be composed of a semiconductor material that can withstand a manufacturing process and in which charge can migrate. In an embodiment, substrate 302 is a bulk substrate composed of a crystalline silicon, silicon/germanium or germanium layer doped with a charge carrier, such as but not limited to phosphorus, arsenic, boron or a combination thereof, to form active region 304. In one embodiment, the concentration of silicon atoms in bulk substrate 302 is greater than 97%. In another embodiment, bulk substrate 302 is composed of an epitaxial layer grown atop a distinct crystalline substrate, e.g. a silicon epitaxial layer grown atop a boron-doped bulk silicon mono-crystalline substrate. Bulk substrate 302 may alternatively be composed of a group III-V material. In an embodiment, bulk substrate 302 is composed of a III-V material such as, but not limited to, gallium nitride, gallium phosphide, gallium arsenide, indium phosphide, indium antimonide, indium gallium arsenide, aluminum gallium arsenide, indium gallium phosphide, or a combination thereof. In one embodiment, bulk substrate 302 is composed of a III-V material and the charge-carrier dopant impurity atoms are ones such as, but not limited to, carbon, silicon, germanium, oxygen, sulfur, selenium or tellurium.
  • Isolation region 306 may be composed of a material suitable to ultimately electrically isolate, or contribute to the isolation of, portions of a permanent gate structure from an underlying bulk substrate or isolate active regions formed within an underlying bulk substrate, such as isolating fin active regions. For example, in one embodiment, the isolation region 306 is composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxy-nitride, silicon nitride, or carbon-doped silicon nitride.
  • Gate line 308 may be composed of a gate electrode stack which includes a gate dielectric layer 352 and a gate electrode layer 350. In an embodiment, the gate electrode of the gate electrode stack is composed of a metal gate and the gate dielectric layer is composed of a high-K material. For example, in one embodiment, the gate dielectric layer is composed of a material such as, but not limited to, hafnium oxide, hafnium oxy-nitride, hafnium silicate, lanthanum oxide, zirconium oxide, zirconium silicate, tantalum oxide, barium strontium titanate, barium titanate, strontium titanate, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, lead zinc niobate, or a combination thereof. Furthermore, a portion of gate dielectric layer may include a layer of native oxide formed from the top few layers of the substrate 302. In an embodiment, the gate dielectric layer is composed of a top high-k portion and a lower portion composed of an oxide of a semiconductor material. In one embodiment, the gate dielectric layer is composed of a top portion of hafnium oxide and a bottom portion of silicon dioxide or silicon oxy-nitride.
  • In one embodiment, the gate electrode is composed of a metal layer such as, but not limited to, metal nitrides, metal carbides, metal silicides, metal aluminides, hafnium, zirconium, titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt, nickel or conductive metal oxides. In a specific embodiment, the gate electrode is composed of a non-workfunction-setting fill material formed above a metal workfunction-setting layer.
  • Although not depicted, spacers associated with the gate electrode stacks may be composed of a material suitable to ultimately electrically isolate, or contribute to the isolation of, a permanent gate structure from adjacent conductive contacts, such as self-aligned contacts. For example, in one embodiment, the spacers are composed of a dielectric material such as, but not limited to, silicon dioxide, silicon oxy-nitride, silicon nitride, or carbon-doped silicon nitride.
  • Gate contact 314 and overlying gate contact via 316 may be composed of a conductive material. In an embodiment, one or more of the contacts or vias are composed of a metal species. The metal species may be a pure metal, such as tungsten, nickel, or cobalt, or may be an alloy such as a metal-metal alloy or a metal-semiconductor alloy (e.g., such as a silicide material).
  • In an embodiment (although not shown), providing structure 300 involves formation of a contact pattern which is essentially perfectly aligned to an existing gate pattern while eliminating the use of a lithographic step with exceedingly tight registration budget. In one such embodiment, this approach enables the use of intrinsically highly selective wet etching (e.g., versus conventionally implemented dry or plasma etching) to generate contact openings. In an embodiment, a contact pattern is formed by utilizing an existing gate pattern in combination with a contact plug lithography operation. In one such embodiment, the approach enables elimination of the need for an otherwise critical lithography operation to generate a contact pattern, as used in conventional approaches. In an embodiment, a trench contact grid is not separately patterned, but is rather formed between poly (gate) lines. For example, in one such embodiment, a trench contact grid is formed subsequent to gate grating patterning but prior to gate grating cuts.
  • Furthermore, the gate stack structure 308 may be fabricated by a replacement gate process. In such a scheme, dummy gate material such as polysilicon or silicon nitride pillar material, may be removed and replaced with permanent gate electrode material. In one such embodiment, a permanent gate dielectric layer is also formed in this process, as opposed to being carried through from earlier processing. In an embodiment, dummy gates are removed by a dry etch or wet etch process. In one embodiment, dummy gates are composed of polycrystalline silicon or amorphous silicon and are removed with a dry etch process including use of SF6. In another embodiment, dummy gates are composed of polycrystalline silicon or amorphous silicon and are removed with a wet etch process including use of aqueous NH4OH or tetramethylammonium hydroxide. In one embodiment, dummy gates are composed of silicon nitride and are removed with a wet etch including aqueous phosphoric acid.
  • In an embodiment, one or more approaches described herein contemplate essentially a dummy and replacement gate process in combination with a dummy and replacement contact process to arrive at structure 300. In one such embodiment, the replacement contact process is performed after the replacement gate process to allow high temperature anneal of at least a portion of the permanent gate stack. For example, in a specific such embodiment, an anneal of at least a portion of the permanent gate structures, e.g., after a gate dielectric layer is formed, is performed at a temperature greater than approximately 600 degrees Celsius. The anneal is performed prior to formation of the permanent contacts.
  • Referring again to FIG. 3A, the arrangement of semiconductor structure or device 300 places the gate contact over isolation regions. Such an arrangement may be viewed as inefficient use of layout space. In another embodiment, however, a semiconductor device has contact structures that contact portions of a gate electrode formed over an active region. In general, prior to (e.g., in addition to) forming a gate contact structure (such as a via) over an active portion of a gate and in a same layer as a trench contact via, one or more embodiments of the present invention include first using a gate aligned trench contact process. Such a process may be implemented to form trench contact structures for semiconductor structure fabrication, e.g., for integrated circuit fabrication. In an embodiment, a trench contact pattern is formed as aligned to an existing gate pattern. By contrast, conventional approaches typically involve an additional lithography process with tight registration of a lithographic contact pattern to an existing gate pattern in combination with selective contact etches. For example, a conventional process may include patterning of a poly (gate) grid with separate patterning of contact features.
  • It is to be appreciated that not all aspects of the processes described above need be practiced to fall within the spirit and scope of embodiments of the present invention. For example, in one embodiment, dummy gates need not ever be formed prior to fabricating gate contacts over active portions of the gate stacks. The gate stacks described above may actually be permanent gate stacks as initially formed. Also, the processes described herein may be used to fabricate one or a plurality of semiconductor devices. The semiconductor devices may be transistors or like devices. For example, in an embodiment, the semiconductor devices are a metal-oxide semiconductor (MOS) transistors for logic or memory, or are bipolar transistors. Also, in an embodiment, the semiconductor devices have a three-dimensional architecture, such as a trigate device, an independently accessed double gate device, or a FIN-FET. One or more embodiments may be particularly useful for fabricating semiconductor devices at a 10 nanometer (10 nm) or smaller (such as 7 nm) technology node.
  • FIG. 4 illustrates a computing device 400 in accordance with one implementation of the invention. The computing device 400 houses a board 402. The board 402 may include a number of components, including but not limited to a processor 404 and at least one communication chip 406. The processor 404 is physically and electrically coupled to the board 402. In some implementations the at least one communication chip 406 is also physically and electrically coupled to the board 402. In further implementations, the communication chip 406 is part of the processor 404.
  • Depending on its applications, computing device 400 may include other components that may or may not be physically and electrically coupled to the board 402. These other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touchscreen display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).
  • The communication chip 406 enables wireless communications for the transfer of data to and from the computing device 400. The term “wireless” and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not. The communication chip 406 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond. The computing device 400 may include a plurality of communication chips 406. For instance, a first communication chip 406 may be dedicated to shorter range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 406 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
  • The processor 404 of the computing device 400 includes an integrated circuit die packaged within the processor 404. In some implementations of embodiments of the invention, the integrated circuit die of the processor includes one or more devices, such as MOS-FET transistors built in accordance with implementations of the invention. The term “processor” may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • The communication chip 406 also includes an integrated circuit die packaged within the communication chip 406. In accordance with another implementation of the invention, the integrated circuit die of the communication chip includes one or more devices, such as MOS-FET transistors built in accordance with implementations of the invention.
  • In further implementations, another component housed within the computing device 400 may contain an integrated circuit die that includes one or more devices, such as MOS-FET transistors built in accordance with implementations of embodiments of the invention.
  • In various embodiments, the computing device 400 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder. In further implementations, the computing device 400 may be any other electronic device that processes data.
  • Thus, embodiments of the present invention include non-planar semiconductor devices having omega-fins with doped sub-fin regions and methods of fabricating non-planar semiconductor devices having omega-fins with doped sub-fin regions.
  • In an embodiment, a semiconductor device includes a plurality of semiconductor fins disposed above a semiconductor substrate, each semiconductor fin having a sub-fin portion below a protruding portion, the sub-fin portion narrower than the protruding portion. A solid state dopant source layer is disposed above the semiconductor substrate, conformal with the sub-fin region but not the protruding portion of each of the plurality of semiconductor fins. An isolation layer is disposed above the solid state dopant source layer and between the sub-fin regions of the plurality of semiconductor fins. A gate stack is disposed above the isolation layer and conformal with the protruding portions of each of the plurality of semiconductor fins, the gate stack including a gate dielectric layer and gate electrode. Source and drain regions are disposed in the protruding portions of each of the plurality of semiconductor fins, on either side of the gate stack.
  • In one embodiment, each of the plurality of semiconductor fins has an omega-fin geometry.
  • In one embodiment, the protruding portion of each of the plurality of semiconductor fins has a width of approximately 10 nanometers or less.
  • In one embodiment, the solid state dopant source layer has a top surface approximately co-planar with an interface between the sub-fin portion and the protruding portion of each of the plurality of semiconductor fins.
  • In one embodiment, the isolation layer has a top surface approximately co-planar with an interface between the sub-fin portion and the protruding portion of each of the plurality of semiconductor fins.
  • In one embodiment, the solid state dopant source layer is a borosilicate glass (BSG) layer.
  • In one embodiment, the solid state dopant source layer is a phosphosilicate glass (PSG) layer or an arsenic silicate glass (AsSG) layer.
  • In one embodiment, the semiconductor device further includes a capping layer disposed on and conformal with the solid state dopant source layer. The isolation layer is disposed on the capping layer.
  • In one embodiment, the capping layer is composed of silicon nitride and has a top surface approximately co-planar with an interface between the sub-fin portion and the protruding portion of each of the plurality of semiconductor fins.
  • In one embodiment, the semiconductor device further includes a dopant concentration interface between each protruding portion and corresponding sub-fin portion of each of the plurality of semiconductor fins.
  • In one embodiment, the dopant concentration interface is an abrupt transition of less than approximately 5E17 atoms/cm3 for each protruding portion and of greater than approximately 2E18 atoms/cm3 for the corresponding sub-fin portion of each of the plurality of semiconductor fins.
  • In one embodiment, the plurality of semiconductor fins disposed above the semiconductor substrate is a plurality of single crystalline silicon fins continuous with a bulk single crystalline silicon substrate.
  • In an embodiment, a semiconductor device includes a plurality of semiconductor fins disposed above a semiconductor substrate, each semiconductor fin having a sub-fin portion below a protruding portion, the sub-fin portion narrower than the protruding portion. A dopant concentration interface is between each protruding portion and corresponding sub-fin portion of each of the plurality of semiconductor fins. An isolation layer is disposed between the sub-fin regions of the plurality of semiconductor fins. A gate stack is disposed above the isolation layer and is conformal with the protruding portions of each of the plurality of semiconductor fins, the gate stack including a gate dielectric layer and gate electrode. Source and drain regions are disposed in the protruding portions of each of the plurality of semiconductor fins, on either side of the gate stack.
  • In one embodiment, each of the plurality of semiconductor fins has an omega-fin geometry.
  • In one embodiment, the protruding portion of each of the plurality of semiconductor fins has a width of approximately 10 nanometers or less.
  • In one embodiment, the isolation layer has a top surface approximately co-planar with an interface between the sub-fin portion and the protruding portion of each of the plurality of semiconductor fins.
  • In one embodiment, the dopant concentration interface is an abrupt transition of less than approximately 5E17 atoms/cm3 for each protruding portion and of greater than approximately 2E18 atoms/cm3 for the corresponding sub-fin portion of each of the plurality of semiconductor fins.
  • In one embodiment, the plurality of semiconductor fins disposed above the semiconductor substrate is a plurality of single crystalline silicon fins continuous with a bulk single crystalline silicon substrate.
  • In an embodiment, a method of fabricating a semiconductor device involves forming a plurality of semiconductor fins above a semiconductor substrate. The method also involves forming a catalyst layer above the semiconductor substrate, conformal with the plurality of semiconductor fins. The method also involves forming a mask above the catalyst layer. The method also involves recessing the mask and the catalyst layer to approximately a same level below a top surface of the plurality of semiconductor fins, exposing protruding portions of each of the plurality of semiconductor fins above sub-fin regions of each of the plurality of semiconductor fins. The method also involves oxidizing outer portions of the sub-fin regions of each of the plurality of semiconductor fins using the catalyst layer to catalytically oxidize the sub-fin regions. The method also involves removing oxide formed from the oxidizing to provide a plurality of omega-fins having sub-fin regions narrower than corresponding protruding proportions.
  • In one embodiment, the plurality of semiconductor fins is a plurality of silicon fins, and forming the catalyst layer involves forming a layer of Al2O3 conformal with the plurality of silicon fins.
  • In one embodiment, oxidizing the outer portions of the sub-fin regions involves exposing the layer of Al2O3 to a combination of hydrogen and oxygen (H2/O2).
  • In one embodiment, the method further involves, subsequent to providing the plurality of omega-fins, forming a solid state dopant source layer above the semiconductor substrate, conformal with the plurality of omega-fins. The solid state dopant source layer is then recessed to be approximately co-planar with the sub-fin regions of the plurality of omega-fins. Dopants from the solid state dopant source layer are then driven into the sub-fin regions of each of the plurality of omega-fins.
  • In one embodiment, forming the solid state dopant source layer involves forming a borosilicate glass (BSG) layer.
  • In one embodiment, forming the solid state dopant source layer involves forming a phosphosilicate glass (PSG) layer or an arsenic silicate glass (AsSG) layer.
  • In one embodiment, the method further involves forming a gate stack conformal with the protruding portions of each of the plurality of omega-fins. Source and drain regions are then formed in the protruding portions of each of the plurality of omega-fins, on either side of the gate stack.

Claims (23)

What is claimed is:
1. A method of fabricating an integrated structure, the method comprising:
forming a first fin comprising a silicon material, the first fin having a lower fin portion and an upper fin portion and a shoulder feature at a region between the lower fin portion and the upper fin portion;
forming a second fin comprising the silicon material, the second fin having a lower fin portion and an upper fin portion and a shoulder feature at a region between the lower fin portion and the upper fin portion;
forming a layer comprising a phosphosilicate glass (PSG), the layer comprising the PSG directly on sidewalls of the lower fin portion of the first fin and directly on sidewalls of the lower fin portion of the second fin, the layer comprising the PSG having a first end portion substantially co-planar with the shoulder feature of the first fin, and the layer comprising the PSG having a second end portion substantially co-planar with the shoulder feature of the second fin;
forming an insulating layer comprising nitrogen, the insulating layer directly on the layer comprising the PSG;
forming a dielectric fill material directly laterally adjacent to the insulating layer; and
forming a gate electrode over a top of and laterally adjacent to sidewalls of the upper fin portion of the first fin, and the gate electrode over a top of and laterally adjacent to sidewalls of the upper fin portion of the second fin, and the gate electrode over the dielectric fill material between the first fin and the second fin.
2. The method of claim 1, wherein the lower fin portion of the first fin has a width different than a width of the upper fin portion of the first fin at the region between the lower fin portion and the upper fin portion of the first fin, and wherein the lower fin portion of the second fin has a width different than a width of the upper fin portion of the second fin at the region between the lower fin portion and the upper fin portion of the second fin.
3. The method of claim 2, wherein the width of the lower fin portion of the first fin is less than the width of the upper fin portion of the first fin, and wherein the width of the lower fin portion of the second fin is less than the width of the upper fin portion of the second fin.
4. The method of claim 1, wherein the layer comprising the PSG has a phosphorous concentration in the range of 0.1-10 weight %.
5. A method of fabricating an integrated structure, the method comprising:
forming a first fin comprising a silicon material, the first fin having a lower fin portion and an upper fin portion and a shoulder feature at a region between the lower fin portion and the upper fin portion;
forming a second fin comprising the silicon material, the second fin having a lower fin portion and an upper fin portion and a shoulder feature at a region between the lower fin portion and the upper fin portion;
forming a dielectric layer comprising an N-type dopant, the dielectric layer directly on sidewalls of the lower fin portion of the first fin and directly on sidewalls of the lower fin portion of the second fin, the dielectric layer having a first end portion substantially co-planar with the shoulder feature of the first fin, and the dielectric layer having a second end portion substantially co-planar with the shoulder feature of the second fin;
forming an insulating layer comprising nitrogen, the insulating layer directly on the dielectric layer;
forming a dielectric fill material directly laterally adjacent to the insulating layer; and
forming a gate electrode over a top of and laterally adjacent to sidewalls of the upper fin portion of the first fin, and the gate electrode over a top of and laterally adjacent to sidewalls of the upper fin portion of the second fin, and the gate electrode over the dielectric fill material between the first fin and the second fin.
6. The method of claim 5, wherein the lower fin portion of the first fin has a width different than a width of the upper fin portion of the first fin at the region between the lower fin portion and the upper fin portion of the first fin, and wherein the lower fin portion of the second fin has a width different than a width of the upper fin portion of the second fin at the region between the lower fin portion and the upper fin portion of the second fin.
7. The method of claim 6, wherein the width of the lower fin portion of the first fin is less than the width of the upper fin portion of the first fin, and wherein the width of the lower fin portion of the second fin is less than the width of the upper fin portion of the second fin.
8. The method of claim 5, wherein the Ntype dopant is phosphorous, and wherein the dielectric layer has a phosphorous concentration in the range of 0.1-10 weight %.
9. The method of claim 5, wherein the Ntype dopant is arsenic, and wherein the dielectric layer has an arsenic concentration in the range of 0.1-10 weight %.
10. A method of fabricating a computing device, the method comprising:
providing a board; and
coupling a component coupled to the board, the component including an integrated circuit structure, comprising:
forming a first fin comprising a silicon material, the first fin having a lower fin portion and an upper fin portion and a shoulder feature at a region between the lower fin portion and the upper fin portion;
forming a second fin comprising the silicon material, the second fin having a lower fin portion and an upper fin portion and a shoulder feature at a region between the lower fin portion and the upper fin portion;
forming a layer comprising a phosphosilicate glass (PSG), the layer comprising the PSG directly on sidewalls of the lower fin portion of the first fin and directly on sidewalls of the lower fin portion of the second fin, the layer comprising the PSG having a first end portion substantially co-planar with the shoulder feature of the first fin, and the layer comprising the PSG having a second end portion substantially co-planar with the shoulder feature of the second fin;
forming an insulating layer comprising nitrogen, the insulating layer directly on the layer comprising the PSG;
forming a dielectric fill material directly laterally adjacent to the insulating layer; and
forming a gate electrode over a top of and laterally adjacent to sidewalls of the upper fin portion of the first fin, and the gate electrode over a top of and laterally adjacent to sidewalls of the upper fin portion of the second fin, and the gate electrode over the dielectric fill material between the first fin and the second fin.
11. The method of claim 10, further comprising:
coupling a memory coupled to the board.
12. The method of claim 10, further comprising:
coupling a communication chip coupled to the board.
13. The method of claim 10, further comprising:
coupling a camera coupled to the board.
14. The method of claim 10, further comprising:
coupling a battery coupled to the board.
15. The method of claim 10, further comprising:
coupling an antenna coupled to the board.
16. The method of claim 10, wherein the component is a packaged integrated circuit die.
17. A method of fabricating a computing device, the method comprising:
providing a board; and
coupling a component coupled to the board, the component including an integrated circuit structure, comprising:
forming a first fin comprising a silicon material, the first fin having a lower fin portion and an upper fin portion and a shoulder feature at a region between the lower fin portion and the upper fin portion;
forming a second fin comprising the silicon material, the second fin having a lower fin portion and an upper fin portion and a shoulder feature at a region between the lower fin portion and the upper fin portion;
forming a dielectric layer comprising an N-type dopant, the dielectric layer directly on sidewalls of the lower fin portion of the first fin and directly on sidewalls of the lower fin portion of the second fin, the dielectric layer having a first end portion substantially coplanar with the shoulder feature of the first fin, and the dielectric layer having a second end portion substantially co-planar with the shoulder feature of the second fin;
forming an insulating layer comprising nitrogen, the insulating layer directly on the dielectric layer;
forming a dielectric fill material directly laterally adjacent to the insulating layer; and
forming a gate electrode over a top of and laterally adjacent to sidewalls of the upper fin portion of the first fin, and the gate electrode over a top of and laterally adjacent to sidewalls of the upper fin portion of the second fin, and the gate electrode over the dielectric fill material between the first fin and the second fin.
18. The method of claim 17, further comprising:
coupling a memory coupled to the board.
19. The method of claim 17, further comprising:
coupling a communication chip coupled to the board.
20. The method of claim 17, further comprising:
coupling a camera coupled to the board.
21. The method of claim 17, further comprising:
coupling a battery coupled to the board.
22. The method of claim 17, further comprising:
coupling an antenna coupled to the board.
23. The method of claim 17, wherein the component is a packaged integrated circuit die.
US17/569,376 2014-06-26 2022-01-05 Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same Pending US20220130962A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/569,376 US20220130962A1 (en) 2014-06-26 2022-01-05 Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
PCT/US2014/044433 WO2015199705A1 (en) 2014-06-26 2014-06-26 Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
US201615122796A 2016-08-31 2016-08-31
US16/435,301 US11276760B2 (en) 2014-06-26 2019-06-07 Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
US17/569,376 US20220130962A1 (en) 2014-06-26 2022-01-05 Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/435,301 Division US11276760B2 (en) 2014-06-26 2019-06-07 Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same

Publications (1)

Publication Number Publication Date
US20220130962A1 true US20220130962A1 (en) 2022-04-28

Family

ID=54938615

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/122,796 Active US10355093B2 (en) 2014-06-26 2014-06-26 Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
US16/435,301 Active US11276760B2 (en) 2014-06-26 2019-06-07 Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
US17/569,376 Pending US20220130962A1 (en) 2014-06-26 2022-01-05 Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US15/122,796 Active US10355093B2 (en) 2014-06-26 2014-06-26 Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
US16/435,301 Active US11276760B2 (en) 2014-06-26 2019-06-07 Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same

Country Status (8)

Country Link
US (3) US10355093B2 (en)
EP (2) EP3161869B1 (en)
JP (1) JP6411550B2 (en)
KR (3) KR20220144860A (en)
CN (2) CN106463536B (en)
MY (1) MY188387A (en)
TW (2) TWI565075B (en)
WO (1) WO2015199705A1 (en)

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017003411A1 (en) 2015-06-27 2017-01-05 Intel Corporation Multi-height finfet device by selective oxidation
WO2017044117A1 (en) 2015-09-11 2017-03-16 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
US9640400B1 (en) * 2015-10-15 2017-05-02 Applied Materials, Inc. Conformal doping in 3D si structure using conformal dopant deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) * 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9917085B2 (en) * 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
WO2017218014A1 (en) * 2016-06-17 2017-12-21 Intel Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9881918B1 (en) 2016-09-30 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Forming doped regions in semiconductor strips
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10002793B1 (en) 2017-03-21 2018-06-19 Globalfoundries Inc. Sub-fin doping method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10170555B1 (en) * 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Intermetallic doping film with diffusion in source/drain
CN109273360B (en) 2017-07-17 2021-07-20 联华电子股份有限公司 Method for manufacturing semiconductor device
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10461196B2 (en) * 2017-07-28 2019-10-29 Globalfoundries Inc. Control of length in gate region during processing of VFET structures
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (en) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. Devices with clean compact zones
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11205708B2 (en) 2018-04-02 2021-12-21 Intel Corporation Dual self-aligned gate endcap (SAGE) architectures
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11923438B2 (en) * 2021-09-21 2024-03-05 International Business Machines Corporation Field-effect transistor with punchthrough stop region

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150044829A1 (en) * 2013-08-09 2015-02-12 Samsung Electronics Co., Ltd. Methods of Fabricating Semiconductor Devices Having Punch-Through Stopping Regions
US20160056156A1 (en) * 2013-06-20 2016-02-25 Intel Corporation Non-planar semiconductor device having doped sub-fin region and method to fabricate same

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE112097T1 (en) 1988-04-26 1994-10-15 Siemens Ag PROCESS FOR MANUFACTURING BORONIC AND/OR PHOSPHORUS-CONTAINING SILICATE GLASS COATINGS FOR VERY HIGHLY INTEGRATED SEMICONDUCTOR CIRCUITS.
US6008103A (en) * 1998-02-27 1999-12-28 Siemens Aktiengesellschaft Method for forming trench capacitors in an integrated circuit
JP2002217379A (en) 2001-01-23 2002-08-02 Sanken Electric Co Ltd Method of manufacturing semiconductor device
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US6902982B2 (en) * 2003-04-02 2005-06-07 Promos Technologies Inc. Trench capacitor and process for preventing parasitic leakage
US7863674B2 (en) * 2003-09-24 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
KR100532353B1 (en) 2004-03-11 2005-11-30 삼성전자주식회사 FinFET and Method of manufacturing the same
JP4551811B2 (en) * 2005-04-27 2010-09-29 株式会社東芝 Manufacturing method of semiconductor device
US7407847B2 (en) * 2006-03-31 2008-08-05 Intel Corporation Stacked multi-gate transistor design and method of fabrication
JP4271210B2 (en) * 2006-06-30 2009-06-03 株式会社東芝 Field effect transistor, integrated circuit device, and manufacturing method thereof
KR100858882B1 (en) * 2007-03-19 2008-09-17 주식회사 하이닉스반도체 Method for manufacturing transistor in semiconductor device
US20090001415A1 (en) * 2007-06-30 2009-01-01 Nick Lindert Multi-gate transistor with strained body
KR20090075534A (en) * 2008-01-04 2009-07-08 삼성전자주식회사 Method of fabricating omega gate transistor
JP2009272348A (en) 2008-04-30 2009-11-19 Toshiba Corp Semiconductor device and method for manufacturing the same
KR100963104B1 (en) * 2008-07-08 2010-06-14 삼성모바일디스플레이주식회사 Thin film transistor, method of manufacturing the thin film transistor and flat panel display device having the thin film transistor
US8263462B2 (en) * 2008-12-31 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric punch-through stoppers for forming FinFETs having dual fin heights
US8242560B2 (en) 2010-01-15 2012-08-14 International Business Machines Corporation FinFET with thin gate dielectric layer
US8394710B2 (en) * 2010-06-21 2013-03-12 International Business Machines Corporation Semiconductor devices fabricated by doped material layer as dopant source
CN102651320B (en) * 2011-02-25 2015-08-12 中国科学院微电子研究所 A kind of preparation method of fin FET
US8580664B2 (en) * 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
TWI541377B (en) 2011-11-04 2016-07-11 Asm國際股份有限公司 Methods for forming doped silicon oxide thin films
US9023715B2 (en) * 2012-04-24 2015-05-05 Globalfoundries Inc. Methods of forming bulk FinFET devices so as to reduce punch through leakage currents
US20130316513A1 (en) * 2012-05-23 2013-11-28 International Business Machines Corporation Fin isolation for multigate transistors
US9082853B2 (en) * 2012-10-31 2015-07-14 International Business Machines Corporation Bulk finFET with punchthrough stopper region and method of fabrication
CN103811339B (en) * 2012-11-09 2016-12-21 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
US8815691B2 (en) * 2012-12-21 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate all around device
US20160190319A1 (en) * 2013-09-27 2016-06-30 Intel Corporation Non-Planar Semiconductor Devices having Multi-Layered Compliant Substrates
US9196522B2 (en) * 2013-10-16 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with buried insulator layer and method for forming
US9620642B2 (en) * 2013-12-11 2017-04-11 Globalfoundries Singapore Pte. Ltd. FinFET with isolation
US9214557B2 (en) * 2014-02-06 2015-12-15 Globalfoundries Singapore Pte. Ltd. Device with isolation buffer
US9472446B2 (en) * 2014-06-18 2016-10-18 Globalfoundries Inc. Methods of forming a FinFET semiconductor device with a unique gate configuration, and the resulting FinFET device
KR102327143B1 (en) * 2015-03-03 2021-11-16 삼성전자주식회사 Integrated circuit device
US9704969B1 (en) * 2015-12-31 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin semiconductor device having multiple gate width structures
US9786765B2 (en) * 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same
US9972537B2 (en) * 2016-02-24 2018-05-15 Globalfoundries Inc. Methods of forming graphene contacts on source/drain regions of FinFET devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160056156A1 (en) * 2013-06-20 2016-02-25 Intel Corporation Non-planar semiconductor device having doped sub-fin region and method to fabricate same
US20150044829A1 (en) * 2013-08-09 2015-02-12 Samsung Electronics Co., Ltd. Methods of Fabricating Semiconductor Devices Having Punch-Through Stopping Regions

Also Published As

Publication number Publication date
KR102449437B1 (en) 2022-09-30
EP3161869B1 (en) 2023-04-05
EP3425675A1 (en) 2019-01-09
US20190296114A1 (en) 2019-09-26
CN106463536B (en) 2021-12-10
KR102287271B1 (en) 2021-08-06
US11276760B2 (en) 2022-03-15
TWI565075B (en) 2017-01-01
MY188387A (en) 2021-12-07
JP2017523593A (en) 2017-08-17
CN114242791A (en) 2022-03-25
EP3161869A1 (en) 2017-05-03
CN106463536A (en) 2017-02-22
JP6411550B2 (en) 2018-10-24
TWI610444B (en) 2018-01-01
TW201719903A (en) 2017-06-01
WO2015199705A1 (en) 2015-12-30
TW201614844A (en) 2016-04-16
US10355093B2 (en) 2019-07-16
US20170069725A1 (en) 2017-03-09
EP3161869A4 (en) 2018-05-23
KR20170022981A (en) 2017-03-02
KR20220144860A (en) 2022-10-27
KR20210098559A (en) 2021-08-10

Similar Documents

Publication Publication Date Title
US20220130962A1 (en) Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
US10964697B2 (en) Non-planar semiconductor device having doped sub-fin region and method to fabricate same
US11563081B2 (en) Self-aligned gate edge and local interconnect
US10263112B2 (en) Vertical non-planar semiconductor device for system-on-chip (SoC) applications
JP6330942B2 (en) Non-planar semiconductor devices having omega fins with doped subfin regions and methods of manufacturing the same

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: TAHOE RESEARCH, LTD., IRELAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTEL CORPORATION;REEL/FRAME:061175/0176

Effective date: 20220718

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED