US20220130905A1 - 3d semiconductor device and structure with transistors - Google Patents

3d semiconductor device and structure with transistors Download PDF

Info

Publication number
US20220130905A1
US20220130905A1 US17/572,550 US202217572550A US2022130905A1 US 20220130905 A1 US20220130905 A1 US 20220130905A1 US 202217572550 A US202217572550 A US 202217572550A US 2022130905 A1 US2022130905 A1 US 2022130905A1
Authority
US
United States
Prior art keywords
single crystal
channel
transistors
layer
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US17/572,550
Other versions
US11315980B1 (en
Inventor
Deepak C. Sekar
Zvi Or-Bach
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Monolithic 3D Inc
Original Assignee
Monolithic 3D Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/901,890 external-priority patent/US8026521B1/en
Priority claimed from US15/803,732 external-priority patent/US10290682B2/en
Priority claimed from US16/409,813 external-priority patent/US10825864B2/en
Priority claimed from US17/013,823 external-priority patent/US10896931B1/en
Priority claimed from US17/114,155 external-priority patent/US11018191B1/en
Priority claimed from US17/223,822 external-priority patent/US11133351B2/en
Priority claimed from US17/402,526 external-priority patent/US11227897B2/en
Priority claimed from US17/542,490 external-priority patent/US11257867B1/en
Priority to US17/572,550 priority Critical patent/US11315980B1/en
Assigned to MONOLITHIC 3D INC. reassignment MONOLITHIC 3D INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SEKAR, DEEPAK, OR-BACH, ZVI
Application filed by Monolithic 3D Inc filed Critical Monolithic 3D Inc
Priority to US17/683,322 priority patent/US11335731B1/en
Priority to US17/718,932 priority patent/US11469271B2/en
Publication of US11315980B1 publication Critical patent/US11315980B1/en
Application granted granted Critical
Publication of US20220130905A1 publication Critical patent/US20220130905A1/en
Priority to US17/850,840 priority patent/US11462586B1/en
Priority to US17/898,475 priority patent/US11600667B1/en
Priority to US18/105,041 priority patent/US11793005B2/en
Priority to US18/234,368 priority patent/US11956976B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L27/2481
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • H01L27/0694Integrated circuits having a three-dimensional layout comprising components formed on opposite sides of a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/10802
    • H01L27/10897
    • H01L27/11
    • H01L27/11529
    • H01L27/11551
    • H01L27/11578
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L27/228
    • H01L27/2436
    • H01L27/249
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/20DRAM devices comprising floating-body transistors, e.g. floating-body cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/41Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region of a memory region comprising a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • H10B63/845Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays the switching components being connected to a common vertical conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7857Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET of the accumulation type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6835Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during build up manufacturing of active devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/36DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being a FinFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/823Device geometry adapted for essentially horizontal current flow, e.g. bridge type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx

Definitions

  • This invention describes applications of monolithic 3D integration to at least semiconductor chips performing logic and memory functions.
  • CMOS Complimentary Metal Oxide Semiconductor
  • 3D stacking of semiconductor chips is one avenue to tackle issues with wires.
  • transistors By arranging transistors in 3 dimensions instead of 2 dimensions (as was the case in the 1990s), one can place transistors in ICs closer to each other. This reduces wire lengths and keeps wiring delay low.
  • barriers to practical implementation of 3D stacked chips include:
  • 3D stacked memory In the NAND flash memory industry, several organizations have attempted to construct 3D stacked memory. These attempts predominantly use transistors constructed with poly-Si or selective epi technology as well as charge-trap concepts. References that describe these attempts to 3D stacked memory include “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009 by Bakir and Meindl (“Bakir”), “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory”, Symp. VLSI Technology Tech. Dig. pp. 14-15, 2007 by H. Tanaka, M. Kido, K. Yahashi, et al.
  • the invention may be directed to at least multilayer or Three Dimensional Integrated Circuit (3D IC) devices, structures, and fabrication methods.
  • 3D IC Three Dimensional Integrated Circuit
  • a method for producing a 3D memory device including: providing a first level including a single crystal layer and first alignment marks; forming memory control circuits including first single crystal transistors, where the first single crystal transistors include portions of the single crystal layer; forming at least one second level above the first level; performing a first lithographic step over the at least one second level aligned to the first alignment marks; forming at least one third level above the at least one second level; performing a second lithographic step over the third level; performing a first etch step including etching holes within the third level defined by the second lithographic step; performing a third lithographic step over the at least one third level; performing a second etch step including etching holes within the at least one third level and the at least one second level defined by the third lithographic step; and performing additional processing steps to form a plurality of first memory cells within the at least one second level and a plurality of second memory cells within the at least one third level, where each of the plurality of first memory cells include one
  • a method for producing a 3D memory device including: providing a first level including a single crystal layer and first alignment marks; forming memory control circuits including first single crystal transistors, where the first single crystal transistors include portions of the single crystal layer; forming at least one second level above the first level; performing a first etch step including etching lithography windows within the at least one second level; performing a first lithographic step over the at least one second level aligned to the first alignment marks; forming at least one third level above the at least one second level; performing a second lithographic step over the at least one third level; performing a second etch step including etching holes within the at least one third level defined by the second lithographic step; performing a third lithographic step over the at least one third level; performing a third etch step including etching holes within the at least one third level and the at least one second level defined by the third lithographic step; and performing additional processing steps to form a plurality of first memory cells within the at least one second level
  • a method for producing a 3D memory device including: providing a first level including a single crystal layer and first alignment marks; forming memory control circuits including first single crystal transistors, where the first single crystal transistors include portions of the single crystal layer; forming at least one second level above the first level; performing a first etch step including etching lithography windows within the at least one second level; performing a first lithographic step over the at least one second level aligned to the first alignment marks; and performing additional processing steps to form a plurality of first memory cells within the at last one second level, where each of the plurality of first memory cells include one of a plurality of second transistors, and where the plurality of second transistors are aligned to the first alignment marks with a less than 40 nm alignment error.
  • a 3D semiconductor device including: a first level including a first single crystal layer and first transistors, where the first transistors each include a single crystal channel; first metal layers interconnecting at least the first transistors; and a second level including a second single crystal layer and second transistors, where the second level overlays the first level, where the second transistors are horizontally oriented and include replacement gate, where the second level is bonded to the first level, and where the bonded includes oxide to oxide bonds.
  • a 3D semiconductor device including: a first level including a first single crystal layer and alignment marks; first transistors overlaying the first single crystal layer; and second transistors overlaying the first transistors, where the first transistors and the second transistors are self-aligned, being processed following the same lithography step, where the second transistors include replacement gate, being processed to replace a poly silicon gate to a metal based gate, where the first level includes third transistors disposed below the first transistor, where the third transistors are aligned to the alignment marks, and where the third transistors each include a single crystal channel.
  • a 3D semiconductor device including: a first level including a first single crystal layer, first transistors, and second transistors, where the second transistors are overlaying the first transistors, and where the first transistors and the second transistors are self-aligned, being processed following the same lithography step; and a second level including a second single crystal layer and third transistors, where the second level overlays the first level, where the third transistors are horizontally oriented and include replacement gate, where the second level is bonded to the first level, and where the bonded includes oxide to oxide bonds.
  • a 3D semiconductor device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal channel, where at least one of the plurality of transistors includes a second single crystal channel, where the second single crystal channel is disposed above the first single crystal channel, where at least one of the plurality of transistors includes a third single crystal channel, where the third single crystal channel is disposed above the second single crystal channel, where at least one of the plurality of transistors includes a fourth single crystal channel, and where the fourth single crystal channel is disposed above the third single crystal channel; and at least one region of oxide to oxide bonds.
  • a 3D semiconductor device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal channel, where at least one of the plurality of transistors includes a second single crystal channel, where the second single crystal channel is disposed above the first single crystal channel, where at least one of the plurality of transistors includes a third single crystal channel, where the third single crystal channel is disposed above the second single crystal channel, where at least one of the plurality of transistors includes a fourth single crystal channel, where the fourth single crystal channel is disposed above the third single crystal channel; and at least one region of oxide to oxide bonds, where the at least one region of oxide to oxide bonds is disposed underneath the third single crystal channel and above the second single crystal channel.
  • a 3D semiconductor device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal channel, where at least one of the plurality of transistors includes a second single crystal channel, where the second single crystal channel is disposed above the first single crystal channel, where at least one of the plurality of transistors includes a third single crystal channel, where the third single crystal channel is disposed above the second single crystal channel, where at least one of the plurality of transistors includes a fourth single crystal channel, where the fourth single crystal channel is disposed above the third single crystal channel; and a layer of oxide to oxide bonds; and a single crystal substrate.
  • a 3D semiconductor device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal source, channel, and drain, where at least one of the plurality of transistors includes a second single crystal source, channel, and drain, where the second single crystal source, channel, and drain is disposed above the first single crystal source, channel, and drain, where at least one of the plurality of transistors includes a third single crystal source, channel, and drain, where the third single crystal source, channel, and drain is disposed above the second single crystal source, channel, and drain, where at least one of the plurality of transistors includes a fourth single crystal source, channel, and drain, and where the fourth single crystal source, channel, and drain is disposed above the third single crystal source, channel, and drain; and an ohmic connection between the first single crystal source or drain and the second single crystal source or drain.
  • a 3D semiconductor device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal source, channel, and drain, where at least one of the plurality of transistors includes a second single crystal source, channel, and drain, where the second single crystal source, channel, and drain is disposed above the first single crystal source, channel, and drain, where at least one of the plurality of transistors includes a third single crystal source, channel, and drain, where the third single crystal source, channel, and drain is disposed above the second single crystal source, channel, and drain, where at least one of the plurality of transistors includes a fourth single crystal source, channel, and drain, and where the first single crystal source or drain, and the second single crystal source or drain each include n+ doped regions.
  • a 3D semiconductor device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal channel, where at least one of the plurality of transistors includes a second single crystal channel, where the second single crystal channel is disposed above the first single crystal channel, where at least one of the plurality of transistors includes a third single crystal channel, where the third single crystal channel is disposed above the second single crystal channel, where at least one of the plurality of transistors includes a fourth single crystal channel, where the fourth single crystal channel is disposed above the third single crystal channel, and where formation of the fourth single crystal channel includes a layer transfer process.
  • FIGS. 1A-1C show different types of junction-less transistors (JLT) that could be utilized for 3D stacking
  • FIGS. 2A-2K show a zero-mask per layer 3D floating body DRAM
  • FIGS. 3A-3J show a zero-mask per layer 3D resistive memory with a junction-less transistor
  • FIGS. 4A-4K show an alternative zero-mask per layer 3D resistive memory
  • FIGS. 5A-5G show a zero-mask per layer 3D charge-trap memory
  • FIGS. 6A-6B show periphery on top of memory layers
  • FIGS. 8A-8F show polysilicon select devices for 3D memory and peripheral circuits at the top according to some embodiments of the current invention
  • FIGS. 9A-9F illustrate a process flow for 3D integrated circuits with gate-last high-k metal gate transistors and face-up layer transfer
  • FIGS. 11A-11G illustrate using a carrier wafer for layer transfer
  • FIGS. 12A-12K illustrate constructing chips with nMOS and pMOS devices on either side of the wafer
  • FIG. 13 illustrates constructing transistors with front gates and back gates on either side of the semiconductor layer
  • FIG. 14A-14I show process flows for constructing 3D stacked logic chips using four-side gated junction-less transistors as switches.
  • FIGS. 1-14 illustrate the subject matter not to scale or to measure.
  • Many figures describe process flows for building devices. These process flows, which are essentially a sequence of steps for building a device, have many structures, numerals and labels that are common between two or more adjacent steps. In such cases, some labels, numerals and structures used for a certain step's figure may have been described in previous steps' figures.
  • FIG. 1A-1D shows that JLTs that can be 3D stacked fall into four categories based on the number of gates they use: One-side gated JLTs as shown in FIG. 1A , two-side gated JLTs as shown in FIG. 1B , three-side gated JLTs as shown in FIG. 1C , and gate-all-around JLTs as shown in FIG. 1D .
  • the JLTS shown may include n+Si 102 , gate dielectric 104 , gate electrode 106 , n+ source region 108 , n+ drain region 110 , and n+ region under gate 112 .
  • the gate gets more control of the channel, thereby reducing leakage of the JLT at 0V.
  • the enhanced gate control can be traded-off for higher doping (which improves contact resistance to source-drain regions) or bigger JLT cross-sectional areas (which is easier from a process integration standpoint).
  • adding more gates typically increases process complexity.
  • Some embodiments of this invention may involve floating body DRAM. Background information on floating body DRAM and its operation is given in “Floating Body RAM Technology and its Scalability to 32 nm Node and Beyond,” Electron Devices Meeting, 2006 . IEDM ' 06 . International , vol., no., pp. 1-4, 11-13 Dec. 2006 by T. Shino, N. Kusunoki, T.
  • FIG. 2A-K describe a process flow to construct a horizontally-oriented monolithic 3D DRAM.
  • This monolithic 3D DRAM utilizes the floating body effect and double-gate transistors.
  • No mask is utilized on a “per-memory-layer” basis for the monolithic 3D DRAM concept shown in FIG. 2A-K , and all other masks are shared between different layers.
  • the process flow may include several steps in the following sequence.
  • FIG. 2A shows a drawing illustration after Step (A).
  • a wafer of p ⁇ Silicon 208 has an oxide layer 206 grown or deposited above it.
  • hydrogen is implanted into the p ⁇ Silicon wafer at a certain depth indicated by 214 .
  • some other atomic species such as Helium could be (co-)implanted.
  • This hydrogen implanted p ⁇ Silicon wafer 208 forms the top layer 210 .
  • the bottom layer 212 may include the peripheral circuits 202 with oxide layer 204 .
  • FIG. 2C illustrates the structure after Step (C).
  • the stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 3014 using either a anneal or a sideways mechanical force or other means.
  • a CMP process is then conducted.
  • a layer of silicon oxide 218 is then deposited atop the p ⁇ Silicon layer 216 .
  • a single-crystal p ⁇ Si layer 216 exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.
  • Step (F) p ⁇ regions not covered by the gate are implanted to form n+ silicon regions 228 .
  • Spacers are utilized during this multi-step implantation process and layers of silicon present in different layers of the stack have different spacer widths to account for lateral straggle of buried layer implants. Bottom layers could have larger spacer widths than top layers.
  • a thermal annealing step such as a RTA or spike anneal or laser anneal or flash anneal, is then conducted to activate n+ doped regions.
  • a silicon oxide layer 230 is then deposited and planarized.
  • FIG. 2K shows cross-sectional views of the array for clarity. Double-gated transistors may be utilized along with the floating body effect for storing information. A floating-body DRAM has thus been constructed, with (1) horizontally-oriented transistors—i.e.
  • transistor channels (2) some of the memory cell control lines, e.g., source-lines SL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates simultaneously deposited over multiple memory layers, and (4) monocrystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut.
  • some of the memory cell control lines e.g., source-lines SL, constructed of heavily doped silicon and embedded in the memory cell layer
  • side gates simultaneously deposited over multiple memory layers and (4) monocrystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut.
  • resistive-memory types include phase change memory, Metal Oxide memory, resistive RAM (RRAM), memristors, solid-electrolyte memory, ferroelectric RAM, MRAM, etc. Background information on these resistive-memory types is given in “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development , vol. 52, no. 4.5, pp. 449-464, July 2008 by Burr, G. W.; Kurdi, B. N.; Scott, J. C.; Lam, C. H.; Gopalakrishnan, K.; Shenoy, R. S.
  • FIGS. 3A-3J describe a novel memory architecture for resistance-based memories, and a procedure for its construction.
  • the memory architecture utilizes junction-less transistors and has a resistance-based memory element in series with a transistor selector. No mask is utilized on a “per-memory-layer” basis for the monolithic 3D resistance change memory (or resistive memory) concept shown in FIG. 3A-J , and all other masks are shared between different layers.
  • the process flow may include several steps that occur in the following sequence.
  • the stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 314 using either an anneal or a sideways mechanical force or other means.
  • a CMP process is then conducted.
  • a layer of silicon oxide 318 is then deposited atop the n+ Silicon layer 316 .
  • a single-crystal n+Si layer 316 exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.
  • FIG. 4A shows a drawing illustration after Step (A).
  • a wafer of p ⁇ Silicon 408 has an oxide layer 406 grown or deposited above it. Following this, hydrogen is implanted into the p ⁇ Silicon wafer at a certain depth indicated by 414 . Alternatively, some other atomic species such as Helium could be (co-)implanted. This hydrogen implanted p ⁇ Silicon wafer 408 forms the top layer 410 .
  • the bottom layer 412 may include the peripheral circuits 402 with oxide layer 404 .
  • the stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 414 using either a anneal or a sideways mechanical force or other means.
  • a CMP process is then conducted.
  • a layer of silicon oxide 418 is then deposited atop the p ⁇ Silicon layer 416 .
  • a single-crystal p ⁇ Si layer 416 exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.
  • FIG. 5A shows a drawing illustration after Step (A).
  • a wafer of n+ Silicon 508 has an oxide layer 506 grown or deposited above it. Following this, hydrogen is implanted into the n+ Silicon wafer at a certain depth indicated by 514 . Alternatively, some other atomic species such as Helium could be implanted. This hydrogen implanted n+ Silicon wafer 508 forms the top layer 510 .
  • the bottom layer 512 may include the peripheral circuits 502 with oxide layer 504 .
  • FIGS. 6A-6B show it is not the only option for the architecture to have the peripheral transistors, such as periphery 602 , below the memory layers, including, for example, memory layer 604 , memory layer 606 , and/or memory layer 608 .
  • Peripheral transistors such as periphery 610 , could also be constructed above the memory layers, including, for example, memory layer 604 , memory layer 606 , and/or memory layer 608 , and substrate or memory layer 612 , as shown in FIG. 6B .
  • This periphery layer would utilize technologies described in this application; parent application and incorporated references, and could utilize transistors, for example, junction-less transistors or recessed channel transistors.
  • FIG. 8A-F show another embodiment of the current invention, where polysilicon junction-less transistors are used to form a 3D resistance-based memory.
  • the utilized junction-less transistors can have either positive or negative threshold voltages.
  • the process may include the following steps occurring in sequence:
  • RTA Rapid Thermal Anneal
  • Step (C) The polysilicon region obtained after Step (C) is indicated as 810 . Since there are no circuits under these layers of polysilicon, very high temperatures (such as 1400° C.) can be used for the anneal process, leading to very good quality polysilicon with few grain boundaries and very high mobilities approaching those of single crystal silicon. Alternatively, a laser anneal could be conducted, either for all layers 806 at the same time or layer by layer at different times. Step (D): This is illustrated in FIG. 8D . Procedures similar to those described in FIG. 32E-H of incorporated parent reference U.S. Pat. No.
  • FIG. 8D which has multiple levels of junctionless transistor selectors for resistive memory devices.
  • the resistance change memory is indicated as 836 while its electrode and contact to the BL is indicated as 840 .
  • the WL is indicated as 832 , while the SL is indicated as 834 .
  • Gate dielectric of the junction-less transistor is indicated as 826 while the gate electrode of the junction-less transistor is indicated as 824 , this gate electrode also serves as part of the WL 832 .
  • Silicon oxide is indicated as 830 Step (E): This is illustrated in FIG. 8E .
  • Bit lines (indicated as BL 838 ) are constructed. Contacts are then made to peripheral circuits and various parts of the memory array as described in embodiments described previously.
  • materials for memory layer transistors and memory layer wires e.g., by using tungsten and other materials that withstand high temperature processing for
  • Section 1 of incorporated parent reference U.S. Pat. No. 8,026,521, described the formation of 3D stacked semiconductor circuits and chips with sub-400° C. processing temperatures to build transistors and high density of vertical connections.
  • this section an alternative method is explained, in which a transistor is built with any replacement gate (or gate-last) scheme that is utilized widely in the industry. This method allows for high temperatures (above 400 C) to build the transistors.
  • This method utilizes a combination of three concepts:
  • FIG. 9A-9F The method mentioned in the previous paragraph is described in FIG. 9A-9F .
  • the procedure may include several steps as described in the following sequence:
  • This temporary carrier wafer 2512 could be constructed of glass. Alternatively, it could be constructed of silicon.
  • the temporary bonding adhesive 2514 could be a polymer material, such as a polyimide.
  • a anneal or a sideways mechanical force is utilized to cleave the wafer at the hydrogen plane 2510 .
  • a CMP process is then conducted.
  • FIG. 9D illustrates the structure after Step (D).
  • FIG. 9F illustrates the structure after Step (F). The remainder of the transistor, contact, and wiring layers are then constructed.
  • FIG. 10A illustrates the structure after Step (A).
  • FIG. 10B illustrates the structure after Step (B).
  • FIG. 10D illustrates the structure after Step (D). Following this, other process steps in the fabrication flow proceed as usual.
  • FIGS. 11A-11G illustrate using a carrier wafer for layer transfer.
  • FIG. 11A illustrates the first step of preparing transistors with dummy gates 4602 on first donor wafer (or top wafer) 4606 . This completes the first phase of transistor formation.
  • FIG. 11B illustrates forming a cleave line 4608 by implant 4616 of atomic particles such as H+.
  • FIG. 11C illustrates permanently bonding the first donor wafer 4606 to a second donor wafer 4626 .
  • the permanent bonding may be oxide to oxide wafer bonding as described previously.
  • FIG. 11D illustrates the second donor wafer 4626 acting as a carrier wafer after cleaving the first donor wafer off potentially at face 4632 ; leaving a thin layer 4606 with the now buried dummy gate transistors 4602 .
  • FIG. 11E illustrates forming a second cleave line 4618 in the second donor wafer 4626 by implant 4646 of atomic species such as H+.
  • FIG. 11F illustrates the second layer transfer step to bring the dummy gate transistors 4602 ready to be permanently bonded on top of the bottom layer of transistors and wires 4601 .
  • FIG. 11G illustrates the bottom layer of transistors and wires 4601 with the dummy gate transistor 4602 on top after cleaving off the second donor wafer and removing the layers on top of the dummy gate transistors. Now we can proceed and replace the dummy gates with the final gates, form the metal interconnection layers, and continue the 3D fabrication process.
  • an SOI (Silicon On Insulator) donor (or top) wafer 4700 may be processed in the normal state of the art high k metal gate gate-last manner with adjusted thermal cycles to compensate for later thermal processing up to the step prior to where CMP exposure of the polysilicon dummy gates 4704 takes place.
  • FIG. 12A an SOI (Silicon On Insulator) donor (or top) wafer 4700 may be processed in the normal state of the art high k metal gate gate-last manner with adjusted thermal cycles to compensate for later thermal processing up to the step prior to where CMP exposure of the polysilicon dummy gates 4704 takes place.
  • FIG. 12A illustrates a cross section of the SOI donor wafer substrate 4700 , the buried oxide (BOX) 4701 , the thin silicon layer 4702 of the SOI wafer, the isolation 4703 between transistors, the polysilicon 4704 and gate oxide 4705 of n-type CMOS transistors with dummy gates, their associated source and drains 4706 for NMOS, NMOS transistor channel regions 4707 , and the NMOS interlayer dielectric (ILD) 4708 .
  • the PMOS device may be constructed at this stage. This completes the first phase of transistor formation.
  • an implant of an atomic species 4710 is done to prepare the cleaving plane 4712 in the bulk of the donor substrate, as illustrated in FIG. 12B .
  • the SOI donor wafer 4700 is now permanently bonded to a carrier wafer 4720 that has been prepared with an oxide layer 4716 for oxide to oxide bonding to the donor wafer surface 4714 as illustrated in FIG. 12C .
  • the details have been described previously.
  • the donor wafer 4700 may then be cleaved at the cleaving plane 4712 and may be thinned by chemical mechanical polishing (CMP) and surface 4722 may be prepared for transistor formation.
  • CMP chemical mechanical polishing
  • the donor wafer layer 4700 at surface 4722 may be processed in the normal state of the art gate last processing to form the PMOS transistors with dummy gates. During processing the wafer is flipped so that surface 4722 is on top, but for illustrative purposes this is not shown in the subsequent FIGS. 12E-12G .
  • FIG. 12E illustrates the cross section with the buried oxide (BOX) 4701 , the now thin silicon layer 4700 of the SOI substrate, the isolation 4733 between transistors, the polysilicon 4734 and gate oxide 4735 of p-type CMOS dummy gates, their associated source and drains 4736 for PMOS, PMOS transistor channel regions 4737 and the PMOS interlayer dielectric (ILD) 4738 .
  • the PMOS transistors may be precisely aligned at state of the art tolerances to the NMOS transistors due to the shared substrate 4700 possessing the same alignment marks.
  • the wafer could be put into high temperature cycle to activate both the dopants in the NMOS and the PMOS source drain regions.
  • an implant of an atomic species 4740 such as H+, may prepare the cleaving plane 4721 in the bulk of the carrier wafer substrate 4720 for layer transfer suitability, as illustrated in FIG. 12F .
  • the PMOS transistors are now ready for normal state of the art gate-last transistor formation completion.
  • the inter layer dielectric 4738 may be chemical mechanically polished to expose the top of the polysilicon dummy gates 4734 .
  • the dummy polysilicon gates 4734 may then be removed by etch and the PMOS hi-k gate dielectric 4740 and the PMOS specific work function metal gate 4741 may be deposited.
  • An aluminum fill 4742 may be performed on the PMOS gates and the metal CMP′ed.
  • a dielectric layer 4739 may be deposited and the normal gate 4743 and source/drain 4744 contact formation and metallization.
  • the PMOS layer to NMOS layer via 4747 and metallization may be partially formed as illustrated in FIG. 12G and an oxide layer 4748 is deposited to prepare for bonding.
  • the carrier wafer and two sided n/p layer is then permanently bonded to bottom wafer having transistors and wires 4799 with associated metal landing strip 4750 as illustrated in FIG. 12H .
  • the oxide layer 4716 and the NMOS inter layer dielectric 4708 may be chemical mechanically polished to expose the top of the NMOS polysilicon dummy gates 4704 .
  • the dummy polysilicon gates 4704 may then be removed by etch and the NMOS hi-k gate dielectric 4760 and the NMOS specific work function metal gate 4761 may be deposited.
  • An aluminum fill 4762 may be performed on the NMOS gates and the metal CMP′ed.
  • a dielectric layer 4769 may be deposited and the normal gate 4763 and source/drain 4764 contact formation and metallization.
  • the NMOS layer to PMOS layer via 4767 to connect to 4747 and metallization may be formed.
  • the layer-to-layer contacts 4772 to the landing pads in the base wafer are now made.
  • This same contact etch could be used to make the connections 4773 between the NMOS and PMOS layer as well, instead of using the two step ( 4747 and 4767 ) method in FIG. 12H .
  • FIG. 13 where a transistor is constructed with front gate 4902 and back gate 4904 .
  • the back gate could be utilized for many purposes such as threshold voltage control, reduction of variability, increase of drive current and other purposes.
  • FIG. 14A-14J describes a process flow for forming four-side gated JLTs in 3D stacked circuits and chips.
  • Four-side gated JLTs can also be referred to as gate-all around JLTs or silicon nanowire JLTs. They offer excellent electrostatic control of the channel and provide high-quality I-V curves with low leakage and high drive currents.
  • the process flow in FIG. 14A-14J may include several steps in the following sequence:
  • the Si and SiGe layers are carefully engineered in terms of thickness and stoichiometry to keep defect density due to lattice mismatch between Si and SiGe low. Some techniques for achieving this include keeping thickness of SiGe layers below the critical thickness for forming defects.
  • a silicon dioxide layer 912 is deposited above the stack.
  • FIG. 14A illustrates the structure after Step (A) is completed.
  • FIG. 14B illustrates the structure after Step (B) is completed.
  • FIG. 14C illustrates the structure after Step (C) is completed.
  • FIG. 14D illustrates the structure after Step (D) is completed.
  • Step (E) Using litho and etch, Si 918 and SiGe 916 regions are defined to be in locations where transistors are required. Oxide 920 is deposited to form isolation regions and to cover the Si/SiGe regions 916 and 918 .
  • a CMP process is conducted.
  • FIG. 14E illustrates the structure after Step (E) is completed.
  • FIG. 14D illustrates the structure after Step (D) is completed.
  • Step (E) Using litho and etch, Si 918 and SiGe 916 regions are defined to be in locations where transistors are required. Oxide 920 is deposited to form isolation regions and to cover the Si/SiGe regions 916 and 918 .
  • Step (G) SiGe regions 916 in channel of the JLT are etched using an etching recipe that does not attack Si regions 918 .
  • etching recipes are described in “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDM Tech. Dig., 2005, pp. 717-720 by S. D. Suk, S.-Y. Lee, S.-M. Kim, et al. (“Suk”).
  • FIG. 14G illustrates the structure after Step (G) is completed.
  • Step (H) This is an optional step where a hydrogen anneal can be utilized to reduce surface roughness of fabricated nanowires. The hydrogen anneal can also reduce thickness of nanowires. Following the hydrogen anneal, another optional step of oxidation (using plasma enhanced thermal oxidation) and etch-back of the produced silicon dioxide can be used. This process thins down the silicon nanowire further.
  • FIG. 14H illustrates the structure after Step (H) is completed.
  • FIG. 14I illustrates the structure after Step (I) is completed.
  • FIG. 14J shows a cross-sectional view of structures after Step (I). It is clear that two nanowires are present for each transistor in the figure. It is possible to have one nanowire per transistor or more than two nanowires per transistor by changing the number of stacked Si/SiGe layers. Note that top-level transistors are formed well-aligned to bottom-level wiring and transistor layers. Since the top-level transistor layers are very thin (preferably less than 200 nm), the top transistors can be aligned to features in the bottom-level. While the process flow shown in FIG.

Abstract

A semiconductor device, the device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal source, channel, and drain, where at least one of the plurality of transistors includes a second single crystal source, channel, and drain, where the second single crystal source, channel, and drain is disposed above the first single crystal source, channel, and drain, where at least one of the plurality of transistors includes a third single crystal source, channel, and drain, where the third single crystal source, channel, and drain is disposed above the second single crystal source, channel, and drain, where at least one of the plurality of transistors includes a fourth single crystal source, channel, and drain, and where the first single crystal source or drain, and the second single crystal source or drain each include n+ doped regions.

Description

    CROSS-REFERENCE OF RELATED APPLICATION
  • This application is a continuation in part of U.S. patent application Ser. No. 17/542,490, which was filed on Dec. 5, 2021, which is a continuation in part of U.S. patent application Ser. No. 17/402,526, which was filed on Aug. 14, 2021, and now is U.S. Pat. No. 11,227,897 issued on Jan. 18, 2022, which is a continuation in part of U.S. patent application Ser. No. 17/223,822, which was filed on Apr. 6, 2021, and now is U.S. Pat. No. 11,133,351 issued on Sep. 28, 2021, which is a continuation in part of U.S. patent application Ser. No. 17/114,155, which was filed on Dec. 7, 2020, and now is U.S. Pat. No. 11,018,191 issued on May 25, 2021, which is a continuation in part of U.S. patent application Ser. No. 17/013,823, which was filed on Sep. 7, 2020, and now is U.S. Pat. No. 10,896,931 issued on Jan. 19, 2021, which is a continuation in part of U.S. patent application Ser. No. 16/409,813, which was filed on May 11, 2019, and now is U.S. Pat. No. 10,825,864 issued on Nov. 3, 2020, which is a continuation in part of U.S. patent application Ser. No. 15/803,732, which was filed on Nov. 3, 2017, and now is U.S. Pat. No. 10,290,682 issued on May 14, 2019, which is a continuation in part of U.S. patent application Ser. No. 14/555,494, which was filed on Nov. 26, 2014, and now is U.S. Pat. No. 9,818,800 issued on Nov. 14, 2017, which is a continuation of U.S. patent application Ser. No. 13/246,157, which was filed on Sep. 27, 2011 and now is U.S. Pat. No. 8,956,959 issued on Feb. 17, 2015, which is a continuation of U.S. patent application Ser. No. 13/173,999, which was filed on Jun. 30, 2011 and now is U.S. Pat. No. 8,203,148 issued on Jun. 19, 2012, which is a continuation of U.S. patent application Ser. No. 12/901,890, which was filed on Oct. 11, 2010, and now is U.S. Pat. No. 8,026,521 issued on Sep. 27, 2011, the entire contents of the foregoing are incorporated by reference herein.
  • BACKGROUND OF THE INVENTION 1. Field of the Invention
  • This invention describes applications of monolithic 3D integration to at least semiconductor chips performing logic and memory functions.
  • 2. Discussion of Background Art
  • Over the past 40 years, one has seen a dramatic increase in functionality and performance of Integrated Circuits (ICs). This has largely been due to the phenomenon of “scaling” i.e. component sizes within ICs have been reduced (“scaled”) with every successive generation of technology. There are two main classes of components in Complimentary Metal Oxide Semiconductor (CMOS) ICs, namely transistors and wires. With “scaling”, transistor performance and density typically improve and this has contributed to the previously-mentioned increases in IC performance and functionality. However, wires (interconnects) that connect together transistors degrade in performance with “scaling”. The situation today is that wires dominate performance, functionality and power consumption of ICs.
  • 3D stacking of semiconductor chips is one avenue to tackle issues with wires. By arranging transistors in 3 dimensions instead of 2 dimensions (as was the case in the 1990s), one can place transistors in ICs closer to each other. This reduces wire lengths and keeps wiring delay low. However, there are many barriers to practical implementation of 3D stacked chips. These include:
      • Constructing transistors in ICs typically require high temperatures (higher than ˜700° C.) while wiring levels are constructed at low temperatures (lower than ˜400° C.). Copper or Aluminum wiring levels, in fact, can get damaged when exposed to temperatures higher than ˜400° C. If one would like to arrange transistors in 3 dimensions along with wires, it has the challenge described below. For example, let us consider a 2 layer stack of transistors and wires i.e. Bottom Transistor Layer, above it Bottom Wiring Layer, above it Top Transistor Layer and above it Top Wiring Layer. When the Top Transistor Layer is constructed using Temperatures higher than 700° C., it can damage the Bottom Wiring Layer.
      • Due to the above mentioned problem with forming transistor layers above wiring layers at temperatures lower than 400° C., the semiconductor industry has largely explored alternative architectures for 3D stacking. In these alternative architectures, Bottom Transistor Layers, Bottom Wiring Layers and Contacts to the Top Layer are constructed on one silicon wafer. Top Transistor Layers, Top Wiring Layers and Contacts to the Bottom Layer are constructed on another silicon wafer. These two wafers are bonded to each other and contacts are aligned, bonded and connected to each other as well. Unfortunately, the size of Contacts to the other Layer is large and the number of these Contacts is small. In fact, prototypes of 3D stacked chips today utilize as few as 10,000 connections between two layers, compared to billions of connections within a layer. This low connectivity between layers is because of two reasons: (i) Landing pad size needs to be relatively large due to alignment issues during wafer bonding. These could be due to many reasons, including bowing of wafers to be bonded to each other, thermal expansion differences between the two wafers, and lithographic or placement misalignment. This misalignment between two wafers limits the minimum contact landing pad area for electrical connection between two layers; (ii) The contact size needs to be relatively large. Forming contacts to another stacked wafer typically involves having a Through-Silicon Via (TSV) on a chip. Etching deep holes in silicon with small lateral dimensions and filling them with metal to form TSVs is not easy. This places a restriction on lateral dimensions of TSVs, which in turn impacts TSV density and contact density to another stacked layer. Therefore, connectivity between two wafers is limited.
  • It is highly desirable to circumvent these issues and build 3D stacked semiconductor chips with a high-density of connections between layers. To achieve this goal, it is sufficient that one of three requirements must be met: (1) A technology to construct high-performance transistors with processing temperatures below ˜400° C.; (2) A technology where standard transistors are fabricated in a pattern, which allows for high density connectivity despite the misalignment between the two bonded wafers; and (3) A chip architecture where process temperature increase beyond 400° C. for the transistors in the top layer does not degrade the characteristics or reliability of the bottom transistors and wiring appreciably. This patent application describes approaches to address options (1), (2) and (3) in the detailed description section. In the rest of this section, background art that has previously tried to address options (1), (2) and (3) will be described.
  • There are many techniques to construct 3D stacked integrated circuits or chips including:
      • Through-silicon via (TSV) technology: Multiple layers of transistors (with or without wiring levels) can be constructed separately. Following this, they can be bonded to each other and connected to each other with through-silicon vias (TSVs).
      • Monolithic 3D technology: With this approach, multiple layers of transistors and wires can be monolithically constructed. Some monolithic 3D and 3DIC approaches are described in U.S. Pat. Nos. 8,273,610, 8,298,875, 8,362,482, 8,378,715, 8,379,458, 8,450,804, 8,557,632, 8,574,929, 8,581,349, 8,642,416, 8,669,778, 8,674,470, 8,687,399, 8,742,476, 8,803,206, 8,836,073, 8,902,663, 8,994,404, 9,023,688, 9,029,173, 9,030,858, 9,117,749, 9,142,553, 9,219,005, 9,385,058, 9,406,670, 9,460,978, 9,509,313, 9,640,531, 9,691,760, 9,711,407, 9,721,927, 9,799,761, 9,871,034, 9,953,870, 9,953,994, 10,014,292, 10,014,318, 10,515,981, 10,892,016; and pending U.S. patent Application Publications and applications, Ser. Nos. 14/642,724, 15/150,395, 15/173,686, 16/337,665, 16/558,304, 16/649,660, 16/836,659, 17/151,867, 62/651,722; 62/681,249, 62/713,345, 62/770,751, 62/952,222, 62/824,288, 63/075,067, 63/091,307, 63/115,000, 63/220,443, 2021/0242189, 2020/0013791, 16/558,304; and PCT Applications (and Publications): PCT/US2010/052093, PCT/US2011/042071 (WO2012/015550), PCT/US2016/52726 (WO2017053329), PCT/US2017/052359 (WO2018/071143), PCT/US2018/016759 (WO2018144957), PCT/US2018/52332 (WO 2019/060798), and PCT/US2021/44110. The entire contents of the foregoing patents, publications, and applications are incorporated herein by reference.
      • Electro-Optics: There is also work done for integrated monolithic 3D including layers of different crystals, such as U.S. Pat. Nos. 8,283,215, 8,163,581, 8,753,913, 8,823,122, 9,197,804, 9,419,031, 9,941,319, 10,679,977, 10,943,934, 10,998,374, 11,063,071, and 11,133,344. The entire contents of the foregoing patents, publications, and applications are incorporated by reference herein.
      • In addition, the entire contents of U.S. Pat. Nos. 8,026,521, 8,203,148, 8,956,959, 9,818,800, 10,290,682, and 10,825,864, U.S. patent application publication N/A, and U.S. patent application Ser. No. 17/013,823 are incorporated herein by reference.
  • U.S. Pat. No. 7,052,941 from Sang-Yun Lee (“S-Y Lee”) describes methods to construct vertical transistors above wiring layers at less than 400° C. In these single crystal Si transistors, current flow in the transistor's channel region is in the vertical direction. Unfortunately, however, almost all semiconductor devices in the market today (logic, DRAM, flash memory) utilize horizontal (or planar) transistors due to their many advantages, and it is difficult to convince the industry to move to vertical transistor technology.
  • A paper from IBM at the Intl. Electron Devices Meeting in 2005 describes a method to construct transistors for the top stacked layer of a 2 chip 3D stack on a separate wafer. This paper is “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, p. 363 (2005) by A. W. Topol, D. C. La Tulipe, L. Shi, et al. (“Topol”). A process flow is utilized to transfer this top transistor layer atop the bottom wiring and transistor layers at temperatures less than 400° C. Unfortunately, since transistors are fully formed prior to bonding, this scheme suffers from misalignment issues. While Topol describes techniques to reduce misalignment errors in the above paper, the techniques of Topol still suffer from misalignment errors that limit contact dimensions between two chips in the stack to >130 nm.
  • The textbook “Integrated Interconnect Technologies for 3D Nanoelectronic Systems” by Bakir and Meindl (“Bakir”) describes a 3D stacked DRAM concept with horizontal (i.e. planar) transistors. Silicon for stacked transistors is produced using selective epitaxy technology or laser recrystallization. Unfortunately, however, these technologies have higher defect density compared to standard single crystal silicon. This higher defect density degrades transistor performance.
  • In the NAND flash memory industry, several organizations have attempted to construct 3D stacked memory. These attempts predominantly use transistors constructed with poly-Si or selective epi technology as well as charge-trap concepts. References that describe these attempts to 3D stacked memory include “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009 by Bakir and Meindl (“Bakir”), “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory”, Symp. VLSI Technology Tech. Dig. pp. 14-15, 2007 by H. Tanaka, M. Kido, K. Yahashi, et al. (“Tanaka”), “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by W. Kim, S. Choi, et al. (“W. Kim”), “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. (“Lue”) and “Sub-50 nm Dual-Gate Thin-Film Transistors for Monolithic 3-D Flash”, IEEE Trans. Elect. Dev., vol. 56, pp. 2703-2710, November 2009 by A. J. Walker (“Walker”). An architecture and technology that utilizes single crystal Silicon using epi growth is described in “A Stacked SONOS Technology, Up to 4 Levels and 6 nm Crystalline Nanowires, with Gate-All-Around or Independent Gates (DFlash), Suitable for Full 3D Integration”, International Electron Devices Meeting, 2009 by A. Hubert, et al (“Hubert”). However, the approach described by Hubert has some challenges including use of difficult-to-manufacture nanowire transistors, higher defect densities due to formation of Si and SiGe layers atop each other, high temperature processing for long times, difficult manufacturing, etc.
  • It is clear based on the background art mentioned above that invention of novel technologies for 3D stacked layer and chips will be useful.
  • SUMMARY
  • The invention may be directed to at least multilayer or Three Dimensional Integrated Circuit (3D IC) devices, structures, and fabrication methods.
  • In one aspect, a method for producing a 3D memory device, the method including: providing a first level including a single crystal layer and first alignment marks; forming memory control circuits including first single crystal transistors, where the first single crystal transistors include portions of the single crystal layer; forming at least one second level above the first level; performing a first lithographic step over the at least one second level aligned to the first alignment marks; forming at least one third level above the at least one second level; performing a second lithographic step over the third level; performing a first etch step including etching holes within the third level defined by the second lithographic step; performing a third lithographic step over the at least one third level; performing a second etch step including etching holes within the at least one third level and the at least one second level defined by the third lithographic step; and performing additional processing steps to form a plurality of first memory cells within the at least one second level and a plurality of second memory cells within the at least one third level, where each of the plurality of first memory cells include one second transistor, and where each of the plurality of second memory cells include one third transistor.
  • In another aspect, a method for producing a 3D memory device, the method including: providing a first level including a single crystal layer and first alignment marks; forming memory control circuits including first single crystal transistors, where the first single crystal transistors include portions of the single crystal layer; forming at least one second level above the first level; performing a first etch step including etching lithography windows within the at least one second level; performing a first lithographic step over the at least one second level aligned to the first alignment marks; forming at least one third level above the at least one second level; performing a second lithographic step over the at least one third level; performing a second etch step including etching holes within the at least one third level defined by the second lithographic step; performing a third lithographic step over the at least one third level; performing a third etch step including etching holes within the at least one third level and the at least one second level defined by the third lithographic step; and performing additional processing steps to form a plurality of first memory cells within the at least one second level and a plurality of second memory cells within the at least one third level, where each of the plurality of first memory cells include one second transistor, and where each of the plurality of second memory cells include one third transistor.
  • In another aspect, a method for producing a 3D memory device, the method including: providing a first level including a single crystal layer and first alignment marks; forming memory control circuits including first single crystal transistors, where the first single crystal transistors include portions of the single crystal layer; forming at least one second level above the first level; performing a first etch step including etching lithography windows within the at least one second level; performing a first lithographic step over the at least one second level aligned to the first alignment marks; and performing additional processing steps to form a plurality of first memory cells within the at last one second level, where each of the plurality of first memory cells include one of a plurality of second transistors, and where the plurality of second transistors are aligned to the first alignment marks with a less than 40 nm alignment error.
  • In another aspect, a 3D semiconductor device, the device including: a first level including a first single crystal layer and first transistors, where the first transistors each include a single crystal channel; first metal layers interconnecting at least the first transistors; and a second level including a second single crystal layer and second transistors, where the second level overlays the first level, where the second transistors are horizontally oriented and include replacement gate, where the second level is bonded to the first level, and where the bonded includes oxide to oxide bonds.
  • In another aspect, a 3D semiconductor device, the device including: a first level including a first single crystal layer and alignment marks; first transistors overlaying the first single crystal layer; and second transistors overlaying the first transistors, where the first transistors and the second transistors are self-aligned, being processed following the same lithography step, where the second transistors include replacement gate, being processed to replace a poly silicon gate to a metal based gate, where the first level includes third transistors disposed below the first transistor, where the third transistors are aligned to the alignment marks, and where the third transistors each include a single crystal channel.
  • In another aspect, a 3D semiconductor device, the device including: a first level including a first single crystal layer, first transistors, and second transistors, where the second transistors are overlaying the first transistors, and where the first transistors and the second transistors are self-aligned, being processed following the same lithography step; and a second level including a second single crystal layer and third transistors, where the second level overlays the first level, where the third transistors are horizontally oriented and include replacement gate, where the second level is bonded to the first level, and where the bonded includes oxide to oxide bonds.
  • In another aspect, a 3D semiconductor device, the device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal channel, where at least one of the plurality of transistors includes a second single crystal channel, where the second single crystal channel is disposed above the first single crystal channel, where at least one of the plurality of transistors includes a third single crystal channel, where the third single crystal channel is disposed above the second single crystal channel, where at least one of the plurality of transistors includes a fourth single crystal channel, and where the fourth single crystal channel is disposed above the third single crystal channel; and at least one region of oxide to oxide bonds.
  • In another aspect, a 3D semiconductor device, the device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal channel, where at least one of the plurality of transistors includes a second single crystal channel, where the second single crystal channel is disposed above the first single crystal channel, where at least one of the plurality of transistors includes a third single crystal channel, where the third single crystal channel is disposed above the second single crystal channel, where at least one of the plurality of transistors includes a fourth single crystal channel, where the fourth single crystal channel is disposed above the third single crystal channel; and at least one region of oxide to oxide bonds, where the at least one region of oxide to oxide bonds is disposed underneath the third single crystal channel and above the second single crystal channel.
  • In another aspect, a 3D semiconductor device, the device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal channel, where at least one of the plurality of transistors includes a second single crystal channel, where the second single crystal channel is disposed above the first single crystal channel, where at least one of the plurality of transistors includes a third single crystal channel, where the third single crystal channel is disposed above the second single crystal channel, where at least one of the plurality of transistors includes a fourth single crystal channel, where the fourth single crystal channel is disposed above the third single crystal channel; and a layer of oxide to oxide bonds; and a single crystal substrate.
  • In another aspect, a 3D semiconductor device, the device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal source, channel, and drain, where at least one of the plurality of transistors includes a second single crystal source, channel, and drain, where the second single crystal source, channel, and drain is disposed above the first single crystal source, channel, and drain, where at least one of the plurality of transistors includes a third single crystal source, channel, and drain, where the third single crystal source, channel, and drain is disposed above the second single crystal source, channel, and drain, where at least one of the plurality of transistors includes a fourth single crystal source, channel, and drain, and where the fourth single crystal source, channel, and drain is disposed above the third single crystal source, channel, and drain; and an ohmic connection between the first single crystal source or drain and the second single crystal source or drain.
  • In another aspect, a 3D semiconductor device, the device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal source, channel, and drain, where at least one of the plurality of transistors includes a second single crystal source, channel, and drain, where the second single crystal source, channel, and drain is disposed above the first single crystal source, channel, and drain, where at least one of the plurality of transistors includes a third single crystal source, channel, and drain, where the third single crystal source, channel, and drain is disposed above the second single crystal source, channel, and drain, where at least one of the plurality of transistors includes a fourth single crystal source, channel, and drain, and where the first single crystal source or drain, and the second single crystal source or drain each include n+ doped regions.
  • In another aspect, a 3D semiconductor device, the device including: a plurality of transistors, where at least one of the plurality of transistors includes a first single crystal channel, where at least one of the plurality of transistors includes a second single crystal channel, where the second single crystal channel is disposed above the first single crystal channel, where at least one of the plurality of transistors includes a third single crystal channel, where the third single crystal channel is disposed above the second single crystal channel, where at least one of the plurality of transistors includes a fourth single crystal channel, where the fourth single crystal channel is disposed above the third single crystal channel, and where formation of the fourth single crystal channel includes a layer transfer process.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Various embodiments of the invention will be understood and appreciated more fully from the following detailed description, taken in conjunction with the drawings in which:
  • FIGS. 1A-1C show different types of junction-less transistors (JLT) that could be utilized for 3D stacking;
  • FIGS. 2A-2K show a zero-mask per layer 3D floating body DRAM;
  • FIGS. 3A-3J show a zero-mask per layer 3D resistive memory with a junction-less transistor;
  • FIGS. 4A-4K show an alternative zero-mask per layer 3D resistive memory;
  • FIGS. 5A-5G show a zero-mask per layer 3D charge-trap memory;
  • FIGS. 6A-6B show periphery on top of memory layers;
  • FIGS. 7A-7E show polysilicon select devices for 3D memory and peripheral circuits at the bottom according to some embodiments of the current invention;
  • FIGS. 8A-8F show polysilicon select devices for 3D memory and peripheral circuits at the top according to some embodiments of the current invention;
  • FIGS. 9A-9F illustrate a process flow for 3D integrated circuits with gate-last high-k metal gate transistors and face-up layer transfer;
  • FIGS. 10A-10D depict a process flow for constructing 3D integrated chips and circuits with misalignment tolerance techniques and repeating pattern in one direction;
  • FIGS. 11A-11G illustrate using a carrier wafer for layer transfer;
  • FIGS. 12A-12K illustrate constructing chips with nMOS and pMOS devices on either side of the wafer;
  • FIG. 13 illustrates constructing transistors with front gates and back gates on either side of the semiconductor layer; and
  • FIG. 14A-14I show process flows for constructing 3D stacked logic chips using four-side gated junction-less transistors as switches.
  • DETAILED DESCRIPTION
  • Embodiments of the present invention are now described with reference to FIGS. 1-14, it being appreciated that the figures illustrate the subject matter not to scale or to measure. Many figures describe process flows for building devices. These process flows, which are essentially a sequence of steps for building a device, have many structures, numerals and labels that are common between two or more adjacent steps. In such cases, some labels, numerals and structures used for a certain step's figure may have been described in previous steps' figures.
  • FIG. 1A-1D shows that JLTs that can be 3D stacked fall into four categories based on the number of gates they use: One-side gated JLTs as shown in FIG. 1A, two-side gated JLTs as shown in FIG. 1B, three-side gated JLTs as shown in FIG. 1C, and gate-all-around JLTs as shown in FIG. 1D. The JLTS shown may include n+Si 102, gate dielectric 104, gate electrode 106, n+ source region 108, n+ drain region 110, and n+ region under gate 112. As the number of JLT gates increases, the gate gets more control of the channel, thereby reducing leakage of the JLT at 0V. Furthermore, the enhanced gate control can be traded-off for higher doping (which improves contact resistance to source-drain regions) or bigger JLT cross-sectional areas (which is easier from a process integration standpoint). However, adding more gates typically increases process complexity.
  • Some embodiments of this invention may involve floating body DRAM. Background information on floating body DRAM and its operation is given in “Floating Body RAM Technology and its Scalability to 32 nm Node and Beyond,” Electron Devices Meeting, 2006. IEDM '06. International, vol., no., pp. 1-4, 11-13 Dec. 2006 by T. Shino, N. Kusunoki, T. Higashi, et al., Overview and future challenges of floating body RAM (FBRAM) technology for 32 nm technology node and beyond, Solid-State Electronics, Volume 53, Issue 7, Papers Selected from the 38th European Solid-State Device Research Conference—ESSDERC '08, July 2009, Pages 676-683, ISSN 0038-1101, DOI: 10.1016/j.sse.2009.03.010 by Takeshi Hamamoto, Takashi Ohsawa, et al., “New Generation of Z-RAM,” Electron Devices Meeting, 2007. IEDM 2007. IEEE International, vol., no., pp. 925-928, 10-12 Dec. 2007 by Okhonin, S.; Nagoga, M.; Carman, E, et al. The above publications are incorporated herein by reference.
  • FIG. 2A-K describe a process flow to construct a horizontally-oriented monolithic 3D DRAM. This monolithic 3D DRAM utilizes the floating body effect and double-gate transistors. No mask is utilized on a “per-memory-layer” basis for the monolithic 3D DRAM concept shown in FIG. 2A-K, and all other masks are shared between different layers. The process flow may include several steps in the following sequence.
  • Step (A): Peripheral circuits with tungsten wiring 202 are first constructed and above this a layer of silicon dioxide 204 is deposited. FIG. 2A shows a drawing illustration after Step (A).
    Step (B): FIG. 2B illustrates the structure after Step (B). A wafer of p− Silicon 208 has an oxide layer 206 grown or deposited above it. Following this, hydrogen is implanted into the p− Silicon wafer at a certain depth indicated by 214. Alternatively, some other atomic species such as Helium could be (co-)implanted. This hydrogen implanted p− Silicon wafer 208 forms the top layer 210. The bottom layer 212 may include the peripheral circuits 202 with oxide layer 204. The top layer 210 is flipped and bonded to the bottom layer 212 using oxide-to-oxide bonding.
    Step (C): FIG. 2C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 3014 using either a anneal or a sideways mechanical force or other means. A CMP process is then conducted. A layer of silicon oxide 218 is then deposited atop the p− Silicon layer 216. At the end of this step, a single-crystal p− Si layer 216 exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.
    Step (D): FIG. 2D illustrates the structure after Step (D). Using methods similar to Step (B) and (C), multiple p− silicon layers 220 are formed with silicon oxide layers in between.
    Step (E): FIG. 2E illustrates the structure after Step (E). Lithography and etch processes are then utilized to make a structure as shown in the figure, including layer regions of p− silicon 221 and associated isolation/bonding oxides 222.
    Step (F): FIG. 2F illustrates the structure after Step (F). Gate dielectric 226 and gate electrode 224 are then deposited following which a CMP is done to planarize the gate electrode 224 regions. Lithography and etch are utilized to define gate regions.
    Step (G): FIG. 2G illustrates the structure after Step (G). Using the hard mask defined in Step (F), p− regions not covered by the gate are implanted to form n+ silicon regions 228. Spacers are utilized during this multi-step implantation process and layers of silicon present in different layers of the stack have different spacer widths to account for lateral straggle of buried layer implants. Bottom layers could have larger spacer widths than top layers. A thermal annealing step, such as a RTA or spike anneal or laser anneal or flash anneal, is then conducted to activate n+ doped regions.
    Step (H): FIG. 2H illustrates the structure after Step (H). A silicon oxide layer 230 is then deposited and planarized. For clarity, the silicon oxide layer is shown transparent, along with word-line (WL) 232 and source-line (SL) 234 regions.
    Step (I): FIG. 2I illustrates the structure after Step (I). Bit-line (BL) contacts 236 are formed by etching and deposition. These BL contacts are shared among all layers of memory.
    Step (J): FIG. 2J illustrates the structure after Step (J). BLs 238 are then constructed. Contacts are made to BLs, WLs and SLs of the memory array at its edges. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be done in steps prior to Step (J) as well.
    FIG. 2K shows cross-sectional views of the array for clarity. Double-gated transistors may be utilized along with the floating body effect for storing information.
    A floating-body DRAM has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels (2) some of the memory cell control lines, e.g., source-lines SL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates simultaneously deposited over multiple memory layers, and (4) monocrystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut.
  • With the explanations for the formation of monolithic 3D DRAM with ion-cut in this section, it is clear to one skilled in the art that alternative implementations are possible. BL and SL nomenclature has been used for two terminals of the 3D DRAM array, and this nomenclature can be interchanged. Each gate of the double gate 3D DRAM can be independently controlled for better control of the memory cell. To implement these changes, the process steps in FIG. 2 may be modified. Moreover, selective epi technology or laser recrystallization technology could be utilized for implementing structures shown in FIG. 2A-K. Various other types of layer transfer schemes that have been described in Section 1.3.4 of the parent application (Ser. No. 12/901,890, U.S. Pat. No. 8,026,521) can be utilized for construction of various 3D DRAM structures. Furthermore, buried wiring, i.e. where wiring for memory arrays is below the memory layers but above the periphery, may also be used. In addition, other variations of the monolithic 3D DRAM concepts are possible.
  • While many of today's memory technologies rely on charge storage, several companies are developing non-volatile memory technologies based on resistance of a material changing. Examples of these resistance-based memories include phase change memory, Metal Oxide memory, resistive RAM (RRAM), memristors, solid-electrolyte memory, ferroelectric RAM, MRAM, etc. Background information on these resistive-memory types is given in “Overview of candidate device technologies for storage-class memory,” IBM Journal of Research and Development, vol. 52, no. 4.5, pp. 449-464, July 2008 by Burr, G. W.; Kurdi, B. N.; Scott, J. C.; Lam, C. H.; Gopalakrishnan, K.; Shenoy, R. S.
  • FIGS. 3A-3J describe a novel memory architecture for resistance-based memories, and a procedure for its construction. The memory architecture utilizes junction-less transistors and has a resistance-based memory element in series with a transistor selector. No mask is utilized on a “per-memory-layer” basis for the monolithic 3D resistance change memory (or resistive memory) concept shown in FIG. 3A-J, and all other masks are shared between different layers. The process flow may include several steps that occur in the following sequence.
  • Step (A): Peripheral circuits 302 are first constructed and above this a layer of silicon dioxide 304 is deposited. FIG. 3A shows a drawing illustration after Step (A).
    Step (B): FIG. 3B illustrates the structure after Step (B). A wafer of n+ Silicon 308 has an oxide layer 306 grown or deposited above it. Following this, hydrogen is implanted into the n+ Silicon wafer at a certain depth indicated by 314. Alternatively, some other atomic species such as Helium could be (co-)implanted. This hydrogen implanted n+ Silicon wafer 308 forms the top layer 310. The bottom layer 312 may include the peripheral circuits 302 with oxide layer 304. The top layer 310 is flipped and bonded to the bottom layer 312 using oxide-to-oxide bonding.
    Step (C): FIG. 3C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 314 using either an anneal or a sideways mechanical force or other means. A CMP process is then conducted. A layer of silicon oxide 318 is then deposited atop the n+ Silicon layer 316. At the end of this step, a single-crystal n+Si layer 316 exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.
    Step (D): FIG. 3D illustrates the structure after Step (D). Using methods similar to Step (B) and (C), multiple n+ silicon layers 320 are formed with silicon oxide layers in between.
    Step (E): FIG. 3E illustrates the structure after Step (E). Lithography and etch processes are then utilized to make a structure as shown in the figure, including layer regions of n+ silicon 321 and associated bonding/isolation oxides 322.
    Step (F): FIG. 3F illustrates the structure after Step (F). Gate dielectric 326 and gate electrode 324 are then deposited following which a CMP is performed to planarize the gate electrode 324 regions. Lithography and etch are utilized to define gate regions.
    Step (G): FIG. 3G illustrates the structure after Step (G). A silicon oxide layer 330 is then deposited and planarized. The silicon oxide layer is shown transparent in the figure for clarity, along with word-line (WL) 332 and source-line (SL) 334 regions.
    Step (H): FIG. 3H illustrates the structure after Step (H). Vias are etched through multiple layers of silicon and silicon dioxide as shown in the figure. A resistance change memory material 336 is then deposited (preferably with atomic layer deposition (ALD)). Examples of such a material include hafnium oxide, well known to change resistance by applying voltage. An electrode for the resistance change memory element is then deposited (preferably using ALD) and is shown as electrode/BL contact 340. A CMP process is then conducted to planarize the surface. It can be observed that multiple resistance change memory elements in series with junctionless transistors are created after this step.
    Step (I): FIG. 3I illustrates the structure after Step (I). BLs 338 are then constructed. Contacts are made to BLs, WLs and SLs of the memory array at its edges. SL contacts can be made into stair-like structures using techniques described in in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be achieved in steps prior to Step (I) as well.
    FIG. 3J shows cross-sectional views of the array for clarity.
    A 3D resistance change memory has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines, e.g., source-lines SL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates that are simultaneously deposited over multiple memory layers for transistors, and (4) monocrystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut.
  • FIGS. 4A-4K describe an alternative process flow to construct a horizontally-oriented monolithic 3D resistive memory array. This embodiment has a resistance-based memory element in series with a transistor selector. No mask is utilized on a “per-memory-layer” basis for the monolithic 3D resistance change memory (or resistive memory) concept shown in FIGS. 4A-4K, and all other masks are shared between different layers. The process flow may include several steps as described in the following sequence.
  • Step (A): Peripheral circuits with tungsten wiring 402 are first constructed and above this a layer of silicon dioxide 404 is deposited. FIG. 4A shows a drawing illustration after Step (A).
    Step (B): FIG. 4B illustrates the structure after Step (B). A wafer of p− Silicon 408 has an oxide layer 406 grown or deposited above it. Following this, hydrogen is implanted into the p− Silicon wafer at a certain depth indicated by 414. Alternatively, some other atomic species such as Helium could be (co-)implanted. This hydrogen implanted p− Silicon wafer 408 forms the top layer 410. The bottom layer 412 may include the peripheral circuits 402 with oxide layer 404. The top layer 410 is flipped and bonded to the bottom layer 412 using oxide-to-oxide bonding.
    Step (C): FIG. 4C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 414 using either a anneal or a sideways mechanical force or other means. A CMP process is then conducted. A layer of silicon oxide 418 is then deposited atop the p− Silicon layer 416. At the end of this step, a single-crystal p− Si layer 416 exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.
    Step (D): FIG. 4D illustrates the structure after Step (D). Using methods similar to Step (B) and (C), multiple p− silicon layers 420 are formed with silicon oxide layers in between.
    Step (E): FIG. 4E illustrates the structure after Step (E). Lithography and etch processes are then utilized to make a structure as shown in the figure, including layer regions of p− silicon 421 and associated bonding/isolation oxide 422.
    Step (F): FIG. 4F illustrates the structure on after Step (F). Gate dielectric 426 and gate electrode 424 are then deposited following which a CMP is done to planarize the gate electrode 424 regions. Lithography and etch are utilized to define gate regions.
    Step (G): FIG. 4G illustrates the structure after Step (G). Using the hard mask defined in Step (F), p− regions not covered by the gate are implanted to form n+ silicon regions 428. Spacers are utilized during this multi-step implantation process and layers of silicon present in different layers of the stack have different spacer widths to account for lateral straggle of buried layer implants. Bottom layers could have larger spacer widths than top layers. A thermal annealing step, such as a RTA or spike anneal or laser anneal or flash anneal, is then conducted to activate n+ doped regions.
    Step (H): FIG. 4H illustrates the structure after Step (H). A silicon oxide layer 430 is then deposited and planarized. The silicon oxide layer is shown transparent in the figure for clarity, along with word-line (WL) 432 and source-line (SL) 434 regions.
    Step (I): FIG. 4I illustrates the structure after Step (I). Vias are etched through multiple layers of silicon and silicon dioxide as shown in the figure. A resistance change memory material 436 is then deposited (preferably with atomic layer deposition (ALD)). Examples of such a material include hafnium oxide, which is well known to change resistance by applying voltage. An electrode for the resistance change memory element is then deposited (preferably using ALD) and is shown as electrode/BL contact 440. A CMP process is then conducted to planarize the surface. It can be observed that multiple resistance change memory elements in series with transistors are created after this step.
    Step (J): FIG. 4J illustrates the structure after Step (J). BLs 438 are then constructed. Contacts are made to BLs, WLs and SLs of the memory array at its edges. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be done in steps prior to Step (I) as well.
    FIG. 4K shows cross-sectional views of the array for clarity.
    A 3D resistance change memory has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines—e.g., source-lines SL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates simultaneously deposited over multiple memory layers for transistors, and (4) monocrystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut.
  • While explanations have been given for formation of monolithic 3D resistive memories with ion-cut in this section, it is clear to one skilled in the art that alternative implementations are possible. BL and SL nomenclature has been used for two terminals of the 3D resistive memory array, and this nomenclature can be interchanged. Moreover, selective epi technology or laser recrystallization technology could be utilized for implementing structures shown in FIG. 3A-3J and FIG. 4A-4K. Various other types of layer transfer schemes that have been described in Section 1.3.4 of the parent application can be utilized for construction of various 3D resistive memory structures. One could also use buried wiring, i.e. where wiring for memory arrays is below the memory layers but above the periphery. Other variations of the monolithic 3D resistive memory concepts are possible.
  • While resistive memories described previously form a class of non-volatile memory, others classes of non-volatile memory exist. NAND flash memory forms one of the most common non-volatile memory types. It can be constructed of two main types of devices: floating-gate devices where charge is stored in a floating gate and charge-trap devices where charge is stored in a charge-trap layer such as Silicon Nitride. Background information on charge-trap memory can be found in “Integrated Interconnect Technologies for 3D Nanoelectronic Systems”, Artech House, 2009 by Bakir and Meindl (“Bahr”) and “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. The architectures shown in FIG. 5A-5G are relevant for any type of charge-trap memory.
  • FIGS. 5A-5G describes a memory architecture for single-crystal 3D charge-trap memories, and a procedure for its construction. It utilizes junction-less transistors. No mask is utilized on a “per-memory-layer” basis for the monolithic 3D charge-trap memory concept shown in FIG. 5A-5G, and all other masks are shared between different layers. The process flow may include several steps as described in the following sequence.
  • Step (A): Peripheral circuits 502 are first constructed and above this a layer of silicon dioxide 504 is deposited. FIG. 5A shows a drawing illustration after Step (A).
    Step (B): FIG. 5B illustrates the structure after Step (B). A wafer of n+ Silicon 508 has an oxide layer 506 grown or deposited above it. Following this, hydrogen is implanted into the n+ Silicon wafer at a certain depth indicated by 514. Alternatively, some other atomic species such as Helium could be implanted. This hydrogen implanted n+ Silicon wafer 508 forms the top layer 510. The bottom layer 512 may include the peripheral circuits 502 with oxide layer 504. The top layer 510 is flipped and bonded to the bottom layer 512 using oxide-to-oxide bonding.
    Step (C): FIG. 5C illustrates the structure after Step (C). The stack of top and bottom wafers after Step (B) is cleaved at the hydrogen plane 514 using either a anneal or a sideways mechanical force or other means. A CMP process is then conducted. A layer of silicon oxide 518 is then deposited atop the n+ Silicon layer 516. At the end of this step, a single-crystal n+Si layer 516 exists atop the peripheral circuits, and this has been achieved using layer-transfer techniques.
    Step (D): FIG. 5D illustrates the structure after Step (D). Using methods similar to Step (B) and (C), multiple n+ silicon layers 520 are formed with silicon oxide layers in between.
    Step (E): FIG. 5E illustrates the structure after Step (E). Lithography and etch processes are then utilized to make a structure as shown in the figure.
    Step (F): FIG. 5F illustrates the structure after Step (F). Gate dielectric 526 and gate electrode 524 are then deposited following which a CMP is done to planarize the gate electrode 524 regions. Lithography and etch are utilized to define gate regions. Gates of the NAND string 536 as well gates of select gates of the NAND string 538 are defined.
    Step (G): FIG. 5G illustrates the structure after Step (G). A silicon oxide layer 530 is then deposited and planarized. It is shown transparent in the figure for clarity. Word-lines, bit-lines and source-lines are defined as shown in the figure. Contacts are formed to various regions/wires at the edges of the array as well. SL contacts can be made into stair-like structures using techniques described in “Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory,” VLSI Technology, 2007 IEEE Symposium on, vol., no., pp. 14-15, 12-14 Jun. 2007 by Tanaka, H.; Kido, M.; Yahashi, K.; Oomura, M.; et al., following which contacts can be constructed to them. Formation of stair-like structures for SLs could be performed in steps prior to Step (G) as well.
    A 3D charge-trap memory has thus been constructed, with (1) horizontally-oriented transistors—i.e. current flowing in substantially the horizontal direction in transistor channels, (2) some of the memory cell control lines—e.g., bit lines BL, constructed of heavily doped silicon and embedded in the memory cell layer, (3) side gates simultaneously deposited over multiple memory layers for transistors, and (4) monocrystalline (or single-crystal) silicon layers obtained by layer transfer techniques such as ion-cut. This use of single-crystal silicon obtained with ion-cut is a key differentiator from past work on 3D charge-trap memories such as “A Highly Scalable 8-Layer 3D Vertical-Gate (VG) TFT NAND Flash Using Junction-Free Buried Channel BE-SONOS Device,” Symposium on VLSI Technology, 2010 by Hang-Ting Lue, et al. that used polysilicon.
  • While FIGS. 5A-5G give two examples of how single-crystal silicon layers with ion-cut can be used to produce 3D charge-trap memories, the ion-cut technique for 3D charge-trap memory is fairly general. It could be utilized to produce any horizontally-oriented 3D monocrystalline-silicon charge-trap memory.
  • While the 3D DRAM and 3D resistive memory implementations in Section 3 and Section 4 have been described with single crystal silicon constructed with ion-cut technology, other options exist. One could construct them with selective epi technology. Procedures for doing these will be clear to those skilled in the art.
  • FIGS. 6A-6B show it is not the only option for the architecture to have the peripheral transistors, such as periphery 602, below the memory layers, including, for example, memory layer 604, memory layer 606, and/or memory layer 608. Peripheral transistors, such as periphery 610, could also be constructed above the memory layers, including, for example, memory layer 604, memory layer 606, and/or memory layer 608, and substrate or memory layer 612, as shown in FIG. 6B. This periphery layer would utilize technologies described in this application; parent application and incorporated references, and could utilize transistors, for example, junction-less transistors or recessed channel transistors.
  • The monolithic 3D integration concepts described in this patent application can lead to novel embodiments of poly-silicon-based memory architectures as well. Poly silicon based architectures could potentially be cheaper than single crystal silicon based architectures when a large number of memory layers need to be constructed. While the below concepts are explained by using resistive memory architectures as an example, it will be clear to one skilled in the art that similar concepts can be applied to NAND flash memory and DRAM architectures described previously in this patent application.
  • FIGS. 7A-7E show one embodiment of the current invention, where polysilicon junction-less transistors are used to form a 3D resistance-based memory. The utilized junction-less transistors can have either positive or negative threshold voltages. The process may include the following steps as described in the following sequence:
  • Step (A): As illustrated in FIG. 7A, peripheral circuits 702 are constructed above which a layer of silicon dioxide 704 is made.
    Step (B): As illustrated in FIG. 7B, multiple layers of n+ doped amorphous silicon or polysilicon 706 are deposited with layers of silicon dioxide 708 in between. The amorphous silicon or polysilicon layers 706 could be deposited using a chemical vapor deposition process, such as LPCVD or PECVD.
    Step (C): As illustrated in FIG. 7C, a Rapid Thermal Anneal (RTA) is conducted to crystallize the layers of polysilicon or amorphous silicon deposited in Step (B). Temperatures during this RTA could be as high as 700° C. or more, and could even be as high as 800° C. The polysilicon region obtained after Step (C) is indicated as 710. Alternatively, a laser anneal could be conducted, either for all layers 706 at the same time or layer by layer. The thickness of the oxide 704 would need to be optimized if that process were conducted.
    Step (D): As illustrated in FIG. 7D, procedures similar to those described in FIGS. 3E-3H are utilized to construct the structure shown. The structure in FIG. 7D has multiple levels of junction-less transistor selectors for resistive memory devices. The resistance change memory is indicated as 736 while its electrode and contact to the BL is indicated as 740. The WL is indicated as 732, while the SL is indicated as 734. Gate dielectric of the junction-less transistor is indicated as 726 while the gate electrode of the junction-less transistor is indicated as 724, this gate electrode also serves as part of the WL 732. Silicon oxide is indicated as 730.
    Step (E): As illustrated in FIG. 7E, bit lines (indicated as BL 738) are constructed. Contacts are then made to peripheral circuits and various parts of the memory array as described in embodiments described previously.
  • FIG. 8A-F show another embodiment of the current invention, where polysilicon junction-less transistors are used to form a 3D resistance-based memory. The utilized junction-less transistors can have either positive or negative threshold voltages. The process may include the following steps occurring in sequence:
  • Step (A): As illustrated in FIG. 8A, a layer of silicon dioxide 804 is deposited or grown above a silicon substrate without circuits 802.
    Step (B): As illustrated in FIG. 8B, multiple layers of n+ doped amorphous silicon or polysilicon 806 are deposited with layers of silicon dioxide 808 in between. The amorphous silicon or polysilicon layers 806 could be deposited using a chemical vapor deposition process, such as LPCVD or PECVD abbreviated as above.
    Step (C): As illustrated in FIG. 8C, a Rapid Thermal Anneal (RTA) or standard anneal is conducted to crystallize the layers of polysilicon or amorphous silicon deposited in Step (B). Temperatures during this RTA could be as high as 700° C. or more, and could even be as high as 1400° C. The polysilicon region obtained after Step (C) is indicated as 810. Since there are no circuits under these layers of polysilicon, very high temperatures (such as 1400° C.) can be used for the anneal process, leading to very good quality polysilicon with few grain boundaries and very high mobilities approaching those of single crystal silicon. Alternatively, a laser anneal could be conducted, either for all layers 806 at the same time or layer by layer at different times.
    Step (D): This is illustrated in FIG. 8D. Procedures similar to those described in FIG. 32E-H of incorporated parent reference U.S. Pat. No. 8,026,521, are utilized to obtain the structure shown in FIG. 8D which has multiple levels of junctionless transistor selectors for resistive memory devices. The resistance change memory is indicated as 836 while its electrode and contact to the BL is indicated as 840. The WL is indicated as 832, while the SL is indicated as 834. Gate dielectric of the junction-less transistor is indicated as 826 while the gate electrode of the junction-less transistor is indicated as 824, this gate electrode also serves as part of the WL 832. Silicon oxide is indicated as 830
    Step (E): This is illustrated in FIG. 8E. Bit lines (indicated as BL 838) are constructed. Contacts are then made to peripheral circuits and various parts of the memory array as described in embodiments described previously.
    Step (F): Using procedures described in Section 1 and Section 2 of this patent application's parent, peripheral circuits 898 (with transistors and wires) could be formed well aligned to the multiple memory layers shown in Step (E). For the periphery, one could use the process flow shown in Section 2 where replacement gate processing is used, or one could use sub-400° C. processed transistors such as junction-less transistors or recessed channel transistors. Alternatively, one could use laser anneals for peripheral transistors' source-drain processing. Various other procedures described in Section 1 and Section 2 could also be used. Connections can then be formed between the multiple memory layers and peripheral circuits. By proper choice of materials for memory layer transistors and memory layer wires (e.g., by using tungsten and other materials that withstand high temperature processing for wiring), even standard transistors processed at high temperatures (>1000° C.) for the periphery could be used.
  • Section 1, of incorporated parent reference U.S. Pat. No. 8,026,521, described the formation of 3D stacked semiconductor circuits and chips with sub-400° C. processing temperatures to build transistors and high density of vertical connections. In this section an alternative method is explained, in which a transistor is built with any replacement gate (or gate-last) scheme that is utilized widely in the industry. This method allows for high temperatures (above 400 C) to build the transistors. This method utilizes a combination of three concepts:
      • Replacement gate (or gate-last) high k/metal gate fabrication
      • Face-up layer transfer using a carrier wafer
      • Misalignment tolerance techniques that utilize regular or repeating layouts. In these repeating layouts, transistors could be arranged in substantially parallel bands.
        A very high density of vertical connections is possible with this method. Single crystal silicon (or monocrystalline silicon) layers that are transferred are less than 2 um thick, or could even be thinner than 0.4 um or 0.2 um.
  • The method mentioned in the previous paragraph is described in FIG. 9A-9F. The procedure may include several steps as described in the following sequence:
  • Step (A): After creating isolation regions using a shallow-trench-isolation (STI) process 2504, dummy gates 2502 are constructed with silicon dioxide and poly silicon. The term “dummy gates” is used since these gates will be replaced by high k gate dielectrics and metal gates later in the process flow, according to the standard replacement gate (or gate-last) process. Further details of replacement gate processes are described in “A 45 nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193 nm Dry Patterning, and 100% Pb-free Packaging,” IEDM Tech. Dig., pp. 247-250, 2007 by K. Mistry, et al. and “Ultralow-EOT (5 Å) Gate-First and Gate-Last High Performance CMOS Achieved by Gate-Electrode Optimization,” IEDM Tech. Dig., pp. 663-666, 2009 by L. Ragnarsson, et al. FIG. 9A illustrates the structure after Step (A).
  • Step (B): Rest of the transistor fabrication flow proceeds with formation of source-drain regions 2506, strain enhancement layers to improve mobility, high temperature anneal to activate source-drain regions 2506, formation of inter-layer dielectric (ILD) 2508, etc. FIG. 9B illustrates the structure after Step (B).
  • Step (C): Hydrogen is implanted into the wafer at the dotted line regions indicated by 2510. FIG. 9C illustrates the structure after Step (C).
  • Step (D): The wafer after step (C) is bonded to a temporary carrier wafer 2512 using a temporary bonding adhesive 2514. This temporary carrier wafer 2512 could be constructed of glass. Alternatively, it could be constructed of silicon. The temporary bonding adhesive 2514 could be a polymer material, such as a polyimide. A anneal or a sideways mechanical force is utilized to cleave the wafer at the hydrogen plane 2510. A CMP process is then conducted. FIG. 9D illustrates the structure after Step (D).
  • Step (E): An oxide layer 2520 is deposited onto the bottom of the wafer shown in Step (D). The wafer is then bonded to the bottom layer of wires and transistors 2522 using oxide-to-oxide bonding. The bottom layer of wires and transistors 2522 could also be called a base wafer. The temporary carrier wafer 2512 is then removed by shining a laser onto the temporary bonding adhesive 2514 through the temporary carrier wafer 2512 (which could be constructed of glass). Alternatively, an anneal could be used to remove the temporary bonding adhesive 2514. Through-silicon connections 2516 with a non-conducting (e.g. oxide) liner 2515 to the landing pads 2518 in the base wafer could be constructed at a very high density using special alignment methods described in at least FIG. 26A-D and FIG. 27A-F of incorporated parent reference U.S. Pat. No. 8,026,521. FIG. 9E illustrates the structure after Step (E).
  • Step (F): Dummy gates 2502 are etched away, followed by the construction of a replacement with high k gate dielectrics 2524 and metal gates 2526. Essentially, partially-formed high performance transistors are layer transferred atop the base wafer (may also be called target wafer) followed by the completion of the transistor processing with a low (sub 400° C.) process. FIG. 9F illustrates the structure after Step (F). The remainder of the transistor, contact, and wiring layers are then constructed.
  • It will be obvious to someone skilled in the art that alternative versions of this flow are possible with various methods to attach temporary carriers and with various versions of the gate-last process flow.
  • FIGS. 10A-10D (and FIG. 45A-D of incorporated parent reference U.S. Pat. No. 8,026,521) show an alternative procedure for forming CMOS circuits with a high density of connections between stacked layers. The process utilizes a repeating pattern in one direction for the top layer of transistors. The procedure may include several steps in the following sequence:
  • Step (A): Using procedures similar to FIG. 9A-F, a top layer of transistors 4404 is transferred atop a bottom layer of transistors and wires 4402. Landing pads 4406 are utilized on the bottom layer of transistors and wires 4402. Dummy gates 4408 and 4410 are utilized for nMOS and pMOS. The key difference between the structures shown in FIG. 9A-F and this structure is the layout of oxide isolation regions between transistors. FIG. 10A illustrates the structure after Step (A).
  • Step (B): Through-silicon connections 4412 are formed well-aligned to the bottom layer of transistors and wires 4402. Alignment schemes to be described in FIG. 45A-D of incorporated parent reference U.S. Pat. No. 8,026,521 are utilized for this purpose. All features constructed in future steps are also formed well-aligned to the bottom layer of transistors and wires 4402. FIG. 10B illustrates the structure after Step (B).
  • Step (C): Oxide isolation regions 4414 are formed between adjacent transistors to be defined. These isolation regions are formed by lithography and etch of gate and silicon regions and then fill with oxide. FIG. 10C illustrates the structure after Step (C).
  • Step (D): The dummy gates 4408 and 4410 are etched away and replaced with replacement gates 4416 and 4418. These replacement gates are patterned and defined to form gate contacts as well. FIG. 10D illustrates the structure after Step (D). Following this, other process steps in the fabrication flow proceed as usual.
  • FIGS. 11A-11G illustrate using a carrier wafer for layer transfer. FIG. 11A illustrates the first step of preparing transistors with dummy gates 4602 on first donor wafer (or top wafer) 4606. This completes the first phase of transistor formation.
  • FIG. 11B illustrates forming a cleave line 4608 by implant 4616 of atomic particles such as H+. FIG. 11C illustrates permanently bonding the first donor wafer 4606 to a second donor wafer 4626. The permanent bonding may be oxide to oxide wafer bonding as described previously.
  • FIG. 11D illustrates the second donor wafer 4626 acting as a carrier wafer after cleaving the first donor wafer off potentially at face 4632; leaving a thin layer 4606 with the now buried dummy gate transistors 4602. FIG. 11E illustrates forming a second cleave line 4618 in the second donor wafer 4626 by implant 4646 of atomic species such as H+.
  • FIG. 11F illustrates the second layer transfer step to bring the dummy gate transistors 4602 ready to be permanently bonded on top of the bottom layer of transistors and wires 4601. For the simplicity of the explanation we left out the now obvious steps of surface layer preparation done for each of these bonding steps.
  • FIG. 11G illustrates the bottom layer of transistors and wires 4601 with the dummy gate transistor 4602 on top after cleaving off the second donor wafer and removing the layers on top of the dummy gate transistors. Now we can proceed and replace the dummy gates with the final gates, form the metal interconnection layers, and continue the 3D fabrication process.
  • An interesting alternative is available when using the carrier wafer flow described in FIG. 11A-11G. In this flow we can use the two sides of the transferred layer to build NMOS on one side and PMOS on the other side. Timing properly the replacement gate step such flow could enable full performance transistors properly aligned to each other. As illustrated in FIG. 12A, an SOI (Silicon On Insulator) donor (or top) wafer 4700 may be processed in the normal state of the art high k metal gate gate-last manner with adjusted thermal cycles to compensate for later thermal processing up to the step prior to where CMP exposure of the polysilicon dummy gates 4704 takes place. FIG. 12A illustrates a cross section of the SOI donor wafer substrate 4700, the buried oxide (BOX) 4701, the thin silicon layer 4702 of the SOI wafer, the isolation 4703 between transistors, the polysilicon 4704 and gate oxide 4705 of n-type CMOS transistors with dummy gates, their associated source and drains 4706 for NMOS, NMOS transistor channel regions 4707, and the NMOS interlayer dielectric (ILD) 4708. Alternatively, the PMOS device may be constructed at this stage. This completes the first phase of transistor formation.
  • At this step, or alternatively just after a CMP of layer 4708 to expose the polysilicon dummy gates 4704 or to planarize the oxide layer 4708 and not expose the dummy gates 4704, an implant of an atomic species 4710, such as H+, is done to prepare the cleaving plane 4712 in the bulk of the donor substrate, as illustrated in FIG. 12B.
  • The SOI donor wafer 4700 is now permanently bonded to a carrier wafer 4720 that has been prepared with an oxide layer 4716 for oxide to oxide bonding to the donor wafer surface 4714 as illustrated in FIG. 12C. The details have been described previously. The donor wafer 4700 may then be cleaved at the cleaving plane 4712 and may be thinned by chemical mechanical polishing (CMP) and surface 4722 may be prepared for transistor formation. The donor wafer layer 4700 at surface 4722 may be processed in the normal state of the art gate last processing to form the PMOS transistors with dummy gates. During processing the wafer is flipped so that surface 4722 is on top, but for illustrative purposes this is not shown in the subsequent FIGS. 12E-12G.
  • FIG. 12E illustrates the cross section with the buried oxide (BOX) 4701, the now thin silicon layer 4700 of the SOI substrate, the isolation 4733 between transistors, the polysilicon 4734 and gate oxide 4735 of p-type CMOS dummy gates, their associated source and drains 4736 for PMOS, PMOS transistor channel regions 4737 and the PMOS interlayer dielectric (ILD) 4738. The PMOS transistors may be precisely aligned at state of the art tolerances to the NMOS transistors due to the shared substrate 4700 possessing the same alignment marks. At this step, or alternatively just after a CMP of layer 4738 to expose the PMOS polysilicon dummy gates or to planarize the oxide layer 4738 and not expose the dummy gates, the wafer could be put into high temperature cycle to activate both the dopants in the NMOS and the PMOS source drain regions.
  • Then an implant of an atomic species 4740, such as H+, may prepare the cleaving plane 4721 in the bulk of the carrier wafer substrate 4720 for layer transfer suitability, as illustrated in FIG. 12F. The PMOS transistors are now ready for normal state of the art gate-last transistor formation completion.
  • As illustrated in FIG. 12G, the inter layer dielectric 4738 may be chemical mechanically polished to expose the top of the polysilicon dummy gates 4734. The dummy polysilicon gates 4734 may then be removed by etch and the PMOS hi-k gate dielectric 4740 and the PMOS specific work function metal gate 4741 may be deposited. An aluminum fill 4742 may be performed on the PMOS gates and the metal CMP′ed. A dielectric layer 4739 may be deposited and the normal gate 4743 and source/drain 4744 contact formation and metallization.
  • The PMOS layer to NMOS layer via 4747 and metallization may be partially formed as illustrated in FIG. 12G and an oxide layer 4748 is deposited to prepare for bonding.
  • The carrier wafer and two sided n/p layer is then permanently bonded to bottom wafer having transistors and wires 4799 with associated metal landing strip 4750 as illustrated in FIG. 12H.
  • The carrier wafer 4720 may then be cleaved at the cleaving plane 4721 and may be thinned by chemical mechanical polishing (CMP) to oxide layer 4716 as illustrated in FIG. 12I.
  • The NMOS transistors are now ready for normal state of the art gate-last transistor formation completion. As illustrated in FIG. 12J, the oxide layer 4716 and the NMOS inter layer dielectric 4708 may be chemical mechanically polished to expose the top of the NMOS polysilicon dummy gates 4704. The dummy polysilicon gates 4704 may then be removed by etch and the NMOS hi-k gate dielectric 4760 and the NMOS specific work function metal gate 4761 may be deposited. An aluminum fill 4762 may be performed on the NMOS gates and the metal CMP′ed. A dielectric layer 4769 may be deposited and the normal gate 4763 and source/drain 4764 contact formation and metallization. The NMOS layer to PMOS layer via 4767 to connect to 4747 and metallization may be formed.
  • As illustrated in FIG. 12K, the layer-to-layer contacts 4772 to the landing pads in the base wafer are now made. This same contact etch could be used to make the connections 4773 between the NMOS and PMOS layer as well, instead of using the two step (4747 and 4767) method in FIG. 12H.
  • Using procedures similar to FIG. 12A-K, it is possible to construct structures such as FIG. 13 where a transistor is constructed with front gate 4902 and back gate 4904. The back gate could be utilized for many purposes such as threshold voltage control, reduction of variability, increase of drive current and other purposes.
  • FIG. 14A-14J describes a process flow for forming four-side gated JLTs in 3D stacked circuits and chips. Four-side gated JLTs can also be referred to as gate-all around JLTs or silicon nanowire JLTs. They offer excellent electrostatic control of the channel and provide high-quality I-V curves with low leakage and high drive currents. The process flow in FIG. 14A-14J may include several steps in the following sequence:
  • Step (A): On a p− Si wafer 902, multiple n+Si layers 904 and 908 and multiple n+ SiGe layers 906 and 910 are epitaxially grown. The Si and SiGe layers are carefully engineered in terms of thickness and stoichiometry to keep defect density due to lattice mismatch between Si and SiGe low. Some techniques for achieving this include keeping thickness of SiGe layers below the critical thickness for forming defects. A silicon dioxide layer 912 is deposited above the stack. FIG. 14A illustrates the structure after Step (A) is completed.
    Step (B): Hydrogen is implanted at a certain depth in the p− wafer, to form a cleave plane 920 after bonding to bottom wafer of the two-chip stack. Alternatively, some other atomic species such as He can be used. FIG. 14B illustrates the structure after Step (B) is completed.
    Step (C): The structure after Step (B) is flipped and bonded to another wafer on which bottom layers of transistors and wires 914 are constructed. Bonding occurs with an oxide-to-oxide bonding process. FIG. 14C illustrates the structure after Step (C) is completed.
    Step (D): A cleave process occurs at the hydrogen plane using a sideways mechanical force. Alternatively, an anneal could be used for cleaving purposes. A CMP process is conducted till one reaches the n+Si layer 904. FIG. 14D illustrates the structure after Step (D) is completed.
    Step (E): Using litho and etch, Si 918 and SiGe 916 regions are defined to be in locations where transistors are required. Oxide 920 is deposited to form isolation regions and to cover the Si/ SiGe regions 916 and 918. A CMP process is conducted. FIG. 14E illustrates the structure after Step (E) is completed.
    Step (F): Using litho and etch, Oxide regions 920 are removed in locations where a gate needs to be present. It is clear that Si regions 918 and SiGe regions 916 are exposed in the channel region of the JLT. FIG. 14F illustrates the structure after Step (F) is completed.
    Step (G): SiGe regions 916 in channel of the JLT are etched using an etching recipe that does not attack Si regions 918. Such etching recipes are described in “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDM Tech. Dig., 2005, pp. 717-720 by S. D. Suk, S.-Y. Lee, S.-M. Kim, et al. (“Suk”). FIG. 14G illustrates the structure after Step (G) is completed.
    Step (H): This is an optional step where a hydrogen anneal can be utilized to reduce surface roughness of fabricated nanowires. The hydrogen anneal can also reduce thickness of nanowires. Following the hydrogen anneal, another optional step of oxidation (using plasma enhanced thermal oxidation) and etch-back of the produced silicon dioxide can be used. This process thins down the silicon nanowire further. FIG. 14H illustrates the structure after Step (H) is completed.
    Step (I): Gate dielectric and gate electrode regions are deposited or grown. Examples of gate dielectrics include hafnium oxide, silicon dioxide, etc. Examples of gate electrodes include polysilicon, TiN, TaN, etc. A CMP is conducted after gate electrode deposition. Following this, rest of the process flow for forming transistors, contacts and wires for the top layer continues. FIG. 14I illustrates the structure after Step (I) is completed.
    FIG. 14J shows a cross-sectional view of structures after Step (I). It is clear that two nanowires are present for each transistor in the figure. It is possible to have one nanowire per transistor or more than two nanowires per transistor by changing the number of stacked Si/SiGe layers.
    Note that top-level transistors are formed well-aligned to bottom-level wiring and transistor layers. Since the top-level transistor layers are very thin (preferably less than 200 nm), the top transistors can be aligned to features in the bottom-level. While the process flow shown in FIG. 14A-14J gives the key steps involved in forming a four-side gated JLT with 3D stacked components, it is conceivable to one skilled in the art that changes to the process can be made. For example, process steps and additional materials/regions to add strain to junctionless transistors can be added. Furthermore, more than two layers of chips or circuits can be 3D stacked. Also, there are many methods to construct silicon nanowire transistors and these are described in “High performance and highly uniform gate-all-around silicon nanowire MOSFETs with wire size dependent scaling,” Electron Devices Meeting (IEDM), 2009 IEEE International, vol., no., pp. 1-4, 7-9 Dec. 2009 by Bangsaruntip, S.; Cohen, G. M.; Majumdar, A.; et al. (“Bangsaruntip”) and in “High performance 5 nm radius twin silicon nanowire MOSFET(TSNWFET): Fabrication on bulk Si wafer, characteristics, and reliability,” in Proc. IEDM Tech. Dig., 2005, pp. 717-720 by S. D. Suk, S.-Y. Lee, S.-M. Kim, et al. (“Suk”). Contents of these publications are incorporated herein by reference. Techniques described in these publications can be utilized for fabricating four-side gated JLTs without junctions as well.
  • It will also be appreciated by persons of ordinary skill in the art that the invention is not limited to what has been particularly shown and described hereinabove. For example, drawings or illustrations may not show n or p wells for clarity in illustration. Further, combinations and sub-combinations of the various features described hereinabove may be utilized to form a 3D IC based system. Rather, the scope of the invention includes both combinations and sub-combinations of the various features described hereinabove as well as modifications and variations which would occur to such skilled persons upon reading the foregoing description. Thus the invention is to be limited only by the appended claims.

Claims (20)

We claim:
1. A semiconductor device, the device comprising:
a plurality of transistors,
wherein at least one of said plurality of transistors comprises a first single crystal source, channel, and drain,
wherein at least one of said plurality of transistors comprises a second single crystal source, channel, and drain,
wherein said second single crystal source, channel, and drain is disposed above said first single crystal source, channel, and drain,
wherein at least one of said plurality of transistors comprises a third single crystal source, channel, and drain,
wherein said third single crystal source, channel, and drain is disposed above said second single crystal source, channel, and drain,
wherein at least one of said plurality of transistors comprises a fourth single crystal source, channel, and drain, and
wherein said fourth single crystal source, channel, and drain is disposed above said third single crystal source, channel, and drain; and
an ohmic connection between said first single crystal source or drain and said second single crystal source or drain.
2. The device according to claim 1,
wherein said first single crystal channel is self-aligned to said second single crystal channel being processed following the same lithography step.
3. The device according to claim 1, further comprising:
at least one region of oxide to oxide bonds.
4. The device according to claim 1,
wherein at least one of said plurality of transistors comprises two side gates.
5. The device according to claim 1,
wherein at least one of said plurality of transistors comprises a gate all around structure.
6. The device according to claim 1, further comprising:
a first gate structure, and
wherein said first gate structure controls at least one of said first single crystal channels and at least one of said second single crystal channels.
7. The device according to claim 1, further comprising:
a first gate structure, and
wherein said first gate structure controls at least one of said first single crystal channels and at least one of said third single crystal channels.
8. A semiconductor device, the device comprising:
a plurality of transistors,
wherein at least one of said plurality of transistors comprises a first single crystal source, channel, and drain,
wherein at least one of said plurality of transistors comprises a second single crystal source, channel, and drain,
wherein said second single crystal source, channel, and drain is disposed above said first single crystal source, channel, and drain,
wherein at least one of said plurality of transistors comprises a third single crystal source, channel, and drain,
wherein said third single crystal source, channel, and drain is disposed above said second single crystal source, channel, and drain,
wherein at least one of said plurality of transistors comprises a fourth single crystal source, channel, and drain, and
wherein said first single crystal source or drain, and said second single crystal source or drain each comprise n+ doped regions.
9. The device according to claim 8,
wherein said first single crystal channel is self-aligned to said second single crystal channel being processed following the same lithography step.
10. The device according to claim 8, further comprising:
at least one region of oxide to oxide bonds.
11. The device according to claim 8,
wherein at least one of said plurality of transistors comprises two side gates.
12. The device according to claim 8,
wherein at least one of said plurality of transistors comprises a gate all around structure.
13. The device according to claim 8, further comprising:
a first gate structure,
wherein said first gate structure controls at least one of said first single crystal channels and at least one of said second single crystal channels.
14. The device according to claim 8, further comprising:
a first gate structure,
wherein said first gate structure controls at least one of said first single crystal channels and at least one of said third single crystal channels.
15. A semiconductor device, the device comprising:
a plurality of transistors,
wherein at least one of said plurality of transistors comprises a first single crystal channel,
wherein at least one of said plurality of transistors comprises a second single crystal channel,
wherein said second single crystal channel is disposed above said first single crystal channel,
wherein at least one of said plurality of transistors comprises a third single crystal channel,
wherein said third single crystal channel is disposed above said second single crystal channel,
wherein at least one of said plurality of transistors comprises a fourth single crystal channel,
wherein said fourth single crystal channel is disposed above said third single crystal channel, and
wherein formation of said fourth single crystal channel comprises a layer transfer process.
16. The device according to claim 15,
wherein said first single crystal channel is self-aligned to said second single crystal channel being processed following the same lithography step.
17. The device according to claim 15, further comprising:
regions of oxide to oxide bonds.
18. The device according to claim 15,
wherein at least one of said plurality of transistors comprises a gate all around structure.
19. The device according to claim 15, further comprising:
a first gate structure,
wherein said first gate structure controls at least one of said first single crystal channels and at least one of said second single crystal channels.
20. The device according to claim 15, further comprising:
a first gate structure,
wherein said first gate structure controls at least one of said first single crystal channels and at least one of said third single crystal channels.
US17/572,550 2010-10-11 2022-01-10 3D semiconductor device and structure with transistors Active US11315980B1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US17/572,550 US11315980B1 (en) 2010-10-11 2022-01-10 3D semiconductor device and structure with transistors
US17/683,322 US11335731B1 (en) 2010-10-11 2022-02-28 3D semiconductor device and structure with transistors
US17/718,932 US11469271B2 (en) 2010-10-11 2022-04-12 Method to produce 3D semiconductor devices and structures with memory
US17/850,840 US11462586B1 (en) 2010-10-11 2022-06-27 Method to produce 3D semiconductor devices and structures with memory
US17/898,475 US11600667B1 (en) 2010-10-11 2022-08-29 Method to produce 3D semiconductor devices and structures with memory
US18/105,041 US11793005B2 (en) 2010-10-11 2023-02-02 3D semiconductor devices and structures
US18/234,368 US11956976B2 (en) 2010-10-11 2023-08-15 3D semiconductor devices and structures with transistors

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
US12/901,890 US8026521B1 (en) 2010-10-11 2010-10-11 Semiconductor device and structure
US13/173,999 US8203148B2 (en) 2010-10-11 2011-06-30 Semiconductor device and structure
US13/246,157 US8956959B2 (en) 2010-10-11 2011-09-27 Method of manufacturing a semiconductor device with two monocrystalline layers
US14/555,494 US9818800B2 (en) 2010-10-11 2014-11-26 Self aligned semiconductor device and structure
US15/803,732 US10290682B2 (en) 2010-10-11 2017-11-03 3D IC semiconductor device and structure with stacked memory
US16/409,813 US10825864B2 (en) 2010-10-11 2019-05-11 3D semiconductor device and structure
US17/013,823 US10896931B1 (en) 2010-10-11 2020-09-07 3D semiconductor device and structure
US17/114,155 US11018191B1 (en) 2010-10-11 2020-12-07 3D semiconductor device and structure
US17/223,822 US11133351B2 (en) 2010-10-11 2021-04-06 3D semiconductor device and structure
US17/402,526 US11227897B2 (en) 2010-10-11 2021-08-14 Method for producing a 3D semiconductor memory device and structure
US17/542,490 US11257867B1 (en) 2010-10-11 2021-12-05 3D semiconductor device and structure with oxide bonds
US17/572,550 US11315980B1 (en) 2010-10-11 2022-01-10 3D semiconductor device and structure with transistors

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US17/542,490 Continuation-In-Part US11257867B1 (en) 2010-10-11 2021-12-05 3D semiconductor device and structure with oxide bonds

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/683,322 Continuation-In-Part US11335731B1 (en) 2010-10-11 2022-02-28 3D semiconductor device and structure with transistors

Publications (2)

Publication Number Publication Date
US11315980B1 US11315980B1 (en) 2022-04-26
US20220130905A1 true US20220130905A1 (en) 2022-04-28

Family

ID=81257556

Family Applications (1)

Application Number Title Priority Date Filing Date
US17/572,550 Active US11315980B1 (en) 2010-10-11 2022-01-10 3D semiconductor device and structure with transistors

Country Status (1)

Country Link
US (1) US11315980B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11956976B2 (en) * 2010-10-11 2024-04-09 Monolithic 3D Inc. 3D semiconductor devices and structures with transistors
US11793005B2 (en) * 2010-10-11 2023-10-17 Monolithic 3D Inc. 3D semiconductor devices and structures

Family Cites Families (797)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3007090A (en) 1957-09-04 1961-10-31 Ibm Back resistance control for junction semiconductor devices
US3819959A (en) 1970-12-04 1974-06-25 Ibm Two phase charge-coupled semiconductor device
US4009483A (en) 1974-04-04 1977-02-22 Motorola, Inc. Implementation of surface sensitive semiconductor devices
US4197555A (en) 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
US4213139A (en) 1978-05-18 1980-07-15 Texas Instruments Incorporated Double level polysilicon series transistor cell
US4400715A (en) 1980-11-19 1983-08-23 International Business Machines Corporation Thin film semiconductor device and method for manufacture
JPS58164219A (en) 1982-03-25 1983-09-29 Agency Of Ind Science & Technol Manufacture of laminated semiconductor device
DE3211761A1 (en) 1982-03-30 1983-10-06 Siemens Ag METHOD FOR MANUFACTURING INTEGRATED MOS FIELD EFFECT TRANSISTOR CIRCUITS IN SILICON GATE TECHNOLOGY WITH SILICIDE-COVERED DIFFUSION AREAS AS LOW-RESISTANT CONDUCTORS
JPS593950A (en) 1982-06-30 1984-01-10 Fujitsu Ltd Gate array chip
US4522657A (en) 1983-10-20 1985-06-11 Westinghouse Electric Corp. Low temperature process for annealing shallow implanted N+/P junctions
JPS6130059A (en) 1984-07-20 1986-02-12 Nec Corp Manufacture of semiconductor device
JPS61256663A (en) 1985-05-09 1986-11-14 Agency Of Ind Science & Technol Semiconductor device
EP0208795A1 (en) 1985-07-12 1987-01-21 International Business Machines Corporation Method of fabricating a self-aligned metal-semiconductor FET
KR900008647B1 (en) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 A method for manufacturing three demensional i.c.
US4829018A (en) 1986-06-27 1989-05-09 Wahlstrom Sven E Multilevel integrated circuits employing fused oxide layers
US4704785A (en) 1986-08-01 1987-11-10 Texas Instruments Incorporated Process for making a buried conductor by fusing two wafers
US4887134A (en) 1986-09-26 1989-12-12 Canon Kabushiki Kaisha Semiconductor device having a semiconductor region in which either the conduction or valence band remains flat while bandgap is continuously graded
US4732312A (en) 1986-11-10 1988-03-22 Grumman Aerospace Corporation Method for diffusion bonding of alloys having low solubility oxides
US4721885A (en) 1987-02-11 1988-01-26 Sri International Very high speed integrated microelectronic tubes
US4854986A (en) 1987-05-13 1989-08-08 Harris Corporation Bonding technique to join two or more silicon wafers
JP2606857B2 (en) 1987-12-10 1997-05-07 株式会社日立製作所 Method for manufacturing semiconductor memory device
US5032007A (en) 1988-04-07 1991-07-16 Honeywell, Inc. Apparatus and method for an electronically controlled color filter for use in information display applications
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US4866304A (en) 1988-05-23 1989-09-12 Motorola, Inc. BICMOS NAND gate
US4956307A (en) 1988-11-10 1990-09-11 Texas Instruments, Incorporated Thin oxide sidewall insulators for silicon-over-insulator transistors
JPH0344067A (en) 1989-07-11 1991-02-25 Nec Corp Laminating method of semiconductor substrate
JP2617798B2 (en) 1989-09-22 1997-06-04 三菱電機株式会社 Stacked semiconductor device and method of manufacturing the same
US5217916A (en) 1989-10-03 1993-06-08 Trw Inc. Method of making an adaptive configurable gate array
US5012153A (en) 1989-12-22 1991-04-30 Atkinson Gary M Split collector vacuum field effect transistor
ATE153797T1 (en) 1990-03-24 1997-06-15 Canon Kk OPTICAL HEAT TREATMENT METHOD FOR SEMICONDUCTOR LAYER AND PRODUCTION METHOD OF SEMICONDUCTOR ARRANGEMENT HAVING SUCH SEMICONDUCTOR LAYER
JPH0636413B2 (en) 1990-03-29 1994-05-11 信越半導体株式会社 Manufacturing method of semiconductor element forming substrate
US5063171A (en) 1990-04-06 1991-11-05 Texas Instruments Incorporated Method of making a diffusionless virtual drain and source conductor/oxide semiconductor field effect transistor
US5541441A (en) 1994-10-06 1996-07-30 Actel Corporation Metal to metal antifuse
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
JPH0478123A (en) 1990-07-20 1992-03-12 Fujitsu Ltd Manufacture of semiconductor device
EP0688048A3 (en) 1990-08-03 1996-02-28 Canon Kk Semiconductor member having an SOI structure
US5206749A (en) 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5861929A (en) 1990-12-31 1999-01-19 Kopin Corporation Active matrix color display with multiple cells and connection through substrate
US5701027A (en) 1991-04-26 1997-12-23 Quicklogic Corporation Programmable interconnect structures and programmable integrated circuits
KR930006732B1 (en) 1991-05-08 1993-07-23 재단법인 한국전자통신연구소 Semiconductor substrate having the structure assembly varied and method of the same
US5258643A (en) 1991-07-25 1993-11-02 Massachusetts Institute Of Technology Electrically programmable link structures and methods of making same
TW211621B (en) 1991-07-31 1993-08-21 Canon Kk
JPH05198739A (en) 1991-09-10 1993-08-06 Mitsubishi Electric Corp Laminated semiconductor device and its manufacture
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
JPH0793363B2 (en) 1991-09-25 1995-10-09 株式会社半導体エネルギー研究所 Semiconductor integrated circuit and manufacturing method thereof
US5266511A (en) 1991-10-02 1993-11-30 Fujitsu Limited Process for manufacturing three dimensional IC's
JP3112106B2 (en) 1991-10-11 2000-11-27 キヤノン株式会社 Manufacturing method of semiconductor substrate
JP3261685B2 (en) 1992-01-31 2002-03-04 キヤノン株式会社 Semiconductor element substrate and method of manufacturing the same
JP3237888B2 (en) 1992-01-31 2001-12-10 キヤノン株式会社 Semiconductor substrate and method of manufacturing the same
US5308782A (en) 1992-03-02 1994-05-03 Motorola Semiconductor memory device and method of formation
US5371431A (en) 1992-03-04 1994-12-06 Mcnc Vertical microelectronic field emission devices including elongate vertical pillars having resistive bottom portions
US5265047A (en) 1992-03-09 1993-11-23 Monolithic System Technology High density SRAM circuit with single-ended memory cells
US6714625B1 (en) 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
US6355976B1 (en) 1992-05-14 2002-03-12 Reveo, Inc Three-dimensional packaging technology for multi-layered integrated circuits
US5646547A (en) 1994-04-28 1997-07-08 Xilinx, Inc. Logic cell which can be configured as a latch without static one's problem
US5535342A (en) 1992-11-05 1996-07-09 Giga Operations Corporation Pld connector for module having configuration of either first PLD or second PLD and reconfigurable bus for communication of two different bus protocols
JPH06318864A (en) 1993-05-07 1994-11-15 Toshiba Corp Field programmable gate array
EP1178530A2 (en) 1993-09-30 2002-02-06 Kopin Corporation Three-dimensional processor using transferred thin film circuits
US5485031A (en) 1993-11-22 1996-01-16 Actel Corporation Antifuse structure suitable for VLSI application
TW330313B (en) 1993-12-28 1998-04-21 Canon Kk A semiconductor substrate and process for producing same
US5817574A (en) 1993-12-29 1998-10-06 Intel Corporation Method of forming a high surface area interconnection structure
JP3514500B2 (en) 1994-01-28 2004-03-31 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US5554870A (en) 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
US7148119B1 (en) 1994-03-10 2006-12-12 Canon Kabushiki Kaisha Process for production of semiconductor substrate
US5682107A (en) 1994-04-01 1997-10-28 Xilinx, Inc. FPGA architecture with repeatable tiles including routing matrices and logic matrices
US5627106A (en) 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
US5594563A (en) 1994-05-31 1997-01-14 Honeywell Inc. High resolution subtractive color projection system
US5424560A (en) 1994-05-31 1995-06-13 Motorola, Inc. Integrated multicolor organic led array
MY114888A (en) 1994-08-22 2003-02-28 Ibm Method for forming a monolithic electronic module by stacking planar arrays of integrated circuit chips
DE4433845A1 (en) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Method of manufacturing a three-dimensional integrated circuit
DE4433833A1 (en) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Method for producing a three-dimensional integrated circuit while achieving high system yields
US5527423A (en) 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
KR100268567B1 (en) 1994-10-11 2000-10-16 포만 제프리 엘 Monolithic array of light emitting diodes for the generation of light at multiple wavelengths and its use for multicolor display applications
FR2726126A1 (en) 1994-10-24 1996-04-26 Mitsubishi Electric Corp LED device mfr. by thermally bonding LEDs
TW358907B (en) 1994-11-22 1999-05-21 Monolithic System Tech Inc A computer system and a method of using a DRAM array as a next level cache memory
US6358631B1 (en) 1994-12-13 2002-03-19 The Trustees Of Princeton University Mixed vapor deposited films for electroluminescent devices
US5707745A (en) 1994-12-13 1998-01-13 The Trustees Of Princeton University Multicolor organic light emitting devices
US6548956B2 (en) 1994-12-13 2003-04-15 The Trustees Of Princeton University Transparent contacts for organic devices
US5703436A (en) 1994-12-13 1997-12-30 The Trustees Of Princeton University Transparent contacts for organic devices
US5586291A (en) 1994-12-23 1996-12-17 Emc Corporation Disk controller with volatile and non-volatile cache memories
US5737748A (en) 1995-03-15 1998-04-07 Texas Instruments Incorporated Microprocessor unit having a first level write-through cache memory and a smaller second-level write-back cache memory
US5478762A (en) 1995-03-16 1995-12-26 Taiwan Semiconductor Manufacturing Company Method for producing patterning alignment marks in oxide
US5937312A (en) 1995-03-23 1999-08-10 Sibond L.L.C. Single-etch stop process for the manufacture of silicon-on-insulator wafers
US5789758A (en) 1995-06-07 1998-08-04 Micron Technology, Inc. Chalcogenide memory cell with a plurality of chalcogenide electrodes
FR2738671B1 (en) 1995-09-13 1997-10-10 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS WITH SEMICONDUCTOR MATERIAL
CN1132223C (en) 1995-10-06 2003-12-24 佳能株式会社 Semiconductor substrate and producing method thereof
US5583350A (en) 1995-11-02 1996-12-10 Motorola Full color light emitting diode display assembly
US5583349A (en) 1995-11-02 1996-12-10 Motorola Full color light emitting diode display
US5781031A (en) 1995-11-21 1998-07-14 International Business Machines Corporation Programmable logic array
US5617991A (en) 1995-12-01 1997-04-08 Advanced Micro Devices, Inc. Method for electrically conductive metal-to-metal bonding
US5748161A (en) 1996-03-04 1998-05-05 Motorola, Inc. Integrated electro-optical package with independent menu bar
FR2747506B1 (en) 1996-04-11 1998-05-15 Commissariat Energie Atomique PROCESS FOR OBTAINING A THIN FILM OF SEMICONDUCTOR MATERIAL INCLUDING IN PARTICULAR ELECTRONIC COMPONENTS
FR2748851B1 (en) 1996-05-15 1998-08-07 Commissariat Energie Atomique PROCESS FOR PRODUCING A THIN FILM OF SEMICONDUCTOR MATERIAL
US6424016B1 (en) 1996-05-24 2002-07-23 Texas Instruments Incorporated SOI DRAM having P-doped polysilicon gate for a memory pass transistor
KR100486803B1 (en) 1996-06-18 2005-06-16 소니 가부시끼 가이샤 Selfluminous display device
US5977961A (en) 1996-06-19 1999-11-02 Sun Microsystems, Inc. Method and apparatus for amplitude band enabled addressing arrayed elements
US6027958A (en) 1996-07-11 2000-02-22 Kopin Corporation Transferred flexible integrated circuit
DE69739368D1 (en) 1996-08-27 2009-05-28 Seiko Epson Corp Separation method and method for transferring a thin film device
US5770881A (en) 1996-09-12 1998-06-23 International Business Machines Coproration SOI FET design to reduce transient bipolar current
JP3584635B2 (en) 1996-10-04 2004-11-04 株式会社デンソー Semiconductor device and manufacturing method thereof
US5770483A (en) 1996-10-08 1998-06-23 Advanced Micro Devices, Inc. Multi-level transistor fabrication method with high performance drain-to-gate connection
US5835396A (en) 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory
US6020263A (en) 1996-10-31 2000-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of recovering alignment marks after chemical mechanical polishing of tungsten
US8058142B2 (en) 1996-11-04 2011-11-15 Besang Inc. Bonded semiconductor structure and method of making the same
US8779597B2 (en) 2004-06-21 2014-07-15 Sang-Yun Lee Semiconductor device with base support structure
US7888764B2 (en) 2003-06-24 2011-02-15 Sang-Yun Lee Three-dimensional integrated circuit structure
US8018058B2 (en) 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
US7052941B2 (en) * 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
US7633162B2 (en) 2004-06-21 2009-12-15 Sang-Yun Lee Electronic circuit with embedded memory
US7470598B2 (en) 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same
US7470142B2 (en) 2004-06-21 2008-12-30 Sang-Yun Lee Wafer bonding method
US7800199B2 (en) 2003-06-24 2010-09-21 Oh Choonsik Semiconductor circuit
US20050280155A1 (en) 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor bonding and layer transfer method
US5872029A (en) 1996-11-07 1999-02-16 Advanced Micro Devices, Inc. Method for forming an ultra high density inverter using a stacked transistor arrangement
SG67458A1 (en) 1996-12-18 1999-09-21 Canon Kk Process for producing semiconductor article
US5812708A (en) 1996-12-31 1998-09-22 Intel Corporation Method and apparatus for distributing an optical clock in an integrated circuit
US6331722B1 (en) 1997-01-18 2001-12-18 Semiconductor Energy Laboratory Co., Ltd. Hybrid circuit and electronic device using same
US5893721A (en) 1997-03-24 1999-04-13 Motorola, Inc. Method of manufacture of active matrix LED array
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US6191007B1 (en) 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6155909A (en) 1997-05-12 2000-12-05 Silicon Genesis Corporation Controlled cleavage system using pressurized fluid
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6111260A (en) 1997-06-10 2000-08-29 Advanced Micro Devices, Inc. Method and apparatus for in situ anneal during ion implant
JP4032454B2 (en) 1997-06-27 2008-01-16 ソニー株式会社 Manufacturing method of three-dimensional circuit element
US6207523B1 (en) 1997-07-03 2001-03-27 Micron Technology, Inc. Methods of forming capacitors DRAM arrays, and monolithic integrated circuits
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
GB2343550A (en) 1997-07-29 2000-05-10 Silicon Genesis Corp Cluster tool method and apparatus using plasma immersion ion implantation
US5882987A (en) 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US6201629B1 (en) 1997-08-27 2001-03-13 Microoptical Corporation Torsional micro-mechanical mirror system
US6009496A (en) 1997-10-30 1999-12-28 Winbond Electronics Corp. Microcontroller with programmable embedded flash memory
US6376337B1 (en) 1997-11-10 2002-04-23 Nanodynamics, Inc. Epitaxial SiOx barrier/insulation layer
US6232643B1 (en) 1997-11-13 2001-05-15 Micron Technology, Inc. Memory using insulator traps
US6429481B1 (en) 1997-11-14 2002-08-06 Fairchild Semiconductor Corporation Field effect transistor and method of its manufacture
US5952681A (en) 1997-11-24 1999-09-14 Chen; Hsing Light emitting diode emitting red, green and blue light
US6271542B1 (en) 1997-12-08 2001-08-07 International Business Machines Corporation Merged logic and memory combining thin film and bulk Si transistors
US6369410B1 (en) 1997-12-15 2002-04-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the semiconductor device
US6052498A (en) 1997-12-19 2000-04-18 Intel Corporation Method and apparatus providing an optical input/output bus through the back side of an integrated circuit die
JP3501642B2 (en) 1997-12-26 2004-03-02 キヤノン株式会社 Substrate processing method
TW406419B (en) 1998-01-15 2000-09-21 Siemens Ag Memory-cells arrangement and its production method
US6071795A (en) 1998-01-23 2000-06-06 The Regents Of The University Of California Separation of thin films from transparent substrates by selective optical processing
DE69917819T2 (en) 1998-02-04 2005-06-23 Canon K.K. SOI substrate
US5943574A (en) 1998-02-23 1999-08-24 Motorola, Inc. Method of fabricating 3D multilayer semiconductor circuits
US6448615B1 (en) 1998-02-26 2002-09-10 Micron Technology, Inc. Methods, structures, and circuits for transistors with gate-to-body capacitive coupling
JP4126747B2 (en) 1998-02-27 2008-07-30 セイコーエプソン株式会社 Manufacturing method of three-dimensional device
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
US5965875A (en) 1998-04-24 1999-10-12 Foveon, Inc. Color separation in an active pixel cell imaging array using a triple-well structure
US6057212A (en) 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
US6331468B1 (en) 1998-05-11 2001-12-18 Lsi Logic Corporation Formation of integrated circuit structure using one or more silicon layers for implantation and out-diffusion in formation of defect-free source/drain regions and also for subsequent formation of silicon nitride spacers
US6229161B1 (en) 1998-06-05 2001-05-08 Stanford University Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches
JP2000012864A (en) 1998-06-22 2000-01-14 Semiconductor Energy Lab Co Ltd Manufacture of semiconductor device
US6125217A (en) 1998-06-26 2000-09-26 Intel Corporation Clock distribution network
US6054370A (en) 1998-06-30 2000-04-25 Intel Corporation Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer
US6423614B1 (en) 1998-06-30 2002-07-23 Intel Corporation Method of delaminating a thin film using non-thermal techniques
US6392253B1 (en) 1998-08-10 2002-05-21 Arjun J. Saxena Semiconductor device with single crystal films grown on arrayed nucleation sites on amorphous and/or non-single crystal surfaces
US6242778B1 (en) 1998-09-22 2001-06-05 International Business Machines Corporation Cooling method for silicon on insulator devices
JP2000132961A (en) 1998-10-23 2000-05-12 Canon Inc Magnetic thin film memory, method for reading out magnetic thin film memory, and method for writing to magnetic thin film memory
JP2000199827A (en) 1998-10-27 2000-07-18 Sony Corp Optical wave guide device and its manufacture
US6423613B1 (en) 1998-11-10 2002-07-23 Micron Technology, Inc. Low temperature silicon wafer bond process with bulk material bond strength
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US6245634B1 (en) 1999-10-28 2001-06-12 Easic Corporation Method for design and manufacture of semiconductors
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
EP1041624A1 (en) 1999-04-02 2000-10-04 Interuniversitair Microelektronica Centrum Vzw Method of transferring ultra-thin substrates and application of the method to the manufacture of a multilayer thin film device
US6430734B1 (en) 1999-04-15 2002-08-06 Sycon Design, Inc. Method for determining bus line routing for components of an integrated circuit
JP2001006370A (en) 1999-06-17 2001-01-12 Nec Corp Sram circuit
JP2001007698A (en) 1999-06-25 2001-01-12 Mitsubishi Electric Corp Data pll circuit
US6355980B1 (en) 1999-07-15 2002-03-12 Nanoamp Solutions Inc. Dual die memory
US6242324B1 (en) 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6294018B1 (en) 1999-09-15 2001-09-25 Lucent Technologies Alignment techniques for epitaxial growth processes
US6653209B1 (en) 1999-09-30 2003-11-25 Canon Kabushiki Kaisha Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6261935B1 (en) 1999-12-13 2001-07-17 Chartered Semiconductor Manufacturing Ltd. Method of forming contact to polysilicon gate for MOS devices
US6701071B2 (en) 2000-01-11 2004-03-02 Minolta Co., Ltd. Lens barrel with built-in blur correction mechanism
US6281102B1 (en) 2000-01-13 2001-08-28 Integrated Device Technology, Inc. Cobalt silicide structure for improving gate oxide integrity and method for fabricating same
SE0000148D0 (en) 2000-01-17 2000-01-17 Forskarpatent I Syd Ab Manufacturing method for IR detector matrices
US6614109B2 (en) 2000-02-04 2003-09-02 International Business Machines Corporation Method and apparatus for thermal management of integrated circuits
US6871396B2 (en) 2000-02-09 2005-03-29 Matsushita Electric Industrial Co., Ltd. Transfer material for wiring substrate
JP3735855B2 (en) 2000-02-17 2006-01-18 日本電気株式会社 Semiconductor integrated circuit device and driving method thereof
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6544837B1 (en) 2000-03-17 2003-04-08 International Business Machines Corporation SOI stacked DRAM logic
JP2001284360A (en) 2000-03-31 2001-10-12 Hitachi Ltd Semiconductor device
US6420215B1 (en) 2000-04-28 2002-07-16 Matrix Semiconductor, Inc. Three-dimensional memory array and method of fabrication
AU2001262953A1 (en) 2000-04-28 2001-11-12 Matrix Semiconductor, Inc. Three-dimensional memory array and method of fabrication
US6635588B1 (en) 2000-06-12 2003-10-21 Ultratech Stepper, Inc. Method for laser thermal processing using thermally induced reflectivity switch
US6635552B1 (en) 2000-06-12 2003-10-21 Micron Technology, Inc. Methods of forming semiconductor constructions
KR100372639B1 (en) 2000-06-21 2003-02-17 주식회사 하이닉스반도체 Method of manufacturing mosfet device
US6404043B1 (en) 2000-06-21 2002-06-11 Dense-Pac Microsystems, Inc. Panel stacking of BGA devices to form three-dimensional modules
JP4424830B2 (en) 2000-06-30 2010-03-03 Okiセミコンダクタ株式会社 Semiconductor device
US6429484B1 (en) 2000-08-07 2002-08-06 Advanced Micro Devices, Inc. Multiple active layer structure and a method of making such a structure
US6580124B1 (en) 2000-08-14 2003-06-17 Matrix Semiconductor Inc. Multigate semiconductor device with vertical channel current and method of fabrication
US6534851B1 (en) 2000-08-21 2003-03-18 Agere Systems, Inc. Modular semiconductor substrates
US6331943B1 (en) 2000-08-28 2001-12-18 Motorola, Inc. MTJ MRAM series-parallel architecture
US6537891B1 (en) 2000-08-29 2003-03-25 Micron Technology, Inc. Silicon on insulator DRAM process utilizing both fully and partially depleted devices
US6600173B2 (en) 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6476636B1 (en) 2000-09-02 2002-11-05 Actel Corporation Tileable field-programmable gate array architecture
US7015719B1 (en) 2000-09-02 2006-03-21 Actel Corporation Tileable field-programmable gate array architecture
JP3744825B2 (en) 2000-09-08 2006-02-15 セイコーエプソン株式会社 Semiconductor device
US6479821B1 (en) 2000-09-11 2002-11-12 Ultratech Stepper, Inc. Thermally induced phase switch for laser thermal processing
US20020090758A1 (en) 2000-09-19 2002-07-11 Silicon Genesis Corporation Method and resulting device for manufacturing for double gated transistors
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
JP2002134374A (en) 2000-10-25 2002-05-10 Mitsubishi Electric Corp Semiconductor wafer and its manufacturing method and device
FR2816445B1 (en) 2000-11-06 2003-07-25 Commissariat Energie Atomique METHOD FOR MANUFACTURING A STACKED STRUCTURE COMPRISING A THIN LAYER ADHERING TO A TARGET SUBSTRATE
FR2817395B1 (en) 2000-11-27 2003-10-31 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SUBSTRATE, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTOELECTRONICS AND SUBSTRATE OBTAINED THEREBY
US6377504B1 (en) 2000-12-12 2002-04-23 Tachuon Semiconductor Corp High-density memory utilizing multiplexers to reduce bit line pitch constraints
US6507115B2 (en) 2000-12-14 2003-01-14 International Business Machines Corporation Multi-chip integrated circuit module
US7094667B1 (en) 2000-12-28 2006-08-22 Bower Robert W Smooth thin film layers produced by low temperature hydrogen ion cut
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
JP3768819B2 (en) 2001-01-31 2006-04-19 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6887753B2 (en) 2001-02-28 2005-05-03 Micron Technology, Inc. Methods of forming semiconductor circuitry, and semiconductor circuit constructions
EP1244142A1 (en) 2001-03-23 2002-09-25 Universite Catholique De Louvain Fabrication method of SOI semiconductor devices
JP2002353245A (en) 2001-03-23 2002-12-06 Seiko Epson Corp Electro-optic substrate device, its manufacturing method, electro-optic device, electronic apparatus, and method for manufacturing substrate device
JP2002299575A (en) 2001-03-29 2002-10-11 Toshiba Corp Semiconductor memory
US6526559B2 (en) 2001-04-13 2003-02-25 Interface & Control Systems, Inc. Method for creating circuit redundancy in programmable logic devices
US7151307B2 (en) 2001-05-08 2006-12-19 The Boeing Company Integrated semiconductor circuits on photo-active Germanium substrates
JP2002343564A (en) 2001-05-18 2002-11-29 Sharp Corp Transfer film and manufacturing method of organic electroluminescence element using the same
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
TW498470B (en) 2001-05-25 2002-08-11 Siliconware Precision Industries Co Ltd Semiconductor packaging with stacked chips
DE10125967C1 (en) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM cell arrangement used for a semiconductor storage device comprises a matrix arrangement of storage cells stacked over each other as layers, and a capacitor connected to the MOS transistor
US6483707B1 (en) 2001-06-07 2002-11-19 Loctite Corporation Heat sink and thermal interface having shielding to attenuate electromagnetic interference
US6580289B2 (en) 2001-06-08 2003-06-17 Viasic, Inc. Cell architecture to reduce customization in a semiconductor device
JP3860170B2 (en) 2001-06-11 2006-12-20 チバ スペシャルティ ケミカルズ ホールディング インコーポレーテッド Photoinitiators of oxime esters with combined structures
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
GB0114317D0 (en) 2001-06-13 2001-08-01 Kean Thomas A Method of protecting intellectual property cores on field programmable gate array
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
US20020190232A1 (en) 2001-06-18 2002-12-19 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices for detecting smoke
US7211828B2 (en) 2001-06-20 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and electronic apparatus
JP2003023138A (en) 2001-07-10 2003-01-24 Toshiba Corp Memory chip, coc device using the same, and their manufacturing method
US7067849B2 (en) 2001-07-17 2006-06-27 Lg Electronics Inc. Diode having high brightness and method thereof
DE10135870C1 (en) 2001-07-24 2003-02-20 Infineon Technologies Ag Production of an integrated semiconductor circuit comprises depositing layer sequence, anisotropically etching, oxidizing the lowermost layer of the layer sequence, depositing further layer sequence on substrate, and isotropically etching
JP5057619B2 (en) 2001-08-01 2012-10-24 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US6841813B2 (en) 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
FR2828762B1 (en) 2001-08-14 2003-12-05 Soitec Silicon On Insulator METHOD FOR OBTAINING A THIN FILM OF A SEMICONDUCTOR MATERIAL SUPPORTING AT LEAST ONE ELECTRONIC COMPONENT AND / OR CIRCUIT
US6806171B1 (en) 2001-08-24 2004-10-19 Silicon Wafer Technologies, Inc. Method of producing a thin layer of crystalline material
US6861757B2 (en) 2001-09-03 2005-03-01 Nec Corporation Interconnecting substrate for carrying semiconductor device, method of producing thereof and package of semiconductor device
TW522534B (en) 2001-09-11 2003-03-01 Hsiu-Hen Chang Light source of full color LED using die bonding and packaging technology
US7420147B2 (en) 2001-09-12 2008-09-02 Reveo, Inc. Microchannel plate and method of manufacturing microchannel plate
US6875671B2 (en) 2001-09-12 2005-04-05 Reveo, Inc. Method of fabricating vertical integrated circuits
JP2003098225A (en) 2001-09-25 2003-04-03 Toshiba Corp Semiconductor integrated circuit
US6815781B2 (en) 2001-09-25 2004-11-09 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with salicided source/drain structures and method of making same
JP4166455B2 (en) 2001-10-01 2008-10-15 株式会社半導体エネルギー研究所 Polarizing film and light emitting device
US7459763B1 (en) 2001-10-02 2008-12-02 Actel Corporation Reprogrammable metal-to-metal antifuse employing carbon-containing antifuse material
US6717222B2 (en) 2001-10-07 2004-04-06 Guobiao Zhang Three-dimensional memory
JP2003133441A (en) 2001-10-22 2003-05-09 Nec Corp Semiconductor device
TWI264121B (en) 2001-11-30 2006-10-11 Semiconductor Energy Lab A display device, a method of manufacturing a semiconductor device, and a method of manufacturing a display device
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6627985B2 (en) 2001-12-05 2003-09-30 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
US7126214B2 (en) 2001-12-05 2006-10-24 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
FR2834123B1 (en) 2001-12-21 2005-02-04 Soitec Silicon On Insulator SEMICONDUCTOR THIN FILM DELIVERY METHOD AND METHOD FOR OBTAINING A DONOR WAFER FOR SUCH A DELAYING METHOD
US6756633B2 (en) 2001-12-27 2004-06-29 Silicon Storage Technology, Inc. Semiconductor memory array of floating gate memory cells with horizontally oriented floating gate edges
DE10200399B4 (en) 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale A method for producing a three-dimensionally integrated semiconductor device and a three-dimensionally integrated semiconductor device
FR2835097B1 (en) 2002-01-23 2005-10-14 OPTIMIZED METHOD FOR DEFERRING A THIN LAYER OF SILICON CARBIDE ON A RECEPTACLE SUBSTRATE
US6661085B2 (en) 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6645832B2 (en) 2002-02-20 2003-11-11 Intel Corporation Etch stop layer for silicon (Si) via etch in three-dimensional (3-D) wafer-to-wafer vertical stack
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
JP3975395B2 (en) 2002-02-26 2007-09-12 フジノン株式会社 Camera system
KR20040094598A (en) 2002-04-03 2004-11-10 소니 가부시끼 가이샤 Integrated circuit, integrated circuit device, method for structuring integrated circuit device, and method for manufacturing integrated circuit device
EP1357603A3 (en) 2002-04-18 2004-01-14 Innovative Silicon SA Semiconductor device
EP1355316B1 (en) 2002-04-18 2007-02-21 Innovative Silicon SA Data storage device and refreshing method for use with such device
FR2838866B1 (en) 2002-04-23 2005-06-24 St Microelectronics Sa METHOD FOR MANUFACTURING ELECTRONIC COMPONENTS AND ELECTRONIC PRODUCT INCORPORATING A COMPONENT THUS OBTAINED
DE10223945B4 (en) 2002-05-29 2006-12-21 Advanced Micro Devices, Inc., Sunnyvale Method for improving the production of damascene metal structures
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7193893B2 (en) 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US7112994B2 (en) 2002-07-08 2006-09-26 Viciciv Technology Three dimensional integrated circuits
US6992503B2 (en) 2002-07-08 2006-01-31 Viciciv Technology Programmable devices with convertibility to customizable devices
US7312109B2 (en) 2002-07-08 2007-12-25 Viciciv, Inc. Methods for fabricating fuse programmable three dimensional integrated circuits
US20040004251A1 (en) 2002-07-08 2004-01-08 Madurawe Raminda U. Insulated-gate field-effect thin film transistors
US7064579B2 (en) 2002-07-08 2006-06-20 Viciciv Technology Alterable application specific integrated circuit (ASIC)
US20040007376A1 (en) 2002-07-09 2004-01-15 Eric Urdahl Integrated thermal vias
US7043106B2 (en) 2002-07-22 2006-05-09 Applied Materials, Inc. Optical ready wafers
US7110629B2 (en) 2002-07-22 2006-09-19 Applied Materials, Inc. Optical ready substrates
US7016569B2 (en) 2002-07-31 2006-03-21 Georgia Tech Research Corporation Back-side-of-die, through-wafer guided-wave optical clock distribution networks, method of fabrication thereof, and uses thereof
WO2004015764A2 (en) 2002-08-08 2004-02-19 Leedy Glenn J Vertical system integration
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US20070076509A1 (en) 2002-08-28 2007-04-05 Guobiao Zhang Three-Dimensional Mask-Programmable Read-Only Memory
US7508034B2 (en) 2002-09-25 2009-03-24 Sharp Kabushiki Kaisha Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
US8643162B2 (en) 2007-11-19 2014-02-04 Raminda Udaya Madurawe Pads and pin-outs in three dimensional integrated circuits
JP4297677B2 (en) 2002-10-29 2009-07-15 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
US6777288B1 (en) 2002-11-06 2004-08-17 National Semiconductor Corporation Vertical MOS transistor
US7138685B2 (en) 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
US7067909B2 (en) 2002-12-31 2006-06-27 Massachusetts Institute Of Technology Multi-layer integrated semiconductor structure having an electrical shielding portion
US7799675B2 (en) 2003-06-24 2010-09-21 Sang-Yun Lee Bonded semiconductor structure and method of fabricating the same
US20100133695A1 (en) 2003-01-12 2010-06-03 Sang-Yun Lee Electronic circuit with embedded memory
US6938226B2 (en) 2003-01-17 2005-08-30 Infineon Technologies Ag 7-tracks standard cell library
FR2850390B1 (en) 2003-01-24 2006-07-14 Soitec Silicon On Insulator METHOD FOR REMOVING A PERIPHERAL GLUE ZONE WHEN MANUFACTURING A COMPOSITE SUBSTRATE
JP4502173B2 (en) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
TWI235469B (en) 2003-02-07 2005-07-01 Siliconware Precision Industries Co Ltd Thermally enhanced semiconductor package with EMI shielding
US6812504B2 (en) 2003-02-10 2004-11-02 Micron Technology, Inc. TFT-based random access memory cells comprising thyristors
JP4574118B2 (en) 2003-02-12 2010-11-04 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
US7176528B2 (en) 2003-02-18 2007-02-13 Corning Incorporated Glass-based SOI structures
JP4167513B2 (en) 2003-03-06 2008-10-15 シャープ株式会社 Nonvolatile semiconductor memory device
US6917219B2 (en) 2003-03-12 2005-07-12 Xilinx, Inc. Multi-chip programmable logic device having configurable logic circuitry and configuration data storage on different dice
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
JP4509488B2 (en) 2003-04-02 2010-07-21 株式会社Sumco Manufacturing method of bonded substrate
JP2004342833A (en) 2003-05-15 2004-12-02 Seiko Epson Corp Manufacturing method of semiconductor device, electro-optical device, integrated circuit and electronic apparatus
KR100471173B1 (en) 2003-05-15 2005-03-10 삼성전자주식회사 Transistor having multi channel and method of fabricating the same
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7256104B2 (en) 2003-05-21 2007-08-14 Canon Kabushiki Kaisha Substrate manufacturing method and substrate processing apparatus
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
US7291878B2 (en) 2003-06-03 2007-11-06 Hitachi Global Storage Technologies Netherlands B.V. Ultra low-cost solid-state memory
US7384807B2 (en) 2003-06-04 2008-06-10 Verticle, Inc. Method of fabricating vertical structure compound semiconductor devices
US6943407B2 (en) 2003-06-17 2005-09-13 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
US20050003592A1 (en) 2003-06-18 2005-01-06 Jones A. Brooke All-around MOSFET gate and methods of manufacture thereof
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US7867822B2 (en) 2003-06-24 2011-01-11 Sang-Yun Lee Semiconductor memory device
US8071438B2 (en) 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US7632738B2 (en) 2003-06-24 2009-12-15 Sang-Yun Lee Wafer bonding method
US20100190334A1 (en) 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
US8471263B2 (en) 2003-06-24 2013-06-25 Sang-Yun Lee Information storage system which includes a bonded semiconductor structure
US7863748B2 (en) 2003-06-24 2011-01-04 Oh Choonsik Semiconductor circuit and method of fabricating the same
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7068072B2 (en) 2003-06-30 2006-06-27 Xilinx, Inc. Integrated circuit with interface tile for coupling to a stacked-die second integrated circuit
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
JP2005026413A (en) 2003-07-01 2005-01-27 Renesas Technology Corp Semiconductor wafer, semiconductor device, and its manufacturing method
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
GB2403842A (en) 2003-07-10 2005-01-12 Ocuity Ltd Alignment of elements of a display apparatus
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
JP4356542B2 (en) 2003-08-27 2009-11-04 日本電気株式会社 Semiconductor device
US7115460B2 (en) 2003-09-04 2006-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell back bias architecture
JP4651924B2 (en) 2003-09-18 2011-03-16 シャープ株式会社 Thin film semiconductor device and method for manufacturing thin film semiconductor device
EP2975665B1 (en) 2003-09-19 2017-02-01 Sony Corporation Display unit
JP4130163B2 (en) 2003-09-29 2008-08-06 三洋電機株式会社 Semiconductor light emitting device
US6821826B1 (en) 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US20050082526A1 (en) 2003-10-15 2005-04-21 International Business Machines Corporation Techniques for layer transfer processing
JP2005150686A (en) 2003-10-22 2005-06-09 Sharp Corp Semiconductor device and its manufacturing method
US6962843B2 (en) 2003-11-05 2005-11-08 International Business Machines Corporation Method of fabricating a finfet
US7098502B2 (en) 2003-11-10 2006-08-29 Freescale Semiconductor, Inc. Transistor having three electrically isolated electrodes and method of formation
US7304327B1 (en) 2003-11-12 2007-12-04 T-Ram Semiconductor, Inc. Thyristor circuit and approach for temperature stability
US6967149B2 (en) 2003-11-20 2005-11-22 Hewlett-Packard Development Company, L.P. Storage structure with cleaved layer
US7019557B2 (en) 2003-12-24 2006-03-28 Viciciv Technology Look-up table based logic macro-cells
US7030651B2 (en) 2003-12-04 2006-04-18 Viciciv Technology Programmable structured arrays
KR20050054788A (en) 2003-12-06 2005-06-10 삼성전자주식회사 Fabrication method of poly-crystalline si thin film and transistor thereby
FR2863771B1 (en) 2003-12-10 2007-03-02 Soitec Silicon On Insulator PROCESS FOR PROCESSING A MULTILAYER WAFER HAVING A DIFFERENTIAL OF THERMAL CHARACTERISTICS
FR2864336B1 (en) 2003-12-23 2006-04-28 Commissariat Energie Atomique METHOD FOR SEALING TWO PLATES WITH FORMATION OF AN OHMIC CONTACT BETWEEN THEM
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
DE102004004765A1 (en) 2004-01-29 2005-09-01 Rwe Space Solar Power Gmbh Active Zones Semiconductor Structure
US7030554B2 (en) 2004-02-06 2006-04-18 Eastman Kodak Company Full-color organic display having improved blue emission
US7112815B2 (en) 2004-02-25 2006-09-26 Micron Technology, Inc. Multi-layer memory arrays
US6995456B2 (en) 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
DE102004014472B4 (en) 2004-03-24 2012-05-03 Infineon Technologies Ag Application specific semiconductor integrated circuit
US7180238B2 (en) 2004-04-08 2007-02-20 Eastman Kodak Company Oled microcavity subpixels and color filter elements
US7180379B1 (en) 2004-05-03 2007-02-20 National Semiconductor Corporation Laser powered clock circuit with a substantially reduced clock skew
US7337425B2 (en) 2004-06-04 2008-02-26 Ami Semiconductor, Inc. Structured ASIC device with configurable die size and selectable embedded functions
DE102004027489B4 (en) 2004-06-04 2017-03-02 Infineon Technologies Ag A method of arranging chips of a first substrate on a second substrate
WO2005119776A1 (en) 2004-06-04 2005-12-15 Zycube Co., Ltd. Semiconductor device having three-dimensional stack structure and method for manufacturing the same
CN102683391B (en) 2004-06-04 2015-11-18 伊利诺伊大学评议会 For the manufacture of and the method and apparatus of assembling printable semiconductor elements
JP4814498B2 (en) 2004-06-18 2011-11-16 シャープ株式会社 Manufacturing method of semiconductor substrate
US7378702B2 (en) 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
US7098507B2 (en) 2004-06-30 2006-08-29 Intel Corporation Floating-body dynamic random access memory and method of fabrication in tri-gate technology
US7091069B2 (en) 2004-06-30 2006-08-15 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US7271420B2 (en) 2004-07-07 2007-09-18 Cao Group, Inc. Monolitholic LED chip to emit multiple colors
US7223612B2 (en) 2004-07-26 2007-05-29 Infineon Technologies Ag Alignment of MTJ stack to conductive lines in the absence of topography
US7098691B2 (en) 2004-07-27 2006-08-29 Easic Corporation Structured integrated circuit device
US7463062B2 (en) 2004-07-27 2008-12-09 Easic Corporation Structured integrated circuit device
KR100555567B1 (en) 2004-07-30 2006-03-03 삼성전자주식회사 Method for manufacturing multibridge-channel MOSFET
DE102004037089A1 (en) 2004-07-30 2006-03-16 Advanced Micro Devices, Inc., Sunnyvale A technique for making a passivation layer prior to depositing a barrier layer in a copper metallization layer
US7442624B2 (en) 2004-08-02 2008-10-28 Infineon Technologies Ag Deep alignment marks on edge chips for subsequent alignment of opaque layers
GB2447637B (en) 2004-08-04 2009-11-18 Cambridge Display Tech Ltd Organic Electroluminescent Device
US7312487B2 (en) 2004-08-16 2007-12-25 International Business Machines Corporation Three dimensional integrated circuit
ATE381540T1 (en) 2004-08-18 2008-01-15 Ciba Sc Holding Ag OXIMESTHER PHOTO INITIATORS
TW200610059A (en) 2004-09-01 2006-03-16 Au Optronics Corp Semiconductor device and method of fabricating an LTPS layer
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
JP2006073939A (en) 2004-09-06 2006-03-16 Toshiba Corp Nonvolatile semiconductor memory and manufacturing method thereof
US7459772B2 (en) 2004-09-29 2008-12-02 Actel Corporation Face-to-face bonded I/O circuit die and functional logic circuit die system
US7566974B2 (en) 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
US20060067122A1 (en) 2004-09-29 2006-03-30 Martin Verhoeven Charge-trapping memory cell
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
KR100604903B1 (en) 2004-09-30 2006-07-28 삼성전자주식회사 Semiconductor wafer with improved step coverage and fabrication method of the same
US7284226B1 (en) 2004-10-01 2007-10-16 Xilinx, Inc. Methods and structures of providing modular integrated circuits
JP4467398B2 (en) 2004-10-05 2010-05-26 新光電気工業株式会社 Automatic wiring determination device
FR2876841B1 (en) 2004-10-19 2007-04-13 Commissariat Energie Atomique PROCESS FOR PRODUCING MULTILAYERS ON A SUBSTRATE
US7476939B2 (en) 2004-11-04 2009-01-13 Innovative Silicon Isi Sa Memory cell having an electrically floating body transistor and programming technique therefor
US7342415B2 (en) 2004-11-08 2008-03-11 Tabula, Inc. Configurable IC with interconnect circuits that also perform storage operations
US7816721B2 (en) 2004-11-11 2010-10-19 Semiconductor Energy Laboratory Co., Ltd. Transmission/reception semiconductor device with memory element and antenna on same side of conductive adhesive
KR100684875B1 (en) 2004-11-24 2007-02-20 삼성전자주식회사 Semiconductor Device And Method Of Fabricating The Same
KR20060058573A (en) 2004-11-25 2006-05-30 한국전자통신연구원 Cmos image sensor
US20090234331A1 (en) 2004-11-29 2009-09-17 Koninklijke Philips Electronics, N.V. Electronically controlled pill and system having at least one sensor for delivering at least one medicament
US7301838B2 (en) 2004-12-13 2007-11-27 Innovative Silicon S.A. Sense amplifier circuitry and architecture to write data into and/or read from memory cells
US7301803B2 (en) 2004-12-22 2007-11-27 Innovative Silicon S.A. Bipolar reading technique for a memory cell having an electrically floating body transistor
US7129748B1 (en) 2004-12-29 2006-10-31 Actel Corporation Non-volatile look-up table for an FPGA
US7750669B2 (en) 2005-01-06 2010-07-06 Justin Martin Spangaro Reprogrammable integrated circuit
US8125137B2 (en) 2005-01-10 2012-02-28 Cree, Inc. Multi-chip light emitting device lamps for providing high-CRI warm white light and light fixtures including the same
WO2006077596A2 (en) 2005-01-21 2006-07-27 Novatrans Group Sa Electronic device and method for performing logic functions
WO2006079865A1 (en) 2005-01-27 2006-08-03 Infineon Technologies Ag Semiconductor package and method of assembling the same
JP2006210828A (en) 2005-01-31 2006-08-10 Fujitsu Ltd Semiconductor device and method for manufacturing the same
US7217636B1 (en) 2005-02-09 2007-05-15 Translucent Inc. Semiconductor-on-insulator silicon wafer
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7435659B2 (en) 2005-02-28 2008-10-14 Texas Instruments Incorporated Method for manufacturing a semiconductor device having an alignment feature formed using an N-type dopant and a wet oxidation process
KR100761755B1 (en) 2005-02-28 2007-09-28 삼성전자주식회사 Semiconductor memory device capable of controlling an input/output bit organization
KR100704784B1 (en) 2005-03-07 2007-04-10 삼성전자주식회사 Stacked semiconductor device and method of fabricating the same
US7406761B2 (en) 2005-03-21 2008-08-05 Honeywell International Inc. Method of manufacturing vibrating micromechanical structures
KR100702012B1 (en) 2005-03-22 2007-03-30 삼성전자주식회사 Srams having buried layer patterns and methods of forming the same
US8367524B2 (en) 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
US20110143506A1 (en) 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
US20110001172A1 (en) 2005-03-29 2011-01-06 Sang-Yun Lee Three-dimensional integrated circuit structure
CN101180420B (en) 2005-04-04 2012-10-17 东北技术使者株式会社 Method for growth of GaN single crystal, method for preparation of GaN substrate, process for producing GaN-based element, and GaN-based element
US7687372B2 (en) 2005-04-08 2010-03-30 Versatilis Llc System and method for manufacturing thick and thin film devices using a donee layer cleaved from a crystalline donor
KR100684894B1 (en) 2005-04-18 2007-02-20 삼성전자주식회사 Method of forming a semiconductor device having stacked transisters
KR100680291B1 (en) 2005-04-22 2007-02-07 한국과학기술원 Non-volatile memory having H-channel double-gate and method of manufacturing thereof and method of operating for multi-bits cell operation
US20060249859A1 (en) 2005-05-05 2006-11-09 Eiles Travis M Metrology system and method for stacked wafer alignment
CN101287986B (en) 2005-06-14 2012-01-18 三美电机株式会社 Field effect transistor, biosensor provided with it, and detecting method
US7521806B2 (en) 2005-06-14 2009-04-21 John Trezza Chip spanning connection
US8148713B2 (en) 2008-04-04 2012-04-03 The Regents Of The University Of California Method for fabrication of semipolar (Al, In, Ga, B)N based light emitting diodes
JP4507101B2 (en) 2005-06-30 2010-07-21 エルピーダメモリ株式会社 Semiconductor memory device and manufacturing method thereof
CN100454534C (en) 2005-07-04 2009-01-21 崇贸科技股份有限公司 Single-segment and multi-segment triggering type voltage-adjustable static-electricity discharging protection semiconductor structure
US7471855B2 (en) 2005-07-13 2008-12-30 Alcatel-Lucent Usa Inc. Monlithically coupled waveguide and phototransistor
US20090268983A1 (en) 2005-07-25 2009-10-29 The Regents Of The University Of California Digital imaging system and method using multiple digital image sensors to produce large high-resolution gapless mosaic images
US7526739B2 (en) 2005-07-26 2009-04-28 R3 Logic, Inc. Methods and systems for computer aided design of 3D integrated circuits
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7674687B2 (en) 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US20090224330A1 (en) 2005-07-28 2009-09-10 Hong Chang Min Semiconductor Memory Device and Method for Arranging and Manufacturing the Same
US7978561B2 (en) 2005-07-28 2011-07-12 Samsung Electronics Co., Ltd. Semiconductor memory devices having vertically-stacked transistors therein
US7612411B2 (en) 2005-08-03 2009-11-03 Walker Andrew J Dual-gate device and method
US8138502B2 (en) 2005-08-05 2012-03-20 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and manufacturing method thereof
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7566855B2 (en) 2005-08-25 2009-07-28 Richard Ian Olsen Digital camera with integrated infrared (IR) response
JP5057981B2 (en) 2005-09-05 2012-10-24 シャープ株式会社 Semiconductor device, manufacturing method thereof, and display device
US7355916B2 (en) 2005-09-19 2008-04-08 Innovative Silicon S.A. Method and circuitry to generate a reference current for reading a memory cell, and device implementing same
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7265059B2 (en) 2005-09-30 2007-09-04 Freescale Semiconductor, Inc. Multiple fin formation
US7737003B2 (en) 2005-10-11 2010-06-15 International Business Machines Corporation Method and structure for optimizing yield of 3-D chip manufacture
US7439773B2 (en) 2005-10-11 2008-10-21 Casic Corporation Integrated circuit communication techniques
US7296201B2 (en) 2005-10-29 2007-11-13 Dafca, Inc. Method to locate logic errors and defects in digital circuits
US8012592B2 (en) 2005-11-01 2011-09-06 Massachuesetts Institute Of Technology Monolithically integrated semiconductor materials and devices
US20070109831A1 (en) 2005-11-15 2007-05-17 Siva Raghuram Semiconductor product and method for forming a semiconductor product
US7786460B2 (en) 2005-11-15 2010-08-31 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7662699B2 (en) 2005-11-24 2010-02-16 Renesas Technology Corp. Method for fabricating semiconductor device
US7688619B2 (en) 2005-11-28 2010-03-30 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
ATE496027T1 (en) 2005-12-01 2011-02-15 Basf Se OXIMESTER PHOTO INITIATORS
US7209384B1 (en) 2005-12-08 2007-04-24 Juhan Kim Planar capacitor memory cell and its applications
US20070132049A1 (en) * 2005-12-12 2007-06-14 Stipe Barry C Unipolar resistance random access memory (RRAM) device and vertically stacked architecture
EP1960234A1 (en) 2005-12-16 2008-08-27 Mentor Graphics Corporation Two-dimensional orthogonal wire harness representation
KR100668350B1 (en) 2005-12-20 2007-01-12 삼성전자주식회사 Nand type multi-bit non-volatile memory device and method of fabricating the same
KR100755368B1 (en) 2006-01-10 2007-09-04 삼성전자주식회사 Methods of manufacturing a semiconductor device having a three dimesional structure and semiconductor devices fabricated thereby
US8242025B2 (en) 2006-01-16 2012-08-14 Panasonic Corporation Method for producing semiconductor chip, and field effect transistor and method for manufacturing same
US7671460B2 (en) 2006-01-25 2010-03-02 Teledyne Licensing, Llc Buried via technology for three dimensional integrated circuits
KR100699807B1 (en) 2006-01-26 2007-03-28 삼성전자주식회사 Stack chip and stack chip package comprising the same
KR100796642B1 (en) 2006-01-27 2008-01-22 삼성전자주식회사 Highly Integrated Semiconductor Device And Method Of Fabricating The Same
US20070194453A1 (en) 2006-01-27 2007-08-23 Kanad Chakraborty Integrated circuit architecture for reducing interconnect parasitics
US7354809B2 (en) 2006-02-13 2008-04-08 Wisconsin Alumi Research Foundation Method for double-sided processing of thin film transistors
US7542345B2 (en) 2006-02-16 2009-06-02 Innovative Silicon Isi Sa Multi-bit memory cell having electrically floating body transistor, and method of programming and reading same
US7362608B2 (en) 2006-03-02 2008-04-22 Infineon Technologies Ag Phase change memory fabricated using self-aligned processing
US7514780B2 (en) 2006-03-15 2009-04-07 Hitachi, Ltd. Power semiconductor device
US7378309B2 (en) 2006-03-15 2008-05-27 Sharp Laboratories Of America, Inc. Method of fabricating local interconnects on a silicon-germanium 3D CMOS
US7419844B2 (en) 2006-03-17 2008-09-02 Sharp Laboratories Of America, Inc. Real-time CMOS imager having stacked photodiodes fabricated on SOI wafer
JP5016832B2 (en) 2006-03-27 2012-09-05 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US7989304B2 (en) 2006-03-28 2011-08-02 Sharp Kabushiki Kaisha Method for transferring semiconductor element, method for manufacturing semiconductor device, and semiconductor device
US7408798B2 (en) 2006-03-31 2008-08-05 International Business Machines Corporation 3-dimensional integrated circuit architecture, structure and method for fabrication thereof
US7684224B2 (en) 2006-03-31 2010-03-23 International Business Machines Corporation Structure comprising 3-dimensional integrated circuit architecture, circuit structure, and instructions for fabrication thereof
US7285480B1 (en) 2006-04-07 2007-10-23 International Business Machines Corporation Integrated circuit chip with FETs having mixed body thicknesses and method of manufacture thereof
US7492632B2 (en) 2006-04-07 2009-02-17 Innovative Silicon Isi Sa Memory array having a programmable word length, and method of operating same
US7608848B2 (en) 2006-05-09 2009-10-27 Macronix International Co., Ltd. Bridge resistance random access memory device with a singular contact structure
WO2007133775A2 (en) 2006-05-15 2007-11-22 Carnegie Mellon University Integrated circuit, device, system, and method of fabrication
US7670927B2 (en) 2006-05-16 2010-03-02 International Business Machines Corporation Double-sided integrated circuit chips
US7499352B2 (en) 2006-05-19 2009-03-03 Innovative Silicon Isi Sa Integrated circuit having memory array including row redundancy, and method of programming, controlling and/or operating same
JP4134199B2 (en) 2006-05-25 2008-08-13 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
JP5010192B2 (en) 2006-06-22 2012-08-29 株式会社東芝 Nonvolatile semiconductor memory device
US7385283B2 (en) 2006-06-27 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
KR100919433B1 (en) 2006-06-29 2009-09-29 삼성전자주식회사 Non volatile memory device and method for fabricating the same
DE102006030267B4 (en) 2006-06-30 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Nano embossing technique with increased flexibility in terms of adjustment and shaping of structural elements
FR2904143A1 (en) 2006-07-24 2008-01-25 St Microelectronics Sa IMAGE SENSOR FLASHING FROM THE REAR SIDE AT UNIFORM SUBSTRATE TEMPERATURE
US7511536B2 (en) 2006-08-03 2009-03-31 Chipx, Inc. Cells of a customizable logic array device having independently accessible circuit elements
KR100810614B1 (en) 2006-08-23 2008-03-06 삼성전자주식회사 Semiconductor memory device having DRAM cell mode and non-volatile memory cell mode and operation method thereof
US20080054359A1 (en) 2006-08-31 2008-03-06 International Business Machines Corporation Three-dimensional semiconductor structure and method for fabrication thereof
KR100895853B1 (en) 2006-09-14 2009-05-06 삼성전자주식회사 Stacked memory and method for forming the same
US20080070340A1 (en) 2006-09-14 2008-03-20 Nicholas Francis Borrelli Image sensor using thin-film SOI
US20080072182A1 (en) 2006-09-19 2008-03-20 The Regents Of The University Of California Structured and parameterized model order reduction
KR101615255B1 (en) 2006-09-20 2016-05-11 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 Release strategies for making transferable semiconductor structures, devices and device components
JP2008078404A (en) 2006-09-21 2008-04-03 Toshiba Corp Semiconductor memory and manufacturing method thereof
KR100826979B1 (en) 2006-09-30 2008-05-02 주식회사 하이닉스반도체 Stack package and method for fabricating the same
US7478359B1 (en) 2006-10-02 2009-01-13 Xilinx, Inc. Formation of columnar application specific circuitry using a columnar programmable logic device
US7949210B2 (en) 2006-10-09 2011-05-24 Colorado School Of Mines Silicon-compatible surface plasmon optical elements
JP5100080B2 (en) 2006-10-17 2012-12-19 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
KR100815225B1 (en) 2006-10-23 2008-03-19 삼성전기주식회사 Vertically structured light emitting diode device and method of manufacturing the same
US7388771B2 (en) 2006-10-24 2008-06-17 Macronix International Co., Ltd. Methods of operating a bistable resistance random access memory with multiple memory layers and multilevel memory states
US7781247B2 (en) 2006-10-26 2010-08-24 SemiLEDs Optoelectronics Co., Ltd. Method for producing Group III-Group V vertical light-emitting diodes
WO2008140585A1 (en) 2006-11-22 2008-11-20 Nexgen Semi Holding, Inc. Apparatus and method for conformal mask manufacturing
US7879711B2 (en) 2006-11-28 2011-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US7760548B2 (en) 2006-11-29 2010-07-20 Yuniarto Widjaja Semiconductor memory having both volatile and non-volatile functionality and method of operating
JP2008140912A (en) 2006-11-30 2008-06-19 Toshiba Corp Nonvolatile semiconductor memory device
US7928471B2 (en) 2006-12-04 2011-04-19 The United States Of America As Represented By The Secretary Of The Navy Group III-nitride growth on silicon or silicon germanium substrates and method and devices therefor
JP4995834B2 (en) 2006-12-07 2012-08-08 ルネサスエレクトロニクス株式会社 Semiconductor memory device
US7697316B2 (en) 2006-12-07 2010-04-13 Macronix International Co., Ltd. Multi-level cell resistance random access memory with metal oxides
US20080135949A1 (en) 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
WO2008073385A1 (en) 2006-12-11 2008-06-19 The Regents Of The University Of California Metalorganic chemical vapor deposition (mocvd) growth of high performance non-polar iii-nitride optical devices
KR100801707B1 (en) 2006-12-13 2008-02-11 삼성전자주식회사 Floating-body memory and method of fabricating the same
EP2122687A1 (en) 2006-12-15 2009-11-25 Nxp B.V. Transistor device and method of manufacturing such a transistor device
US8124429B2 (en) 2006-12-15 2012-02-28 Richard Norman Reprogrammable circuit board with alignment-insensitive support for multiple component contact types
US7932586B2 (en) 2006-12-18 2011-04-26 Mediatek Inc. Leadframe on heat sink (LOHS) semiconductor packages and fabrication methods thereof
JP2008159608A (en) 2006-12-20 2008-07-10 Fujitsu Ltd Semiconductor device, method of manufacturing the same and device of designing the same
CA2649002C (en) 2006-12-22 2010-04-20 Sidense Corp. A program verify method for otp memories
KR100860466B1 (en) 2006-12-27 2008-09-25 동부일렉트로닉스 주식회사 CMOS Image Sensor and Method for Manufacturing thereof
KR100829616B1 (en) 2006-12-27 2008-05-14 삼성전자주식회사 Method for forming channel silicon layer and method for manufacturing stacked semiconductor device using the same
JP4945248B2 (en) 2007-01-05 2012-06-06 株式会社東芝 Memory system, semiconductor memory device and driving method thereof
US20080165521A1 (en) 2007-01-09 2008-07-10 Kerry Bernstein Three-dimensional architecture for self-checking and self-repairing integrated circuits
JP5091491B2 (en) 2007-01-23 2012-12-05 株式会社東芝 Nonvolatile semiconductor memory device
JP2008182058A (en) 2007-01-25 2008-08-07 Nec Electronics Corp Semiconductor device and semiconductor device forming method
US7485508B2 (en) 2007-01-26 2009-02-03 International Business Machines Corporation Two-sided semiconductor-on-insulator structures and methods of manufacturing the same
KR100891963B1 (en) 2007-02-02 2009-04-08 삼성전자주식회사 One transistor dram device and method of forming the same
KR20080075405A (en) 2007-02-12 2008-08-18 삼성전자주식회사 Nonvolatible memory transistor having poly silicon fin, stacked nonvolatible memory device having the transistor, method of fabricating the transistor, and method of fabricating the device
US20080194068A1 (en) 2007-02-13 2008-08-14 Qimonda Ag Method of manufacturing a 3-d channel field-effect transistor and an integrated circuit
US7666723B2 (en) 2007-02-22 2010-02-23 International Business Machines Corporation Methods of forming wiring to transistor and related transistor
KR100825808B1 (en) 2007-02-26 2008-04-29 삼성전자주식회사 Image sensor having backside illumination structure and method of the same image sensor
KR20080080833A (en) 2007-03-02 2008-09-05 삼성전자주식회사 Methods of fabricating semiconductor wafer
US7774735B1 (en) 2007-03-07 2010-08-10 Cadence Design Systems, Inc Integrated circuit netlist migration
US20080220558A1 (en) 2007-03-08 2008-09-11 Integrated Photovoltaics, Inc. Plasma spraying for semiconductor grade silicon
US7494846B2 (en) 2007-03-09 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Design techniques for stacking identical memory dies
US8339844B2 (en) 2007-03-13 2012-12-25 Easic Corporation Programmable vias for structured ASICs
US7848145B2 (en) 2007-03-27 2010-12-07 Sandisk 3D Llc Three dimensional NAND memory
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
JP2008251059A (en) 2007-03-29 2008-10-16 Toshiba Corp Nonvolatile semiconductor memory device and its data erasing method
US8569834B2 (en) 2007-04-12 2013-10-29 The Penn State Research Foundation Accumulation field effect microelectronic device and process for the formation thereof
US7732301B1 (en) 2007-04-20 2010-06-08 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
US7512012B2 (en) 2007-04-30 2009-03-31 Macronix International Co., Ltd. Non-volatile memory and manufacturing method and operating method thereof and circuit system including the non-volatile memory
US7651939B2 (en) 2007-05-01 2010-01-26 Freescale Semiconductor, Inc Method of blocking a void during contact formation
ITMI20070933A1 (en) 2007-05-08 2008-11-09 St Microelectronics Srl MULTI PIASTRINA ELECTRONIC SYSTEM
US20080277778A1 (en) 2007-05-10 2008-11-13 Furman Bruce K Layer Transfer Process and Functionally Enhanced Integrated Circuits Products Thereby
KR100886429B1 (en) 2007-05-14 2009-03-02 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US7795669B2 (en) 2007-05-30 2010-09-14 Infineon Technologies Ag Contact structure for FinFET device
TW200913238A (en) 2007-06-04 2009-03-16 Sony Corp Optical member, solid state imaging apparatus, and manufacturing method
US7781306B2 (en) 2007-06-20 2010-08-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate and method for manufacturing the same
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
US8431451B2 (en) 2007-06-29 2013-04-30 Semicondutor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
US7724990B2 (en) 2007-07-12 2010-05-25 Aidi Corporation Fiber array unit with integrated optical power monitor
US20090026618A1 (en) 2007-07-25 2009-01-29 Samsung Electronics Co., Ltd. Semiconductor device including interlayer interconnecting structures and methods of forming the same
KR101258268B1 (en) 2007-07-26 2013-04-25 삼성전자주식회사 NAND-type resistive memory cell strings of a non-volatile memory device and methods of fabricating the same
KR100881825B1 (en) 2007-07-27 2009-02-03 주식회사 하이닉스반도체 Semiconductor device and method for fabricating the same
JP2009038072A (en) 2007-07-31 2009-02-19 Nec Electronics Corp Semiconductor integrated circuit, and development method thereof
US7902069B2 (en) 2007-08-02 2011-03-08 International Business Machines Corporation Small area, robust silicon via structure and process
CN101779270B (en) 2007-08-10 2013-06-12 株式会社尼康 Substrate bonding apparatus and substrate bonding method
US8035223B2 (en) 2007-08-28 2011-10-11 Research Triangle Institute Structure and process for electrical interconnect and thermal management
JP2009065161A (en) 2007-09-07 2009-03-26 Dongbu Hitek Co Ltd Image sensor, and manufacturing method thereof
US8042082B2 (en) 2007-09-12 2011-10-18 Neal Solomon Three dimensional memory in a system on a chip
US7772880B2 (en) 2007-09-12 2010-08-10 Neal Solomon Reprogrammable three dimensional intelligent system on a chip
US8136071B2 (en) 2007-09-12 2012-03-13 Neal Solomon Three dimensional integrated circuits and methods of fabrication
US7692448B2 (en) 2007-09-12 2010-04-06 Neal Solomon Reprogrammable three dimensional field programmable gate arrays
US7667293B2 (en) 2007-09-13 2010-02-23 Macronix International Co., Ltd. Resistive random access memory and method for manufacturing the same
US7876597B2 (en) 2007-09-19 2011-01-25 Micron Technology, Inc. NAND-structured series variable-resistance material memories, processes of forming same, and methods of using same
US7939424B2 (en) 2007-09-21 2011-05-10 Varian Semiconductor Equipment Associates, Inc. Wafer bonding activated by ion implantation
US8044464B2 (en) 2007-09-21 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7982250B2 (en) 2007-09-21 2011-07-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8022493B2 (en) 2007-09-27 2011-09-20 Dongbu Hitek Co., Ltd. Image sensor and manufacturing method thereof
JP2009094236A (en) 2007-10-05 2009-04-30 Toshiba Corp Nonvolatile semiconductor storage device
JP5244364B2 (en) 2007-10-16 2013-07-24 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
US20090096009A1 (en) 2007-10-16 2009-04-16 Promos Technologies Pte. Ltd. Nonvolatile memories which combine a dielectric, charge-trapping layer with a floating gate
KR101320518B1 (en) 2007-10-24 2013-12-19 삼성전자주식회사 Integrated circuit semiconductor device having stacked level transistors portion and fabrication method thereof
US20090128189A1 (en) 2007-11-19 2009-05-21 Raminda Udaya Madurawe Three dimensional programmable devices
JP5469851B2 (en) 2007-11-27 2014-04-16 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US20090144678A1 (en) 2007-11-30 2009-06-04 International Business Machines Corporation Method and on-chip control apparatus for enhancing process reliability and process variability through 3d integration
US8130547B2 (en) 2007-11-29 2012-03-06 Zeno Semiconductor, Inc. Method of maintaining the state of semiconductor memory having electrically floating body transistor
US20090144669A1 (en) 2007-11-29 2009-06-04 International Business Machines Corporation Method and arrangement for enhancing process variability and lifetime reliability through 3d integration
US8679861B2 (en) 2007-11-29 2014-03-25 International Business Machines Corporation Semiconductor chip repair by stacking of a base semiconductor chip and a repair semiconductor chip
US7993940B2 (en) 2007-12-05 2011-08-09 Luminus Devices, Inc. Component attach methods and related device structures
US8185685B2 (en) 2007-12-14 2012-05-22 Hitachi Global Storage Technologies Netherlands B.V. NAND flash module replacement for DRAM module
US8101447B2 (en) 2007-12-20 2012-01-24 Tekcore Co., Ltd. Light emitting diode element and method for fabricating the same
US7919845B2 (en) 2007-12-20 2011-04-05 Xilinx, Inc. Formation of a hybrid integrated circuit device
KR100909562B1 (en) 2007-12-21 2009-07-27 주식회사 동부하이텍 Semiconductor device and manufacturing method
US8120958B2 (en) 2007-12-24 2012-02-21 Qimonda Ag Multi-die memory, apparatus and multi-die memory stack
KR100855407B1 (en) 2007-12-27 2008-08-29 주식회사 동부하이텍 Image sensor and method for manufacturing thereof
KR100883026B1 (en) 2007-12-27 2009-02-12 주식회사 동부하이텍 Method for manufacturing an image sensor
US20090174018A1 (en) 2008-01-09 2009-07-09 Micron Technology, Inc. Construction methods for backside illuminated image sensors
US7786535B2 (en) 2008-01-11 2010-08-31 International Business Machines Corporation Design structures for high-voltage integrated circuits
US7790524B2 (en) 2008-01-11 2010-09-07 International Business Machines Corporation Device and design structures for memory cells in a non-volatile random access memory and methods of fabricating such device structures
KR101373183B1 (en) 2008-01-15 2014-03-14 삼성전자주식회사 Semiconductor memory device with three-dimensional array structure and repair method thereof
US8191021B2 (en) 2008-01-28 2012-05-29 Actel Corporation Single event transient mitigation and measurement in integrated circuits
US20090194829A1 (en) 2008-01-31 2009-08-06 Shine Chung MEMS Packaging Including Integrated Circuit Dies
US20090194152A1 (en) 2008-02-04 2009-08-06 National Taiwan University Thin-film solar cell having hetero-junction of semiconductor and method for fabricating the same
US7777330B2 (en) 2008-02-05 2010-08-17 Freescale Semiconductor, Inc. High bandwidth cache-to-processing unit communication in a multiple processor/cache system
US8014195B2 (en) 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US20090211622A1 (en) 2008-02-21 2009-08-27 Sunlight Photonics Inc. Multi-layered electro-optic devices
US7749813B2 (en) 2008-02-27 2010-07-06 Lumination Llc Circuit board for direct flip chip attachment
US20090218627A1 (en) 2008-02-28 2009-09-03 International Business Machines Corporation Field effect device structure including self-aligned spacer shaped contact
JP2009224612A (en) 2008-03-17 2009-10-01 Toshiba Corp Nonvolatile semiconductor memory device and production method thereof
US8507320B2 (en) 2008-03-18 2013-08-13 Infineon Technologies Ag Electronic device including a carrier and a semiconductor chip attached to the carrier and manufacturing thereof
JP2009238874A (en) 2008-03-26 2009-10-15 Toshiba Corp Semiconductor memory and method for manufacturing the same
US8068370B2 (en) 2008-04-18 2011-11-29 Macronix International Co., Ltd. Floating gate memory device with interpoly charge trapping structure
US7939389B2 (en) 2008-04-18 2011-05-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7692959B2 (en) 2008-04-22 2010-04-06 International Business Machines Corporation Multilayer storage class memory using externally heated phase change material
JP2009266944A (en) 2008-04-23 2009-11-12 Toshiba Corp Three-dimensional stacked nonvolatile semiconductor memory
US7732803B2 (en) 2008-05-01 2010-06-08 Bridgelux, Inc. Light emitting device having stacked multiple LEDS
US7749884B2 (en) 2008-05-06 2010-07-06 Astrowatt, Inc. Method of forming an electronic device using a separation-enhancing species
FR2932003B1 (en) 2008-06-02 2011-03-25 Commissariat Energie Atomique SRAM MEMORY CELL WITH INTEGRATED TRANSISTOR ON SEVERAL LEVELS AND WHOSE VT THRESHOLD VOLTAGE IS ADJUSTABLE DYNAMICALLY
FR2932005B1 (en) 2008-06-02 2011-04-01 Commissariat Energie Atomique INTEGRATED TRANSISTOR CIRCUIT IN THREE DIMENSIONS HAVING DYNAMICALLY ADJUSTABLE VT THRESHOLD VOLTAGE
JP2009295694A (en) 2008-06-03 2009-12-17 Toshiba Corp Non-volatile semiconductor storage device and manufacturing method thereof
KR101094902B1 (en) 2008-06-05 2011-12-15 주식회사 하이닉스반도체 Multi Bit Phase Change Random Access Memory Device
US8716805B2 (en) 2008-06-10 2014-05-06 Toshiba America Research, Inc. CMOS integrated circuits with bonded layers containing functional electronic devices
US7915667B2 (en) 2008-06-11 2011-03-29 Qimonda Ag Integrated circuits having a contact region and methods for manufacturing the same
KR101480286B1 (en) 2008-06-20 2015-01-09 삼성전자주식회사 Highly integrated semiconductor device and method for manufacturing the same
JP2010010215A (en) 2008-06-24 2010-01-14 Oki Semiconductor Co Ltd Method of manufacturing semiconductor device
US8334170B2 (en) 2008-06-27 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stacking devices
US8105853B2 (en) 2008-06-27 2012-01-31 Bridgelux, Inc. Surface-textured encapsulations for use with light emitting diodes
US7868442B2 (en) 2008-06-30 2011-01-11 Headway Technologies, Inc. Layered chip package and method of manufacturing same
CN101621008A (en) 2008-07-03 2010-01-06 中芯国际集成电路制造(上海)有限公司 TFT floating gate memory cell structure
US7772096B2 (en) 2008-07-10 2010-08-10 International Machines Corporation Formation of SOI by oxidation of silicon with engineered porosity gradient
JP2010027870A (en) 2008-07-18 2010-02-04 Toshiba Corp Semiconductor memory and manufacturing method thereof
US8044448B2 (en) 2008-07-25 2011-10-25 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8006212B2 (en) 2008-07-30 2011-08-23 Synopsys, Inc. Method and system for facilitating floorplanning for 3D IC
US7719876B2 (en) 2008-07-31 2010-05-18 Unity Semiconductor Corporation Preservation circuit and methods to maintain values representing data in one or more layers of memory
US8039314B2 (en) 2008-08-04 2011-10-18 International Business Machines Corporation Metal adhesion by induced surface roughness
US8125006B2 (en) 2008-08-08 2012-02-28 Qimonda Ag Array of low resistive vertical diodes and method of production
JP5279403B2 (en) 2008-08-18 2013-09-04 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8129256B2 (en) 2008-08-19 2012-03-06 International Business Machines Corporation 3D integrated circuit device fabrication with precisely controllable substrate removal
JP5161702B2 (en) 2008-08-25 2013-03-13 キヤノン株式会社 Imaging apparatus, imaging system, and focus detection method
DE102008044986A1 (en) 2008-08-29 2010-03-04 Advanced Micro Devices, Inc., Sunnyvale A 3-D integrated circuit device with an internal heat distribution function
EP2161755A1 (en) 2008-09-05 2010-03-10 University College Cork-National University of Ireland, Cork Junctionless Metal-Oxide-Semiconductor Transistor
US8014166B2 (en) 2008-09-06 2011-09-06 Broadpak Corporation Stacking integrated circuits containing serializer and deserializer blocks using through silicon via
US7923350B2 (en) 2008-09-09 2011-04-12 Infineon Technologies Ag Method of manufacturing a semiconductor device including etching to etch stop regions
US7943515B2 (en) 2008-09-09 2011-05-17 Sandisk 3D Llc Shared masks for x-lines and shared masks for y-lines for fabrication of 3D memory arrays
US8106520B2 (en) 2008-09-11 2012-01-31 Micron Technology, Inc. Signal delivery in stacked device
US8230375B2 (en) 2008-09-14 2012-07-24 Raminda Udaya Madurawe Automated metal pattern generation for integrated circuits
KR101548173B1 (en) 2008-09-18 2015-08-31 삼성전자주식회사 Wafer temporary bonding method using Si direct bondingSDB and semiconductor device and fabricating method thereof using the same bonding method
US9064717B2 (en) 2008-09-26 2015-06-23 International Business Machines Corporation Lock and key through-via method for wafer level 3D integration and structures produced thereby
US7855455B2 (en) 2008-09-26 2010-12-21 International Business Machines Corporation Lock and key through-via method for wafer level 3 D integration and structures produced
TWI394506B (en) 2008-10-13 2013-04-21 Unimicron Technology Corp Multilayer three-dimensional circuit structure and manufacturing method thereof
JP2010098067A (en) 2008-10-15 2010-04-30 Toshiba Corp Semiconductor device
US8030780B2 (en) 2008-10-16 2011-10-04 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US20100137143A1 (en) 2008-10-22 2010-06-03 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes
US8907316B2 (en) 2008-11-07 2014-12-09 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline and single crystal semiconductor regions
US8241989B2 (en) 2008-11-14 2012-08-14 Qimonda Ag Integrated circuit with stacked devices
US7838337B2 (en) 2008-12-01 2010-11-23 Stats Chippac, Ltd. Semiconductor device and method of forming an interposer package with through silicon vias
US20100140790A1 (en) 2008-12-05 2010-06-10 Seagate Technology Llc Chip having thermal vias and spreaders of cvd diamond
KR101442177B1 (en) 2008-12-18 2014-09-18 삼성전자주식회사 Methods of fabricating a semiconductor device having a capacitor-less one transistor memory cell
US20100157117A1 (en) 2008-12-18 2010-06-24 Yu Wang Vertical stack of image sensors with cutoff color filters
JP5160396B2 (en) 2008-12-18 2013-03-13 株式会社日立製作所 Semiconductor device
US8168490B2 (en) 2008-12-23 2012-05-01 Intersil Americas, Inc. Co-packaging approach for power converters based on planar devices, structure and method
US7943428B2 (en) 2008-12-24 2011-05-17 International Business Machines Corporation Bonded semiconductor substrate including a cooling mechanism
US8314635B2 (en) 2009-01-22 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming programmable transistor array comprising basic transistor units
US20100193884A1 (en) 2009-02-02 2010-08-05 Woo Tae Park Method of Fabricating High Aspect Ratio Transducer Using Metal Compression Bonding
US8158515B2 (en) 2009-02-03 2012-04-17 International Business Machines Corporation Method of making 3D integrated circuits
JP5147987B2 (en) 2009-02-18 2013-02-20 パナソニック株式会社 Imaging device
JP4956598B2 (en) 2009-02-27 2012-06-20 シャープ株式会社 Nonvolatile semiconductor memory device and manufacturing method thereof
TWI433302B (en) 2009-03-03 2014-04-01 Macronix Int Co Ltd Integrated circuit self aligned 3d memory array and manufacturing method
US8203187B2 (en) 2009-03-03 2012-06-19 Macronix International Co., Ltd. 3D memory array arranged for FN tunneling program and erase
US8299583B2 (en) 2009-03-05 2012-10-30 International Business Machines Corporation Two-sided semiconductor structure
US8487444B2 (en) 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
US8773881B2 (en) 2009-03-10 2014-07-08 Contour Semiconductor, Inc. Vertical switch three-dimensional memory array
US8647923B2 (en) 2009-04-06 2014-02-11 Canon Kabushiki Kaisha Method of manufacturing semiconductor device
US8552563B2 (en) 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US7983065B2 (en) 2009-04-08 2011-07-19 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines
US8174288B2 (en) 2009-04-13 2012-05-08 International Business Machines Corporation Voltage conversion and integrated circuits with stacked voltage domains
US9406561B2 (en) 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US20100221867A1 (en) 2009-05-06 2010-09-02 International Business Machines Corporation Low cost soi substrates for monolithic solar cells
US7939369B2 (en) 2009-05-14 2011-05-10 International Business Machines Corporation 3D integration structure and method using bonded metal planes
US7960282B2 (en) 2009-05-21 2011-06-14 Globalfoundries Singapore Pte. Ltd. Method of manufacture an integrated circuit system with through silicon via
US8516408B2 (en) 2009-05-26 2013-08-20 Lsi Corporation Optimization of circuits having repeatable circuit instances
KR101623960B1 (en) 2009-06-04 2016-05-25 삼성전자주식회사 Optoelectronic shutter, method of operating the same and optical apparatus employing the optoelectronic shutter
US8802477B2 (en) 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication
US7948017B2 (en) 2009-06-19 2011-05-24 Carestream Health, Inc. Digital radiography imager with buried interconnect layer in silicon-on-glass and method of fabricating same
JP2011003833A (en) 2009-06-22 2011-01-06 Toshiba Corp Nonvolatile semiconductor storage device and method of manufacturing the same
JP2011009409A (en) 2009-06-25 2011-01-13 Toshiba Corp Nonvolatile semiconductor memory device
US20100330728A1 (en) 2009-06-26 2010-12-30 Mccarten John P Method of aligning elements in a back-illuminated image sensor
JP2011014817A (en) 2009-07-06 2011-01-20 Toshiba Corp Nonvolatile semiconductor memory device
EP2273545B1 (en) 2009-07-08 2016-08-31 Imec Method for insertion bonding and kit of parts for use in said method
JP5380190B2 (en) 2009-07-21 2014-01-08 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
JP5482025B2 (en) 2009-08-28 2014-04-23 ソニー株式会社 SOLID-STATE IMAGING DEVICE, ITS MANUFACTURING METHOD, AND ELECTRONIC DEVICE
FR2949904B1 (en) 2009-09-07 2012-01-06 Commissariat Energie Atomique INTEGRATED CIRCUIT WITH ELECTROSTATICALLY COUPLED MOS TRANSISTORS AND METHOD FOR PRODUCING SUCH AN INTEGRATED CIRCUIT
US8630326B2 (en) 2009-10-13 2014-01-14 Skorpios Technologies, Inc. Method and system of heterogeneous substrate bonding for photonic integration
US8611388B2 (en) 2009-10-13 2013-12-17 Skorpios Technologies, Inc. Method and system for heterogeneous substrate bonding of waveguide receivers
US8264065B2 (en) 2009-10-23 2012-09-11 Synopsys, Inc. ESD/antenna diodes for through-silicon vias
US8159060B2 (en) 2009-10-29 2012-04-17 International Business Machines Corporation Hybrid bonding interface for 3-dimensional chip integration
KR101761432B1 (en) 2009-11-06 2017-07-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
US8138543B2 (en) 2009-11-18 2012-03-20 International Business Machines Corporation Hybrid FinFET/planar SOI FETs
KR101911382B1 (en) 2009-11-27 2018-10-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
CN102088014A (en) 2009-12-04 2011-06-08 中国科学院微电子研究所 3D (Three Dimensional) integrated circuit structure, semiconductor device and forming methods thereof
WO2011068028A1 (en) 2009-12-04 2011-06-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element, semiconductor device, and method for manufacturing the same
US8107276B2 (en) 2009-12-04 2012-01-31 International Business Machines Corporation Resistive memory devices having a not-and (NAND) structure
JP5547212B2 (en) 2009-12-11 2014-07-09 シャープ株式会社 Manufacturing method of semiconductor device
US8507365B2 (en) 2009-12-21 2013-08-13 Alliance For Sustainable Energy, Llc Growth of coincident site lattice matched semiconductor layers and devices on crystalline substrates
US8129258B2 (en) 2009-12-23 2012-03-06 Xerox Corporation Method for dicing a semiconductor wafer, a chip diced from a semiconductor wafer, and an array of chips diced from a semiconductor wafer
US8048711B2 (en) 2009-12-30 2011-11-01 Omnivision Technologies, Inc. Method for forming deep isolation in imagers
KR101652826B1 (en) 2010-01-08 2016-08-31 삼성전자주식회사 Semiconductor Devices and Method of Driving the Same
US8841777B2 (en) 2010-01-12 2014-09-23 International Business Machines Corporation Bonded structure employing metal semiconductor alloy bonding
US8455936B2 (en) 2010-02-25 2013-06-04 Avago Technologies General Ip (Singapore) Pte. Ltd. Configurable memory sheet and package assembly
JP5144698B2 (en) 2010-03-05 2013-02-13 株式会社東芝 Semiconductor memory device and manufacturing method thereof
JP2011187794A (en) 2010-03-10 2011-09-22 Toshiba Corp Semiconductor storage device, and method of manufacturing the same
EP2548227B1 (en) 2010-03-15 2021-07-14 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8437192B2 (en) 2010-05-21 2013-05-07 Macronix International Co., Ltd. 3D two bit-per-cell NAND flash memory
US8525342B2 (en) 2010-04-12 2013-09-03 Qualcomm Incorporated Dual-side interconnected CMOS for stacked integrated circuits
US8541305B2 (en) 2010-05-24 2013-09-24 Institute of Microelectronics, Chinese Academy of Sciences 3D integrated circuit and method of manufacturing the same
KR101688598B1 (en) 2010-05-25 2017-01-02 삼성전자주식회사 Three dimensional semiconductor memory device
FR2961016B1 (en) 2010-06-07 2013-06-07 Commissariat Energie Atomique INTEGRATED CIRCUIT WITH FET TYPE DEVICE WITHOUT JUNCTION AND DEPLETION
KR20110135299A (en) 2010-06-10 2011-12-16 삼성전자주식회사 Semiconductor memory device
JP2012009512A (en) 2010-06-22 2012-01-12 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
KR101145074B1 (en) 2010-07-02 2012-05-11 이상윤 Method for fabricating a semiconductor substrate and Method for fabricating a semiconductor device by using the same
KR101193195B1 (en) 2010-07-02 2012-10-19 삼성디스플레이 주식회사 Organic light emitting display device
US7969193B1 (en) 2010-07-06 2011-06-28 National Tsing Hua University Differential sensing and TSV timing control scheme for 3D-IC
KR20120006843A (en) 2010-07-13 2012-01-19 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
US8461017B2 (en) 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
JP2012028537A (en) 2010-07-22 2012-02-09 Toshiba Corp Nonvolatile semiconductor storage device and manufacturing method thereof
US8674510B2 (en) 2010-07-29 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structure having improved power and thermal management
KR20120020526A (en) 2010-08-30 2012-03-08 삼성전자주식회사 Substrate have buried conductive layer and formation method thereof, and fabricating method of semiconductor device using the same
US20120063090A1 (en) 2010-09-09 2012-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling mechanism for stacked die package and method of manufacturing the same
JP5651415B2 (en) 2010-09-21 2015-01-14 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US20120074466A1 (en) 2010-09-28 2012-03-29 Seagate Technology Llc 3d memory array with vertical transistor
US9245760B2 (en) 2010-09-30 2016-01-26 Infineon Technologies Ag Methods of forming epitaxial layers on a porous semiconductor layer
US8440544B2 (en) 2010-10-06 2013-05-14 International Business Machines Corporation CMOS structure and method of manufacture
US8293578B2 (en) 2010-10-26 2012-10-23 International Business Machines Corporation Hybrid bonding techniques for multi-layer semiconductor stacks
FR2967294B1 (en) 2010-11-10 2012-12-07 Commissariat Energie Atomique METHOD FOR FORMING A MULTILAYER STRUCTURE
TWI423426B (en) 2010-11-19 2014-01-11 Univ Nat Chiao Tung A structure and process of basic complementary logic gate made by junctionless transistors
EP2647057A4 (en) 2010-12-07 2016-11-09 Univ Boston Self-cleaning solar panels and concentrators with transparent electrodynamic screens
CN102754102B (en) 2010-12-09 2016-02-03 松下电器产业株式会社 The design support apparatus of three dimensional integrated circuits and design support method
US8466054B2 (en) 2010-12-13 2013-06-18 Io Semiconductor, Inc. Thermal conduction paths for semiconductor structures
US9227456B2 (en) 2010-12-14 2016-01-05 Sandisk 3D Llc Memories with cylindrical read/write stacks
EP2731110B1 (en) 2010-12-14 2016-09-07 SanDisk Technologies LLC Architecture for three dimensional non-volatile storage with vertical bit lines
KR101755643B1 (en) 2010-12-15 2017-07-10 삼성전자주식회사 Three Dimensional Semiconductor Memory Device and Method of Forming the Same
US8432751B2 (en) 2010-12-22 2013-04-30 Intel Corporation Memory cell using BTI effects in high-k metal gate MOS
EP2656388B1 (en) 2010-12-24 2020-04-15 QUALCOMM Incorporated Trap rich layer for semiconductor devices
US8481405B2 (en) 2010-12-24 2013-07-09 Io Semiconductor, Inc. Trap rich layer with through-silicon-vias in semiconductor devices
KR20120079393A (en) 2011-01-04 2012-07-12 (주)세미머티리얼즈 A method for manufacturing semiconductor light emitting device
US8432719B2 (en) 2011-01-18 2013-04-30 Macronix International Co., Ltd. Three-dimensional stacked and-type flash memory structure and methods of manufacturing and operating the same hydride
US8630114B2 (en) 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
US8486791B2 (en) 2011-01-19 2013-07-16 Macronix International Co., Ltd. Mufti-layer single crystal 3D stackable memory
US20120193785A1 (en) 2011-02-01 2012-08-02 Megica Corporation Multichip Packages
KR101771619B1 (en) 2011-02-09 2017-08-28 삼성전자주식회사 Nonvolatile memory device and driving method thereof
US8566762B2 (en) 2011-03-09 2013-10-22 Panasonic Corportion Three-dimensional integrated circuit design device, three-dimensional integrated circuit design, method, and program
US9001590B2 (en) 2011-05-02 2015-04-07 Macronix International Co., Ltd. Method for operating a semiconductor structure
JP5505367B2 (en) 2011-05-11 2014-05-28 信越半導体株式会社 Method for manufacturing bonded substrate having insulating layer on part of substrate
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
FR2978604B1 (en) 2011-07-28 2018-09-14 Soitec METHOD FOR THE HEALING OF DEFECTS IN A SEMICONDUCTOR LAYER
US8937309B2 (en) 2011-08-08 2015-01-20 Micron Technology, Inc. Semiconductor die assemblies, semiconductor devices including same, and methods of fabrication
KR101399338B1 (en) 2011-08-08 2014-05-30 (주)실리콘화일 stacking substrate image sensor with dual sensing
US8519735B2 (en) 2011-08-25 2013-08-27 International Business Machines Corporation Programming the behavior of individual chips or strata in a 3D stack of integrated circuits
JP2013065638A (en) 2011-09-15 2013-04-11 Elpida Memory Inc Semiconductor device
US8796741B2 (en) 2011-10-04 2014-08-05 Qualcomm Incorporated Semiconductor device and methods of making semiconductor device using graphene
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
US8431436B1 (en) 2011-11-03 2013-04-30 International Business Machines Corporation Three-dimensional (3D) integrated circuit with enhanced copper-to-copper bonding
US8687421B2 (en) 2011-11-21 2014-04-01 Sandisk Technologies Inc. Scrub techniques for use with dynamic read
JP2013150244A (en) 2012-01-23 2013-08-01 Nippon Dempa Kogyo Co Ltd Temperature compensation oscillator
FR2986371B1 (en) 2012-01-31 2016-11-25 St Microelectronics Sa METHOD OF FORMING A VIA CONTACTING MULTIPLE LEVELS OF SEMICONDUCTOR LAYERS
FR2986370B1 (en) 2012-02-01 2014-11-21 St Microelectronics Sa 3D INTEGRATED CIRCUIT
US8749029B2 (en) 2012-02-15 2014-06-10 Infineon Technologies Ag Method of manufacturing a semiconductor device
KR20140138817A (en) 2012-02-29 2014-12-04 솔렉셀, 인크. Structures and methods for high efficiency compound semiconductor solar cells
CN103545275B (en) 2012-07-12 2016-02-17 中芯国际集成电路制造(上海)有限公司 Silicon through hole encapsulating structure and formation method
JP2014030110A (en) 2012-07-31 2014-02-13 Toshiba Corp Reconfigurable integrated circuit device and method of writing to the same
US20140048867A1 (en) 2012-08-20 2014-02-20 Globalfoundries Singapore Pte. Ltd. Multi-time programmable memory
US9024657B2 (en) 2012-10-11 2015-05-05 Easic Corporation Architectural floorplan for a structured ASIC manufactured on a 28 NM CMOS process lithographic node or smaller
US10192813B2 (en) 2012-11-14 2019-01-29 Qualcomm Incorporated Hard macro having blockage sites, integrated circuit including same and method of routing through a hard macro
US9064077B2 (en) 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US9098666B2 (en) 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US10403766B2 (en) 2012-12-04 2019-09-03 Conversant Intellectual Property Management Inc. NAND flash memory with vertical cell stack structure and method for manufacturing same
KR102015907B1 (en) 2013-01-24 2019-08-29 삼성전자주식회사 Semiconductor light emitting device
US8773562B1 (en) 2013-01-31 2014-07-08 Apple Inc. Vertically stacked image sensor
US20140225218A1 (en) 2013-02-12 2014-08-14 Qualcomm Incorporated Ion reduced, ion cut-formed three-dimensional (3d) integrated circuits (ic) (3dics), and related methods and systems
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9177890B2 (en) 2013-03-07 2015-11-03 Qualcomm Incorporated Monolithic three dimensional integration of semiconductor integrated circuits
US9029231B2 (en) 2013-03-12 2015-05-12 Globalfoundries Singapore Pte. Ltd. Fin selector with gated RRAM
US8913418B2 (en) 2013-03-14 2014-12-16 Intermolecular, Inc. Confined defect profiling within resistive random memory access cells
KR101456503B1 (en) 2013-05-15 2014-11-03 (주)실리콘화일 Stack Memory
US9087821B2 (en) 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9496274B2 (en) 2013-09-17 2016-11-15 Sandisk Technologies Llc Three-dimensional non-volatile memory device
KR102154784B1 (en) 2013-10-10 2020-09-11 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US9627287B2 (en) 2013-10-18 2017-04-18 Infineon Technologies Ag Thinning in package using separation structure as stop
US9524920B2 (en) 2013-11-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of three dimensional conductive lines
KR20150056309A (en) 2013-11-15 2015-05-26 삼성전자주식회사 Three-dimensional semiconductor devices and fabricating methods thereof
KR102140789B1 (en) 2014-02-17 2020-08-03 삼성전자주식회사 Evaluating apparatus for quality of crystal, and Apparatus and method for manufacturing semiconductor light emitting device which include the same
JP2015159260A (en) 2014-02-25 2015-09-03 株式会社東芝 Semiconductor storage device and manufacturing method of the same
US9806051B2 (en) 2014-03-04 2017-10-31 General Electric Company Ultra-thin embedded semiconductor device package and method of manufacturing thereof
US9105689B1 (en) 2014-03-24 2015-08-11 Silanna Semiconductor U.S.A., Inc. Bonded semiconductor structure with SiGeC layer as etch stop
US9269608B2 (en) 2014-03-24 2016-02-23 Qualcomm Switch Corp. Bonded semiconductor structure with SiGeC/SiGeBC layer as etch stop
US9704841B2 (en) 2014-03-26 2017-07-11 United Microelectronics Corp. Method of packaging stacked dies on wafer using flip-chip bonding
US9397110B2 (en) 2014-05-21 2016-07-19 Macronix International Co., Ltd. 3D independent double gate flash memory
KR102307487B1 (en) 2014-06-23 2021-10-05 삼성전자주식회사 Three-dimensional semiconductor memory device and method of fabricating the same
US9620217B2 (en) 2014-08-12 2017-04-11 Macronix International Co., Ltd. Sub-block erase
KR102171263B1 (en) 2014-08-21 2020-10-28 삼성전자 주식회사 Integrated circuit device having single crystal silicon thin film and method of manufacturing the same
US9530824B2 (en) 2014-11-14 2016-12-27 Sandisk Technologies Llc Monolithic three dimensional memory arrays with staggered vertical bit line select transistors and methods therfor
US9589979B2 (en) 2014-11-19 2017-03-07 Macronix International Co., Ltd. Vertical and 3D memory devices and methods of manufacturing the same
US9691804B2 (en) 2015-04-17 2017-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Image sensing device and manufacturing method thereof
US9768149B2 (en) 2015-05-19 2017-09-19 Micron Technology, Inc. Semiconductor device assembly with heat transfer structure formed from semiconductor material
KR20170030307A (en) 2015-09-09 2017-03-17 삼성전자주식회사 Memory device with seperated capacitor
US9589982B1 (en) 2015-09-15 2017-03-07 Macronix International Co., Ltd. Structure and method of operation for improved gate capacity for 3D NOR flash memory
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US10121553B2 (en) 2015-09-30 2018-11-06 Sunrise Memory Corporation Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays
KR102476764B1 (en) 2015-12-23 2022-12-14 에스케이하이닉스 주식회사 Isolation structure and method for manufacturing the same
US20170278858A1 (en) 2016-03-22 2017-09-28 Schiltron Corporation Monolithic 3-d dynamic memory and method
US9673257B1 (en) 2016-06-03 2017-06-06 Sandisk Technologies Llc Vertical thin film transistors with surround gates
US9595530B1 (en) 2016-07-07 2017-03-14 Sandisk Technologies Llc Methods and apparatus for vertical bit line structures in three-dimensional nonvolatile memory
US10199354B2 (en) 2016-12-20 2019-02-05 Intel Corporation Die sidewall interconnects for 3D chip assemblies
US10559594B2 (en) 2017-04-11 2020-02-11 Ahmad Tarakji Approach to the manufacturing of monolithic 3-dimensional high-rise integrated-circuits with vertically-stacked double-sided fully-depleted silicon-on-insulator transistors
US10431596B2 (en) 2017-08-28 2019-10-01 Sunrise Memory Corporation Staggered word line architecture for reduced disturb in 3-dimensional NOR memory arrays
US10896916B2 (en) 2017-11-17 2021-01-19 Sunrise Memory Corporation Reverse memory cell
US10651153B2 (en) 2018-06-18 2020-05-12 Intel Corporation Three-dimensional (3D) memory with shared control circuitry using wafer-to-wafer bonding
US10741581B2 (en) 2018-07-12 2020-08-11 Sunrise Memory Corporation Fabrication method for a 3-dimensional NOR memory array
US11069696B2 (en) 2018-07-12 2021-07-20 Sunrise Memory Corporation Device structure for a 3-dimensional NOR memory array and methods for improved erase operations applied thereto
US10651182B2 (en) 2018-09-28 2020-05-12 Intel Corporation Three-dimensional ferroelectric NOR-type memory
CN113383415A (en) 2019-01-30 2021-09-10 日升存储公司 Device with embedded high bandwidth, high capacity memory using wafer bonding

Also Published As

Publication number Publication date
US11315980B1 (en) 2022-04-26

Similar Documents

Publication Publication Date Title
US10217667B2 (en) 3D semiconductor device, fabrication method and system
US10896931B1 (en) 3D semiconductor device and structure
US8026521B1 (en) Semiconductor device and structure
US8440542B2 (en) Semiconductor device and structure
US11018191B1 (en) 3D semiconductor device and structure
US11335731B1 (en) 3D semiconductor device and structure with transistors
US9953870B2 (en) 3D semiconductor device and system
US20200365463A1 (en) 3d semiconductor device and structure
US11315980B1 (en) 3D semiconductor device and structure with transistors
US11309292B2 (en) 3D semiconductor device and structure with metal layers
US20180350686A1 (en) 3d semiconductor device and system
US20180350689A1 (en) 3d semiconductor device and system
US20180350688A1 (en) 3d semiconductor device and system
US11133351B2 (en) 3D semiconductor device and structure
US11793005B2 (en) 3D semiconductor devices and structures
US20190074222A1 (en) 3d semiconductor device and system
US20190057903A1 (en) 3d semiconductor device and system
US11462586B1 (en) Method to produce 3D semiconductor devices and structures with memory
US11257867B1 (en) 3D semiconductor device and structure with oxide bonds
US10290682B2 (en) 3D IC semiconductor device and structure with stacked memory
US11024673B1 (en) 3D semiconductor device and structure
US11424222B2 (en) 3D semiconductor device and structure with metal layers
US11469271B2 (en) Method to produce 3D semiconductor devices and structures with memory
US11227897B2 (en) Method for producing a 3D semiconductor memory device and structure
US11956976B2 (en) 3D semiconductor devices and structures with transistors

Legal Events

Date Code Title Description
AS Assignment

Owner name: MONOLITHIC 3D INC., OREGON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OR-BACH, ZVI;SEKAR, DEEPAK;SIGNING DATES FROM 20220107 TO 20220109;REEL/FRAME:058612/0743

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE