US20210210456A1 - Multilevel semiconductor device and structure with waveguides - Google Patents

Multilevel semiconductor device and structure with waveguides Download PDF

Info

Publication number
US20210210456A1
US20210210456A1 US17/189,201 US202117189201A US2021210456A1 US 20210210456 A1 US20210210456 A1 US 20210210456A1 US 202117189201 A US202117189201 A US 202117189201A US 2021210456 A1 US2021210456 A1 US 2021210456A1
Authority
US
United States
Prior art keywords
level
layer
oxide
silicon
crystalline silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US17/189,201
Other versions
US11063071B1 (en
Inventor
Zvi Or-Bach
Deepak C. Sekar
Brian Cronquist
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Monolithic 3D Inc
Original Assignee
Monolithic 3D Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/904,103 external-priority patent/US8163581B1/en
Priority claimed from US13/274,161 external-priority patent/US9197804B1/en
Priority claimed from US14/936,657 external-priority patent/US9941319B2/en
Priority claimed from US15/920,499 external-priority patent/US10679977B2/en
Priority claimed from US16/860,027 external-priority patent/US10833108B2/en
Priority claimed from US17/027,217 external-priority patent/US10943934B2/en
Priority claimed from US17/121,726 external-priority patent/US10978501B1/en
Priority to US17/189,201 priority Critical patent/US11063071B1/en
Application filed by Monolithic 3D Inc filed Critical Monolithic 3D Inc
Assigned to MONOLITHIC 3D INC. reassignment MONOLITHIC 3D INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CRONQUIST, BRIAN, SEKAR, DEEPAK, OR-BACH, ZVI
Priority to US17/330,186 priority patent/US11163112B2/en
Publication of US20210210456A1 publication Critical patent/US20210210456A1/en
Publication of US11063071B1 publication Critical patent/US11063071B1/en
Application granted granted Critical
Priority to US17/492,627 priority patent/US11327227B2/en
Priority to US17/717,094 priority patent/US11437368B2/en
Priority to US17/868,776 priority patent/US11594526B2/en
Priority to US18/102,710 priority patent/US11694922B2/en
Priority to US18/136,335 priority patent/US11855100B2/en
Priority to US18/388,848 priority patent/US20240079398A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76275Vertical isolation by bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/167Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits comprising optoelectronic devices, e.g. LED, photodiodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14694The active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/15Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components with at least one potential-jump barrier or surface barrier specially adapted for light emission
    • H01L27/153Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components with at least one potential-jump barrier or surface barrier specially adapted for light emission in a repetitive configuration, e.g. LED bars
    • H01L27/156Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components with at least one potential-jump barrier or surface barrier specially adapted for light emission in a repetitive configuration, e.g. LED bars two-dimensional arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02327Optical elements or arrangements associated with the device the optical elements being integrated or being directly associated to the device, e.g. back reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/054Optical elements directly associated or integrated with the PV cell, e.g. light-reflecting means or light-concentrating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/58Optical field-shaping elements
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/015Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on semiconductor elements with at least one potential jump barrier, e.g. PN, PIN junction
    • G02F1/017Structures with periodic or quasi periodic potential variation, e.g. superlattices, quantum wells
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2202/00Materials and properties
    • G02F2202/10Materials and properties semiconductor
    • G02F2202/108Materials and properties semiconductor quantum wells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/29188Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14689MOS based technologies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/15Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components with at least one potential-jump barrier or surface barrier specially adapted for light emission
    • H01L27/153Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components with at least one potential-jump barrier or surface barrier specially adapted for light emission in a repetitive configuration, e.g. LED bars
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12041LED
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/52PV systems with concentrators

Definitions

  • This invention describes applications of monolithic 3D integration to various disciplines, including but not limited to, for example, light-emitting diodes, displays, image-sensors and solar cells.
  • LEDs Light emitting diodes
  • Red LEDs are typically made on Gallium Arsenide (GaAs) substrates, and include quantum wells constructed of various materials such as AlInGaP and GaInP.
  • Blue and green LEDs are typically made on Sapphire or Silicon Carbide (SiC) or bulk Gallium Nitride (GaN) substrates, and include quantum wells constructed of various materials such as GaN and InGaN.
  • a white LED for lighting and display applications can be constructed by either using a blue LED coated with phosphor (called phosphor-coated LED or pcLED) or by combining light from red, blue, and green LEDs (called RGB LED).
  • RGB LEDs are typically constructed by placing red, blue, and green LEDs side-by-side. While RGB LEDs are more energy-efficient than pcLEDs, they are less efficient in mixing red, blue and green colors to form white light. They also are much more costly than pcLEDs. To tackle issues with RGB LEDs, several proposals have been made.
  • RGB LED proposal from Hong Kong University is described in “Design of vertically stacked polychromatic light emitting diodes”, Optics Express, June 2009 by K. Hui, X. Wang, et al (“Hui”). It involves stacking red, blue, and green LEDs on top of each other after individually packaging each of these LEDs. While this solves light mixing problems, this RGB-LED is still much more costly than a pcLED solution since three LEDs for red, blue, and green color need to be packaged. A pcLED, on the other hand, requires just one LED to be packaged and coated with phosphor.
  • RGB LED proposal from Nichia Corporation is described in “Phosphor Free High-Luminous-Efficiency White Light-Emitting Diodes Composed of InGaN Multi-Quantum Well”, Japanese Journal of Applied Physics, 2002 by M. Yamada, Y. Narukawa, et al. (“Yamada”). It involves constructing and stacking red, blue and green LEDs of GaN-based materials on a sapphire or SiC substrate. However, red LEDs are not efficient when constructed with GaN-based material systems, and that hampers usefulness of this implementation. It is not possible to deposit defect-free AlInGaP/InGaP for red LEDs on the same substrate as GaN based blue and green LEDs, due to a mismatch in thermal expansion co-efficient between the various material systems.
  • RGB-LED proposal is described in “Cascade Single chip phosphor-free while light emitting diodes”, Applied Physics Letters, 2008 by X. Guo, G. Shen, et al. (“Guo”). It involves bonding GaAs based red LEDs with GaN based blue-green LEDs to produce white light. Unfortunately, this bonding process requires 600° C. temperatures, causing issues with mismatch of thermal expansion co-efficients and cracking.
  • Another publication on this topic is “A trichromatic phosphor-free white light-emitting diode by using adhesive bonding scheme”, Proc. SPIE, Vol. 7635, 2009 by D. Chuai, X. Guo, et al. (“Chuai”). It involves bonding red LEDs with green-blue LED stacks. Bonding is done at the die level after dicing, which is more costly than a wafer-based approach.
  • U.S. patent application Ser. No. 12/130,824 describes various stacked RGB LED devices. It also briefly mentions a method for construction of a stacked LED where all layers of the stacked LED are transferred using lift-off with a temporary carrier and Indium Tin Oxide (ITO) to semiconductor bonding. This method has several issues for constructing a RGB LED stack. First, it is difficult to manufacture a lift-off with a temporary carrier of red LEDs for producing a RGB LED stack, especially for substrates larger than 2 inch. This is because red LEDs are typically constructed on non-transparent GaAs substrates, and lift-off with a temporary carrier is done by using an epitaxial lift-off process.
  • ITO Indium Tin Oxide
  • the thin film to be transferred typically sits atop a “release-layer” (eg. AlAs), this release layer is removed by etch procedures after the thin film is attached to a temporary substrate. Scaling this process to 4 inch wafers and bigger is difficult. Second, it is very difficult to perform the bonding of ITO to semiconductor materials of a LED layer at reasonable temperatures, as described in the patent application Ser. No. 12/130,824.
  • a “release-layer” eg. AlAs
  • RGB LEDs are significantly more efficient than pcLEDs, they can be used as replacements of today's phosphor-based LEDs for many applications, provided a cheap and effective method of constructing RGB LEDs can be invented.
  • Image sensors are used in applications such as cameras. Red, blue, and green components of the incident light are sensed and stored in digital format.
  • CMOS image sensors typically contain a photodetector and sensing circuitry. Almost all image sensors today have both the photodetector and sensing circuitry on the same chip. Since the area consumed by the sensing circuits is high, the photodetector cannot see the entire incident light, and image capture is not as efficient.
  • TSV pitch will be the industry standard until 2012.
  • a 2-4 ⁇ m pitch TSV will be too big for a sub-1 ⁇ m pixel. Therefore, novel techniques of stacking photodetectors and sensing circuitry are required.
  • LCDs Liquid Crystal Displays
  • TFTs amorphous/polycrystalline silicon thin-film-transistors
  • Microdisplays that utilize single-crystal silicon transistors. Microdisplays are typically used where very high resolution is needed, such as camera/camcorder view-finders, projectors and wearable computers.
  • Microdisplays are made in semiconductor fabs with 200 mm or 300 mm wafers. They are typically constructed with LCOS (Liquid-Crystal-on-Silicon) Technology and are reflective in nature. An exception to this trend of reflective microdisplays is technology from Kopin Corporation (U.S. Pat. No. 5,317,236, filed December 1991). This company utilizes transmittive displays with a lift-off layer transfer scheme. Transmittive displays may be generally preferred for various applications.
  • LCOS Liquid-Crystal-on-Silicon
  • Solar cells can be constructed of several materials such as, for example, silicon and compound semiconductors.
  • the highest efficiency solar cells are typically multi junction solar cells that are constructed of compound semiconductor materials. These multi junction solar cells are typically constructed on a germanium substrate, and semiconductors with various band-gaps are epitaxially grown atop this substrate to capture different portions of the solar spectrum.
  • Image sensors based on Charge-Coupled Device (CCD) technology has been around for several decades.
  • the CCD technology relies on a collect and shift scheme, wherein charges are collected in individual cells according to the luminosity of the light falling on each of them, then the charges are sequentially shifted towards one edge of the sensor where readout circuits read the sequence of charges one at a time.
  • CCD technology has better light sensitivity since almost the entire CCD cell area is dedicated to light collecting, and the control and readout circuits are all on one edge not blocking the light.
  • the photodiodes in each cell have to share space with the control and readout circuits adjacent to them, and so their size and light sensitivity are therefore limited.
  • HDR High Dynamic Range
  • the typical approach is to capture images using exposure bracketing, and then combining them into a single HDR image.
  • the issue with this is that multiple exposures are performed over some period of time, and if there is movement of the camera or target during the time of the exposures, the final HDR image will reflect this by loss of sharpness.
  • multiple images may lead to large data in storage devices.
  • Other methods use software algorithms to extract HDR information from a single exposure, but as they can only process information that is recordable by the sensor, there is a permanent loss of some details.
  • CMOS Complementary Metal Oxide Semiconductor
  • 3D stacking of semiconductor devices or chips is one avenue to tackle the wire issues.
  • the transistors in ICs can be placed closer to each other. This reduces wire lengths and keeps wiring delay low.
  • TSV Through-silicon via
  • Monolithic 3D technology With this approach, multiple layers of transistors and wires can be monolithically constructed.
  • Some monolithic 3D and 3DIC approaches are described in U.S. Pat. Nos. 8,273,610, 8,298,875, 8,362,482, 8,378,715, 8,379,458, 8,450,804, 8,557,632, 8,574,929, 8,581,349, 8,642,416, 8,669,778, 8,674,470, 8,687,399, 8,742,476, 8,803,206, 8,836,073, 8,902,663, 8,994,404, 9,023,688, 9,029,173, 9,030,858, 9,117,749, 9,142,553, 9,219,005, 9,385,058, 9,406,670, 9,460,978, 9,509,313, 9,640,531, 9,691,760, 9,711,407, 9,721,927, 9,799,761, 9,871,034, 9,953,870, 9,953,994, 10,014,292, 10,014,
  • Electro-Optics There is also work done for integrated monolithic 3D including layers of different crystals, such as U.S. Pat. Nos. 8,283,215, 8,163,581, 8,753,913, 8,823,122, 9,197,804, 9,419,031, 9,941,319, 10,679,977 and 10,943,934.
  • the entire contents of the foregoing patents, publications, and applications are incorporated herein by reference.
  • a multi-level semiconductor device including: a first level including integrated circuits; a second level including an optical waveguide, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • a multi-level semiconductor device including: a first level including an optical waveguide; a second level including integrated circuits, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • a multi-level semiconductor device including: a first level including integrated circuits; a second level including a plurality of optical modulators, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • a multi-level semiconductor device including: a first level including integrated circuits; a second level including an electromagnetic waveguide, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • a multi-level semiconductor device including: a first level including an electromagnetic waveguide; a second level including integrated circuits, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • a multi-level semiconductor device including: a first level including integrated circuits; a second level including a plurality of electromagnetic modulators, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • a multi-level semiconductor device including: a first level including integrated circuits; a second level including a structure designed to conduct electromagnetic waves in a confined manner, where the second level is disposed above the first level, where the first level includes crystalline silicon, where the second level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • a multi-level semiconductor device including: a first level including a structure designed to conduct electromagnetic waves in a confined manner; a second level including integrated circuits, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • a multi-level semiconductor device including: a first level including integrated circuits; a second level including a plurality of electromagnetic modulators, where the second level is disposed above the first level, where the first level includes crystalline silicon, where the second level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the first level.
  • FIGS. 1A-1G are exemplary drawn illustrations of a display constructed using sub-400° C. processed single crystal silicon recessed channel transistors on a glass substrate;
  • FIGS. 2A-2I are exemplary drawn illustrations of a display constructed using sub-400° C. processed single crystal silicon replacement gate transistors on a glass substrate;
  • FIGS. 3A-3F are exemplary drawn illustrations of a display constructed using sub-400° C. processed single crystal junction-less transistors on a glass substrate;
  • FIGS. 4A-4D are exemplary drawn illustrations of a display constructed using sub-400° C. processed amorphous silicon or polysilicon junctionless transistors on a glass substrate;
  • FIGS. 5A-5C are exemplary drawn illustrations of a microdisplay constructed using stacked RGB LEDs and control circuits are connected to each pixel with solder bumps;
  • FIGS. 6A-6D are exemplary drawn illustrations of a microdisplay constructed using stacked RGB LEDs and control circuits are monolithically stacked above the LED.
  • FIGS. 31 A-H illustrate an embodiment of this invention, where a LED-driven chip-to-chip optical interconnect is constructed by monolithically stacking using layer transfer techniques;
  • FIGS. 7A-7H illustrate an embodiment of this invention, where a LED-driven chip-to-chip optical interconnect is constructed by monolithically stacking using layer transfer techniques;
  • FIGS. 8A-8D illustrate an embodiment of this invention, where a laser-driven chip-to-chip optical interconnect is constructed by monolithically stacking using layer transfer techniques;
  • FIGS. 9A-9C illustrate an embodiment of this invention, where a LED-driven on-chip optical interconnect is constructed by monolithically stacking using layer transfer techniques;
  • FIG. 10 illustrates a typical hollow-metal waveguide (HMWG) structure which enables on-chip communication via waveguides stacked on top of the active layer of the chip (prior art).
  • HMWG hollow-metal waveguide
  • FIGS. 11A-11C illustrate an embodiment of this invention, where a laser-driven on-chip optical interconnect is constructed by monolithically stacking using layer transfer techniques.
  • FIGS. 1-11 Embodiments of the present invention are now described with reference to FIGS. 1-11 , it being appreciated that the figures illustrate the subject matter not to scale or to measure.
  • a smart layer transfer may be defined as one or more of the following processes:
  • RGB LEDs could include several steps that occur in a sequence from Step (A) to Step (S). Many of them share common characteristics, features, modes of operation, etc.
  • Step (A) Step (S)
  • S Step (S)
  • the same reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • transistors need to be formed on glass or plastic substrates. These substrates typically cannot withstand high process temperatures (e.g., >400° C.). Layer transfer can be advantageously used for constructing displays and microdisplays as well, since it may enable transistors to be processed on these substrates at ⁇ 400° C.
  • Various embodiments of transistors constructed on glass substrates are described in this patent application. These transistors constructed on glass substrates could form part of liquid crystal displays (LCDs) or other types of displays. It will be clear to those skilled in the art based on the present disclosure that these techniques can also be applied to plastic substrates.
  • LCDs liquid crystal displays
  • FIGS. 1A-1G describe a process for forming recessed channel single crystal (or monocrystalline) transistors on glass substrates at a temperature approximately less than 400° C. for display and microdisplay applications.
  • This process could include several steps that occur in a sequence from Step (A) to Step (G). Many of these steps share common characteristics, features, modes of operation, etc.
  • identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • Step (A) is illustrated in FIG. 1A .
  • a silicon wafer 2202 is taken and a n+ region 2204 is formed by ion implantation. Following this formation, a layer of p ⁇ Silicon 2206 is epitaxially grown. An oxide layer 2210 is then deposited. Following this deposition, an anneal is performed to activate dopants in various layers. It will be clear to one skilled in the art based on the present disclosure that various other procedures can be used to get the structure shown in FIG. 22A .
  • Step (B) is illustrated in FIG. 1B . Hydrogen is implanted into the structure shown in FIG. 22A at a certain depth indicated by 2212 . Alternatively, Helium can be used for this purpose.
  • Step (C) is illustrated in FIG. 1C .
  • a glass substrate 2214 is taken and a silicon oxide layer 2216 is deposited atop it at compatible temperatures.
  • Step (D) is illustrated in FIG. 1D .
  • Various elements in FIG. 1D such as 2202 , 2204 , 2206 , 2210 , 2214 , and 2216 have been described previously.
  • the structure shown in FIG. 1B is flipped and bonded to the structure shown in FIG. 1C using oxide-to-oxide bonding of layers 2210 and 2216 .
  • Step (E) is illustrated in FIG. 1E .
  • Step (F) is illustrated in FIG. 1F .
  • An oxide layer 2220 is formed using a shallow trench isolation (STI) process. This helps isolate transistors.
  • Step (G) is illustrated in FIG. 1G .
  • Various elements in FIG. 1G such as 2210 , 2216 , 2220 and 2214 have been described previously.
  • n+ Silicon layer from FIG. 1F and optionally p ⁇ Silicon layer from FIG. 1F are etched.
  • a thin gate dielectric is deposited, after which a gate dielectrode is deposited.
  • the gate dielectric and gate electrode are then polished away to form the gate dielectric layer 2224 and gate electrode layer 2222 .
  • the n+ Silicon layers 2228 and 2226 form the source and drain regions of the transistors while the p ⁇ Silicon region after this step is indicated by 2230 .
  • Contacts and other parts of the display/microdisplay are then fabricated. It can be observed that during the whole process, the glass substrate substantially always experiences temperatures less than 400° C., or even lower. This is because the crystalline silicon can be transferred atop the glass substrate at a temperature less than 400° C., and dopants are pre-activated before layer transfer to glass.
  • FIG. 2A-2I describes a process of forming both nMOS and pMOS transistors with single-crystal silicon on a glass substrate at temperatures less than 400° C., and even lower. Ion-cut technology (which is a smart layer transfer technology) is used. While the process flow described is shown for both nMOS and pMOS on a glass substrate, it could also be used for just constructing nMOS devices or for just constructing pMOS devices. This process could include several steps that occur in a sequence from Step (A) to Step (H). Many of these steps share common characteristics, features, modes of operation, etc.
  • Step (A) is illustrated in FIG. 2A .
  • a p ⁇ Silicon wafer 2302 is taken and a n well 2304 is formed on the p ⁇ Silicon wafer 2302 .
  • Various additional implants to optimize dopant profiles can also be done.
  • an isolation process is conducted to form isolation regions 2306 .
  • a dummy gate dielectric 2310 made of silicon dioxide and a dummy gate electrode 2308 made of polysilicon are constructed.
  • Step (B) is illustrated in FIG. 2B .
  • Various elements of FIG. 2B such as 2302 , 2304 , 2306 , 2308 and 2310 have been described previously.
  • Implants are done to form source-drain regions 2312 and 2314 for both nMOS and pMOS transistors.
  • a rapid thermal anneal is then done to activate dopants.
  • RTA rapid thermal anneal
  • a spike anneal or a laser anneal could be done.
  • Step (C) is illustrated in FIG. 2C .
  • Various elements of FIG. 2C such as 2302 , 2304 , 2306 , 2308 , 2310 , 2312 and 2314 have been described previously.
  • An oxide layer 2316 is deposited and planarized with CMP.
  • Step (D) is illustrated in FIG. 2D .
  • Various elements of FIG. 2D such as 2302 , 2304 , 2306 , 2308 , 2310 , 2312 , 2314 , and 2316 have been described previously.
  • Hydrogen is implanted into the wafer at a certain depth indicated by 2318 .
  • Step (E) is illustrated in FIG. 2E .
  • Various elements of FIG. 2E such as 2302 , 2304 , 2306 , 2308 , 2310 , 2312 , 2314 , 2316 , and 2318 have been described previously.
  • a temporary bonding adhesive is a polyimide that can be removed by shining a laser.
  • An example of a temporary carrier wafer is glass.
  • Step (F) is illustrated in FIG. 2F .
  • the structure shown in FIG. 2E is cleaved at the hydrogen plane using a mechanical force. Alternatively, an anneal could be used.
  • FIG. 2F shows the structure after all these steps are done, with the deposited oxide layer indicated as 2328 .
  • the p ⁇ Silicon region is indicated as 2322
  • the n ⁇ Silicon region is indicated as 2324
  • the oxide isolation regions are indicated as 2326 .
  • Various other elements in FIG. 23F such as 2308 , 2320 , 2312 , 2314 , 2310 , and 2316 have been described previously.
  • Step (G) is illustrated in FIG. 2G .
  • the structure shown in FIG. 2F is bonded to a glass substrate 2332 with an oxide layer 2330 using oxide-to-oxide bonding.
  • FIG. 2G such as 2308 , 2326 , 2322 , 2324 , 2312 , 2314 , and 2310 have been described previously.
  • Oxide regions 2328 and 2330 are bonded together.
  • the temporary carrier wafer from FIG. 2F is removed by shining a laser through it.
  • a CMP process is then conducted to reach the surface of the gate electrode 2308 .
  • FIG. 2H The oxide layer remaining is denoted as 2334 .
  • Step (H) is illustrated in FIG. 2I .
  • Various elements in FIG. 2I such as 2312 , 2314 , 2328 , 2330 , 2332 , 2334 , 2326 , 2324 , and 2322 have been described previously.
  • the dummy gate dielectric and dummy gate electrode are etched away in this step and a replacement gate dielectric 2336 and a replacement gate electrode 2338 are deposited and planarized with CMP.
  • Examples of replacement gate dielectrics could be hafnium oxide or aluminum oxide while examples of replacement gate electrodes could be TiN or TaN or some other material.
  • Contact formation, metallization and other steps for building a display/microdisplay are then conducted. It can be observed that after attachment to the glass substrate, no process step requires a processing temperature above 400° C.
  • FIGS. 3A-3F describe an embodiment of this invention, where single-crystal Silicon junction-less transistors are constructed above glass substrates at a temperature approximately less than 400° C.
  • An ion-cut process (which is a smart layer transfer process) is utilized for this purpose. This process could include several steps that occur in a sequence from Step (A) to Step (F). Many of these steps share common characteristics, features, modes of operation, etc.
  • identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • Step (A) is illustrated in FIG. 3A .
  • a glass substrate 2402 is taken and a layer of silicon oxide 2404 is deposited on the glass substrate 2402 .
  • Step (B) is illustrated in FIG. 3B .
  • a p ⁇ Silicon wafer 2406 is implanted with a n+ Silicon layer 2408 above which an oxide layer 2410 is deposited.
  • a RTA or spike anneal or laser anneal is conducted to activate dopants. Following this, hydrogen is implanted into the wafer at a certain depth indicated by 2412 . Alternatively, helium can be implanted.
  • Step (C) is illustrated in FIG. 3C .
  • the structure shown in FIG. 3B is flipped and bonded onto the structure shown in FIG. 3A using oxide-to-oxide bonding.
  • This bonded structure is cleaved at its hydrogen plane, after which a CMP is done.
  • FIG. 3C shows the structure after all these processes are completed.
  • 2414 indicates the n+Si layer, while 2402 , 2404 , and 2410 have been described previously.
  • Step (D) is illustrated in FIG. 3D .
  • a lithography and etch process is conducted to pattern the n+ Silicon layer 2414 in FIG. 3C to form n+ Silicon regions 2418 in FIG. 3D .
  • the glass substrate is indicated as 2402 and the bonded oxide layers 2404 and 2410 are shown as well.
  • Step (E) is illustrated in FIG. 3E .
  • a gate dielectric 2420 and gate electrode 2422 are deposited, following which a CMP is done. 2402 is as described previously.
  • Step (F) is illustrated in FIG. 3F .
  • the gate dielectric 2420 and gate electrode 2422 from FIG. 3E are patterned and etched to form the structure shown in FIG. 3F .
  • the gate dielectric after the etch process is indicated as 2424 while the gate electrode after the etch process is indicated as 2426 .
  • n+ Si regions are indicated as 2418 while the glass substrate is indicated as 2402 .
  • Oxide regions 2404 and 2410 have been described previously. It can be observed that a three-side gated junction-less transistor is formed at the end of the process described with respect of FIGS. 3A-3F .
  • FIGS. 4A-D describe an embodiment of this invention, where amorphous Si or polysilicon junction-less transistors are constructed above glass substrates at a temperature less than 400° C.
  • This process could include several steps that occur in a sequence from Step (A) to Step (D). Many of these steps share common characteristics, features, modes of operation, etc.
  • identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • Step (A) is illustrated in FIG. 4A .
  • a glass substrate 2502 is taken and a layer of silicon oxide 2504 is deposited on the glass substrate 2502 .
  • a layer of n+Si 2506 is deposited using low-pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD). This layer of n+Si could optionally be hydrogenated.
  • Step (B) is illustrated in FIG. 4B .
  • a lithography and etch process is conducted to pattern the n+ Silicon layer 2506 in FIG. 4A to form n+ Silicon regions 2518 in FIG. 4B .
  • 2502 and 2504 have been described previously.
  • Step (C) is illustrated in FIG. 4C .
  • a gate dielectric 2520 and gate electrode 2522 are deposited, following which a CMP is optionally done. 2502 is as described previously.
  • the n+Si regions 2518 are not visible in this figure, since they are covered by the gate electrode 2522 .
  • Step (D) is illustrated in FIG. 4D .
  • the gate dielectric 2520 and gate electrode 2522 from FIG. 4C are patterned and etched to form the structure shown in FIG. 4D .
  • the gate dielectric after the etch process is indicated as 2524 while the gate electrode after the etch process is indicated as 2526 .
  • n+Si regions are indicated as 2518 while the glass substrate is indicated as 2502 . It can be observed that a three-side gated junction-less transistor is formed at the end of the process described with respect of FIGS.
  • FIGS. 4A-4D Contacts, metallization and other steps for constructing a display/microdisplay are performed after the steps indicated by FIGS. 4A-4D . It can be seen that the glass substrate is not exposed to temperatures greater than 400° C. during any step of the above process for forming the junction-less transistor.
  • FIGS. 5A-5C illustrate an embodiment of this invention, where a microdisplay is constructed using stacked RGB LEDs and control circuits are connected to each pixel with solder bumps.
  • This process could include several steps that occur in a sequence from Step (A) to Step (C). Many of these steps share common characteristics, features, modes of operation, etc.
  • identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • Step (A) is illustrated in FIG. 5A .
  • the structure shown in FIG. 5A is constructed.
  • Various elements of FIG. 5A are as follows:
  • each pixel can have each pixel emit a certain color of light by tuning the voltage given to the red, green and blue layers within each pixel.
  • This microdisplay may be constructed using the ion-cut technology, a smart layer transfer technique.
  • FIGS. 6A-6D illustrate an embodiment of this invention, where a microdisplay is constructed using stacked RGB LEDs and control circuits are integrated with the RGB LED stack.
  • This process could include several steps that occur in a sequence from Step (A) to Step (D). Many of these steps share common characteristics, features, modes of operation, etc.
  • identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • Step (A) is illustrated in FIG. 6A .
  • the structure shown in FIG. 6A is constructed.
  • Various elements of FIG. 6A are as follows:
  • FIGS. 5-6 may enable novel implementations of “smart-lighting concepts” (also known as visible light communications) that are described in “Switching LEDs on and off to enlighten wireless communications”, EETimes, June 2010 by R. Colin Johnson.
  • LED lights could be turned on and off faster than the eye can react, so signaling or communication of information with these LED lights is possible.
  • An embodiment of this invention involves designing the displays/microdisplays described in FIGS. 5-6 to transmit information, by modulating wavelength of each pixel and frequency of switching each pixel on or off.
  • Optical interconnects in inter-chip communication have become a feasible replacement for electrical interconnects as the line capacitance of the latter has imposed increasingly difficult limitations due to scaling. As electrical component density increases, optical lines can carry more information between electrical components.
  • An optical interconnect system may consist of several components. The first is a transmission component that generates and modulates the light that is used to send the information. The second is a network of waveguides that guides the light to the receiving destination on the chip. Finally, there is the receiver network, which converts the light back to electrical signals so that the information can be processed by the electronic devices on the chip.
  • the transmission component is typically built out of lasers and modulators.
  • Lasers are built typically using III-V semiconductors like GaAs, InP, and InGaAs which have superior optical mechanisms compared to Group IV semiconductors such as silicon or germanium.
  • III-V materials such as silicon or germanium.
  • the drawback with these III-V materials is that their processing is not compatible with the Group IV materials used for the electronic components of the chip.
  • the laser is placed off-chip, which additionally offers the advantage of insulating the laser operation from the temperature variations and power limits of the chip itself.
  • Another option is to use a layer of LEDs in a monolithic 3D configuration as the light sources for the data transmission. The advantage of this option is that LEDs are cheaper than lasers and are easier to modulate directly.
  • LEDs present some limitations as to the data transmission efficiency through the waveguides since, unlike the generated light from lasers, the generated light from LEDs are not coherent or collimated, and, hence, waveguide loss is significant.
  • Waveguides are passive optical components designed to confine light in one direction. Typically they are made out of Silicon, Silicon Dioxide, and Silicon Nitride, which are materials already being used for the electronic components in conventional chips, and thus are materially compatible and can be grown or deposited on top of these layers. So in Silicon-based chips, such dielectric waveguides are usually used, in which a material with high permittivity corresponding to a high index of refraction, is surrounded by a material with lower permittivity corresponding to a lower index of refraction. The structure then guides optical waves by total internal reflection. For example, Silicon may be used for the high permittivity material and Silicon dioxide for the low permittivity material. Another type of waveguides use photonic crystal structures, which again can be constructed using Silicon and Silicon dioxide.
  • dielectric waveguides are not able to contain light where sharp turns are required because of the limits imposed on light refraction between two materials by the critical angle, and light leakage may result. So they may be suitable for chip-to-chip optical communications where most waveguides only need to be mostly straight and here the significant distance between the two chips may allow for gradual turns if needed.
  • HMWG hollow metal waveguides
  • HMWG's allow light to be reflected around sharp corners, which may be a potential advantage as described in Mathai, S., et al., US Patent Application 2009/0244716A1.
  • HMWG's may be used to enable the sharp turns required for the light signals.
  • the receiving component may include an array of photodetectors, typically made from Ge or SiGe. These photodetectors may have a p-n or p-i-n structure and may be biased to capture photons and subsequently convert them into electronic carriers.
  • Layer transfer technology may be utilized for constructing the layers for an optical interconnect system.
  • the transmission component may consist of a layer of light-emitting diodes (LEDs) physically coupled with a layer of control circuits to manage the triggering of the LEDs so as to control the light being transmitted to enable data communication.
  • the light may then be sent through a layer of waveguides which may distribute the light to their respective destinations on the chip, which may then be received by a layer of photo-detectors and converted to electrical signals by the readout circuits that can be handled by the electronic components of the chip.
  • FIGS. 7A-7H illustrate an embodiment of the invention, where the transmitter block: LED control circuit layer 3142 , LED layer 3148 ; communication channel: waveguide layer 3136 ; and receiver block: photo-detector layer 3110 , and readout circuit layer 3100 may be stacked monolithically with layer transfer.
  • the process of forming the optical communication system may include several steps that occur in a sequence from Step A to Step H. Many of these steps share common characteristics, features, modes of operation, etc.
  • identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • FIG. 7A illustrates the first step for constructing the photo-detector layer 3110 and readout circuit layer 3100 , where the photo-detector layer 3110 may be formed atop the readout circuit layer 3100 using layer transfer.
  • FIG. 7A illustrates a cross-sectional view of silicon wafer substrate with pre-processed read-out circuits 3102 , above which an oxide layer 3104 may be deposited. Thus readout circuit layer 3100 is formed.
  • FIG. 7A further illustrates the cross-sectional view of another Silicon wafer 3112 which may have a p+ Silicon layer 3114 , a p Silicon layer 3116 , a n Silicon layer 3118 , a n+ Silicon layer 3120 , and an oxide layer 3122 .
  • These layers may be formed using procedures similar to those described in FIG. 15A-15G of incorporated parent U.S. Pat. No. 9,197,804. An anneal may then be performed to activate dopants in various layers. Hydrogen may be implanted in the wafer at a certain depth depicted by dashed line 3190 .
  • FIG. 7B illustrates the photo-detector and readout circuit structure 3192 formed by an ion-cut layer transfer process.
  • the photo-detector layer 3110 of p+pnn+ silicon consisting of the photo-detector diodes may be layer transferred atop the silicon wafer with readout circuit layer 3100 wherein oxide layer 3104 may be bonded to oxide layer 3122 , and p+ silicon layer 3115 may be a result of the cleave and polish operations.
  • Procedures for layer transfer and alignment for forming the structure in FIG. 31B are similar to procedures used for constructing the image sensor shown in FIGS. 15A-15G of incorporated parent U.S. Pat. No. 9,197,804.
  • Step (C) is illustrated in FIG. 7C .
  • An oxide layer 3124 may be deposited on top of p+ silicon layer 3115 . Connections may be made to the terminals of the photo-detector by lithographic, etch, and fill operations similar to those described in FIGS. 15A-15G of incorporated parent U.S. Pat. No. 9,197,804, and are indicated as p+ contact 3126 and n+ contact 3128 .
  • Various elements of FIG. 7C such as 3102 , 3104 , 3115 , 3116 , 3118 , 3120 , and 3122 have been described previously herein or in incorporated parent U.S. Pat. No. 9,197,804.
  • Contacts 3130 and interconnects (not shown) for connecting terminals of the photo-detector, such as p+ contact 3124 and p+ contact 3128 , to read-out circuits in silicon wafer substrate with pre-processed read-out circuits 3102 may be done.
  • silicon wafer containing the photo-detectors and read-out circuits 3131 may be formed. The functionality of the photo-detectors may be tested at this point.
  • FIGS. 15A-15G of incorporated parent U.S. Pat. No. 9,197,804 illustrate a process whereby oxide vias constructed before layer transfer may be used to look through photo-detector layers to observe alignment marks on the read-out circuit wafer below it.
  • an alternative embodiment of this invention may involve constructing oxide vias after layer transfer. Essentially, after layer transfer of structures without oxide vias, oxide vias whose diameters are larger than the maximum misalignment of the bonding/alignment scheme may be formed. This order of sequences may enable observation of alignment marks on the bottom read-out circuit wafer by looking through the photo-detector wafer.
  • Waveguides are structures designed to confine light in one direction.
  • dielectric waveguides are usually used, in which a material with high permittivity corresponding to a high index of refraction, is surrounded by a material with lower permittivity corresponding to a lower index of refraction.
  • the structure guides optical waves by total internal reflection.
  • convenient materials are Silicon for the high permittivity material and Silicon dioxide for the low permittivity material.
  • Another type of waveguides use photonic crystal structures, which again can be constructed using Silicon and Silicon dioxide. In most cases, masks and etching are used to construct the structures.
  • Yet another type of waveguides may be called hollow metal waveguides (HMWG), made of trenches in the material with walls coated with reflective metals which may include silver. In combination with beam-splitters, HMWG's allow light to be reflected around sharp corners, which may be a potential advantage.
  • HMWG hollow metal waveguides
  • Step (D) is illustrated in FIG. 7D .
  • Silicon waveguides 3136 may be formed on the SOI wafer 3132 and BOX 3134 by electron beam lithography followed by electron cyclotron resonance plasma etching. The wafer may then be coated with Silicon Dioxide 3138 to form the over-cladding. It will be obvious to one skilled in the art that many configurations and material combinations are being currently used and/or possible in the formation of the waveguides. This invention is not limited to one particular configuration or set of materials. Hydrogen may be implanted in the wafer at a certain depth depicted by 3140 . Thus, Silicon/Silicon Dioxide waveguide layer 3139 may be formed.
  • Step (E) is illustrated in FIG. 7E .
  • the Silicon/Silicon Dioxide waveguide layer 3139 may then be ion-cut layer transferred atop the silicon wafer containing the photo-detectors and read-out circuits 3131 .
  • Procedures for layer transfer and alignment for forming the structure 3141 in FIG. 7E are similar to procedures used previously herein and/or in incorporated parent U.S. Pat. No.
  • Silicon/Silicon Dioxide waveguide layer 3139 may be flipped and bonded atop silicon wafer containing the photo-detectors and read-out circuits 3131 using oxide-oxide bonding and the Silicon substrate 3132 may then be cleaved and polished until the oxide layer 3134 , now labeled 3135 after the cleave and polish process, is reached.
  • Step (F) is shown in FIG. 7F which is used for constructing the LED and control circuit layers, where the Red LED layer from Red LED wafer 3148 may be formed atop the electronic control circuit layer 3142 using ion-cut layer transfer. Silicon wafer with control circuits 3144 may be conventionally constructed, above which an oxide layer 3146 may be deposited. Red LED wafer 3148 may include GaAs wafer 3150 , n-type confinement layer 3152 , multiple quantum well (MQW) layer 3154 , P-type confinement layer 3156 , and an ITO current spreader layer 3158 .
  • MQW multiple quantum well
  • Examples of materials used to construct these layers may include, but are not limited to; doped AlInGaP for the n-type confinement layer 3152 and p-type confinement layer 3156 , multiple quantum well layer 3154 could be composed of AlInGaP and GaInP. These layers may be formed by processes such as molecular beam epitaxy, MOCVD, etc.
  • the red LED wafer described in FIG. 7F may have hydrogen implanted into it at a certain depth as shown by dotted line 3160 . Alternatively, helium can be implanted.
  • Step (G) is shown in FIG. 7G .
  • the layer of GaAs structures consisting of the red LEDs 3148 may be layer transferred atop the silicon wafer with the control circuits 3142 forming the LED stack 3170 .
  • Procedures for layer transfer and alignment for forming the structure in FIG. 7G may be similar to procedures used for constructing the LED lighting shown in FIGS. 12A-12F of incorporated parent U.S. Pat. No. 9,197,804.
  • n-GaAs layer 3152 is renamed 3153 after the cleaving and polishing process.
  • An ITO layer 3162 is deposited atop n-GaAs layer 3153 , thus forming the LED stack 3170 .
  • the functionality of the LEDs may be tested at this point.
  • Step (H) is illustrated by FIG. 7H .
  • the structure shown in FIG. 31G , LED stack 3170 may be flipped and bonded atop the structure shown in FIG. 7E , structure 3141 , using oxide-to-oxide bonding of ITO layer 3162 and oxide layer 3135 .
  • Various elements in FIG. 7H such as 3102 , 3104 , 3115 , 3116 , 3118 , 3120 , 3122 , 3124 , 3135 , 3136 , 3138 , 3144 , 3146 , 3153 , 3154 , 3156 , 3158 and 3162 have been described previously herein and/or in incorporated parent U.S. Pat. No. 9,197,804.
  • LED-driven chip-to-chip optical interconnect 3199 may be formed.
  • FIGS. 8A-8D illustrate an embodiment of this invention, where the transmitter block: modulator control circuit layer 3242 , modulator layer 3248 ; communication channel: waveguide layer 3236 ; and receiver block: photodetector layer 3210 , and readout circuit layer 3200 are stacked monolithically with layer transfer.
  • FIG. 8A illustrates the first step for constructing the waveguide layer 3236 , photodetector layer 3210 , readout circuit layer 3200 , where the waveguide layer 3236 with oxide layer 3234 , oxide layer 3228 , oxide layer 3221 oxide layer 3222 and oxide layer 3204 may be formed atop the photodetector layer 3210 , which in turn may be formed atop the readout circuit layer 3200 using layer transfer procedures described in FIG. 7A-7E .
  • Step (B) is shown in FIG. 8B which is used for constructing the modulator and control circuit layers, where the modulator layer is formed atop the electronic control circuit layer using layer transfer.
  • 3242 shows a cross-sectional view of 3244 , a silicon wafer with control circuits constructed on it, above which an oxide layer 3246 is deposited.
  • a Silicon wafer 3250 containing Silicon-Germanium modulators may include a P-type Silicon-Germanium buffer layer 3252 , an undoped Silicon-Germanium spacer 3254 , a Germanium/Silicon-Germanium multiple quantum well (MQW) 3256 , another undoped Silicon-Germanium spacer 3258 , an N-type Silicon-Germanium layer 3260 , and a deposited oxide layer 3262 .
  • Examples of materials used to construct these layers include, but are not limited to, doped GaAs for the N-type cap layer 3260 and P-type buffer layer 3252 , the multiple quantum well layer 3256 could be of GaAs and AlGaAs.
  • a double heterostructure configuration or single quantum well configuration could be used instead of a multiple quantum well configuration.
  • Various other material types and configurations could be used for constructing the modulators for this process.
  • the modulator wafer described in FIG. 8B has hydrogen implanted into it at a certain depth.
  • the dotted line 3264 depicts the hydrogen implant.
  • helium can be implanted.
  • Step (C) is shown in FIG. 8C .
  • the layer of SiGe structures consisting of the modulators 3248 is layer transferred atop the silicon wafer with the control circuits 3242 .
  • Procedures for layer transfer and alignment for forming the structure in FIG. 8C are similar to procedures used for constructing the photo-detectors shown in FIGS. 15A-G of incorporated parent U.S. Pat. No. 9,197,804. The functionality of the modulators can be tested at this point.
  • Step (D) is illustrated by FIG. 8D .
  • the structure shown in FIG. 8C is flipped and bonded atop the structure shown in FIG. 8A using oxide-to-oxide bonding of layers 3266 and 3234 .
  • Various elements in FIG. 32D such as 3202 , 3204 , 3214 , 3216 , 3218 , 3220 , 3222 , 3234 , 3236 , 3238 , 3244 , 3246 , 3252 , 3254 , 3256 , 3258 , 3260 , 3262 and 3266 have been described previously herein and/or within incorporated parent U.S. Pat. No. 9,197,804.
  • An external laser 3268 (typically made of InP) is then coupled to the structure via an optical fiber 3270 by known techniques.
  • FIGS. 9A-9C illustrate an embodiment of this invention, where the LED-driven optical communication is among sections on a single chip.
  • FIG. 9A illustrates a cross-sectional view of a transmitter section 3350 and a receiver section 3360 .
  • the transmitter section 3350 may include LED control circuit layer 3352 , LED layer 3354 and waveguide layer 3356 stacked monolithically with layer transfer.
  • the receiver section 3360 may contain readout circuit layer 3362 , photo-detector layer 3364 and waveguide layer 3166 stacked monolithically with layer transfer. Layer transfer procedures are similar to those described in FIGS. 7A-7H herein.
  • FIG. 9B illustrates an exemplary top view of integrated circuit chip 3310 which may include integrated circuits 3312 , optical transmitters using LEDs 3314 and 3316 , optical receivers using photo-detectors 3318 and 3320 , and waveguide sections 3322 and 3324 enabling optical communication from one end of the chip to the other.
  • FIG. 9C illustrates a cross-sectional view (not to scale) of an integrated circuit chip 3330 with a substrate 3332 , control and readout circuit sections 3338 and 3340 , integrated circuit section 3334 , LED and photo-detector layer 3336 , and waveguide layer 3342 .
  • each layer may use the same material throughout the layer for ease of processing, but may differ among different layers.
  • the waveguide layer 3342 may use Silicon
  • the LED and photo-detector layer 3336 may use III-V semiconductor material
  • the layer with control and readout circuit sections 3338 and 3340 and integrated circuits section 3334 may use Silicon
  • the substrate 3332 may use silicon.
  • FIG. 10 illustrates cross-sectional view of a waveguide structure 3470 with Hollow-metal waveguide (HMWG) 3472 , beam-splitters 3474 and 3476 and light signal 3478 .
  • HMWG with reflective metal coating and beam-splitters are capable of guiding light through sharp turns by allowing sharp-angled reflections which may be a potential advantage compared to dielectric waveguides when waveguide layer thickness is in consideration.
  • FIGS. 11A-11C illustrate an embodiment of this invention, where the laser-driven optical communication is among sections on a single chip.
  • FIG. 11A illustrates a cross-sectional view of a transmitter section 3550 and a receiver section 3560 .
  • the transmitter section 3550 may include modulator control circuit layer 3552 , modulator layer 3554 and waveguide layer 3556 stacked monolithically with layer transfer, external laser 3558 , fiber-optic coupling 3559 (connecting external laser 3559 to modulator layer 3554 ).
  • the receiver section 3560 may contain a readout circuit layer 3562 , photo-detector layer 3564 and waveguide layer 3566 stacked monolithically with layer transfer. Layer transfer procedures are similar to those described in FIG. 8A-8D herein.
  • FIG. 11B illustrates an exemplary top view of integrated circuit chip 3510 which may include integrated circuits 3512 , optical transmitters using external laser 3526 , fiber-optic couplings 3528 and 3529 , modulators 3514 and 3516 , optical receivers using photo-detectors 3518 and 3520 , and waveguide sections 3522 and 3524 enabling optical communication from one end of the chip to the other.
  • integrated circuit chip 3510 may include integrated circuits 3512 , optical transmitters using external laser 3526 , fiber-optic couplings 3528 and 3529 , modulators 3514 and 3516 , optical receivers using photo-detectors 3518 and 3520 , and waveguide sections 3522 and 3524 enabling optical communication from one end of the chip to the other.
  • FIG. 11C illustrates a cross-sectional view (not to scale) of an integrated circuit chip 3530 with substrate 3532 , control and readout circuit sections 3538 and 3540 , integrated circuit section 3534 , modulator and photo-detector layer 3536 , waveguide layer 3542 , external laser 3544 and fiber-optic coupling 3546 .
  • each layer may use the same material throughout the layer for ease of processing, but may differ among different layers.
  • the waveguide layer 3542 may use Silicon
  • the modulator and photo-detector layer 3536 may use III-V semiconductor material
  • the layer with control and readout circuit sections 3538 and 3540 and integrated circuits section 3534 may use Silicon
  • the substrate 3532 may use silicon.
  • the waveguide layer may use HMWGs with reflective metal coating and beam-splitters capable of guiding light through sharp turns by allowing sharp-angled reflections which may be a potential advantage compared to dielectric waveguides when waveguide layer thickness is in consideration.
  • Germanium or Silicon-Germanium could be utilized.
  • the advantage of Germanium is that it is sensitive to infra-red wavelengths as well.
  • Germanium also suffers from high dark current.
  • the photo-detector layer 3110 is denoted as a p-n junction layer; however, any type of photo-detector layer, such as a p-i-n layer or some other type of photo-detector can be used.
  • the thickness of the photo-detector layer may be typically less than approximately 5 ⁇ m, but may also be greater.
  • a double hetero-structure configuration or single quantum well configuration could be used instead of a multiple quantum well configuration such as the shown multiple quantum well layer 3154 .
  • various other material types and configurations such as GaAs, AlInGaP, and GaInP, could be used for constructing the red LEDs for this process.

Abstract

A multi-level semiconductor device, the device including: a first level including integrated circuits; a second level including a structure designed to conduct electromagnetic waves in a confined manner, where the second level is disposed above the first level, where the first level includes crystalline silicon, where the second level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.

Description

    CROSS-REFERENCE OF RELATED APPLICATION
  • This application is a continuation-in-part of U.S. patent application Ser. No. 17/121,726 filed on Dec. 14, 2020; which is a continuation-in-part of U.S. patent application Ser. No. 17/027,217 filed on Sep. 21, 2020, now U.S. Pat. No. 10,943,934 to be issued on Mar. 9, 2021; which is a continuation-in-part of U.S. patent application Ser. No. 16/860,027 filed on Apr. 27, 2020, now U.S. Pat. No. 10,833,108 issued on Nov. 11, 2020; which is a continuation-in-part of U.S. patent application Ser. No. 15/920,499 filed on Mar. 14, 2018, now U.S. Pat. No. 10,679,977 issued on Jun. 9, 2020; which is a continuation-in-part of U.S. patent application Ser. No. 14/936,657 filed on Nov. 9, 2015, now U.S. Pat. No. 9,941,319 issued on Apr. 10, 2018; which is a continuation-in-part of U.S. patent application Ser. No. 13/274,161 filed on Oct. 14, 2011, now U.S. Pat. No. 9,197,804 issued on Nov. 24, 2015; and this application is a continuation-in-part of U.S. patent application Ser. No. 12/904,103 filed on Oct. 13, 2010, now U.S. Pat. No. 8,163,581 issued on Apr. 24, 2012; the entire contents of all of the preceding are incorporated herein by reference.
  • BACKGROUND OF THE INVENTION (A) Field of the Invention
  • This invention describes applications of monolithic 3D integration to various disciplines, including but not limited to, for example, light-emitting diodes, displays, image-sensors and solar cells.
  • (B) Discussion of Background Art
  • Semiconductor and optoelectronic devices often require thin monocrystalline (or single-crystal) films deposited on a certain wafer. To enable this deposition, many techniques, generally referred to as layer transfer technologies, have been developed. These include:
      • Ion-cut, variations of which are referred to as smart-cut, nano-cleave and smart-cleave: Further information on ion-cut technology is given in “Frontiers of silicon-on-insulator,” J. Appl. Phys. 93, 4955-4978 (2003) by G. K. Celler and S. Cristolovean (“Celler”) and also in “Mechanically induced Si layer transfer in hydrogen-implanted Si wafers,” Appl. Phys. Lett., vol. 76, pp. 2370-2372, 2000 by K. Henttinen, I. Suni, and S. S. Lau (“Hentinnen”).
      • Porous silicon approaches such as ELTRAN: These are described in “Eltran, Novel SOI Wafer Technology”, JSAP International, Number 4, July 2001 by T. Yonehara and K. Sakaguchi (“Yonehara”).
      • Lift-off with a temporary substrate, also referred to as epitaxial lift-off: This is described in “Epitaxial lift-off and its applications”, 1993 Semicond. Sci. Technol. 8 1124 by P. Demeester, et al (“Demeester”).
      • Bonding a substrate with single crystal layers followed by Polishing, Time-controlled etch-back or Etch-stop layer controlled etch-back to thin the bonded substrate: These are described in U.S. Pat. No. 6,806,171 by A. Ulyashin and A. Usenko (“Ulyashin”) and “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, p. 363 (2005) by A. W. Topol, D. C. La Tulipe, L. Shi, S. M. Alam, D. J. Frank, S. E. Steen, J. Vichiconti, D. Posillico, M. Cobb, S. Medd, J. Patel, S. Goma, D. DiMilia, M. T. Robson, E. Duch, M. Farinelli, C. Wang, R. A. Conti, D. M. Canaperi, L. Deligianni, A. Kumar, K. T. Kwietniak, C. D'Emic, J. Ott, A. M. Young, K. W. Guarini, and M. Ieong (“Topol”).
      • Bonding a wafer with a Gallium Nitride film epitaxially grown on a sapphire substrate followed by laser lift-off for removing the transparent sapphire substrate: This method may be suitable for deposition of Gallium Nitride thin films, and is described in U.S. Pat. No. 6,071,795 by Nathan W. Cheung, Timothy D. Sands and William S. Wong (“Cheung”).
      • Rubber stamp layer transfer: This is described in “Solar cells sliced and diced”, 19 May 2010, Nature News.
        With novel applications of these methods and recognition of their individual strengths and weaknesses, one can significantly enhance today's light-emitting diode (LED), display, image-sensor and solar cell technologies.
    Background on LEDs
  • Light emitting diodes (LEDs) are used in many applications, including automotive lighting, incandescent bulb replacements, and as backlights for displays. Red LEDs are typically made on Gallium Arsenide (GaAs) substrates, and include quantum wells constructed of various materials such as AlInGaP and GaInP. Blue and green LEDs are typically made on Sapphire or Silicon Carbide (SiC) or bulk Gallium Nitride (GaN) substrates, and include quantum wells constructed of various materials such as GaN and InGaN.
  • A white LED for lighting and display applications can be constructed by either using a blue LED coated with phosphor (called phosphor-coated LED or pcLED) or by combining light from red, blue, and green LEDs (called RGB LED). RGB LEDs are typically constructed by placing red, blue, and green LEDs side-by-side. While RGB LEDs are more energy-efficient than pcLEDs, they are less efficient in mixing red, blue and green colors to form white light. They also are much more costly than pcLEDs. To tackle issues with RGB LEDs, several proposals have been made.
  • One RGB LED proposal from Hong Kong University is described in “Design of vertically stacked polychromatic light emitting diodes”, Optics Express, June 2009 by K. Hui, X. Wang, et al (“Hui”). It involves stacking red, blue, and green LEDs on top of each other after individually packaging each of these LEDs. While this solves light mixing problems, this RGB-LED is still much more costly than a pcLED solution since three LEDs for red, blue, and green color need to be packaged. A pcLED, on the other hand, requires just one LED to be packaged and coated with phosphor.
  • Another RGB LED proposal from Nichia Corporation is described in “Phosphor Free High-Luminous-Efficiency White Light-Emitting Diodes Composed of InGaN Multi-Quantum Well”, Japanese Journal of Applied Physics, 2002 by M. Yamada, Y. Narukawa, et al. (“Yamada”). It involves constructing and stacking red, blue and green LEDs of GaN-based materials on a sapphire or SiC substrate. However, red LEDs are not efficient when constructed with GaN-based material systems, and that hampers usefulness of this implementation. It is not possible to deposit defect-free AlInGaP/InGaP for red LEDs on the same substrate as GaN based blue and green LEDs, due to a mismatch in thermal expansion co-efficient between the various material systems.
  • Yet another RGB-LED proposal is described in “Cascade Single chip phosphor-free while light emitting diodes”, Applied Physics Letters, 2008 by X. Guo, G. Shen, et al. (“Guo”). It involves bonding GaAs based red LEDs with GaN based blue-green LEDs to produce white light. Unfortunately, this bonding process requires 600° C. temperatures, causing issues with mismatch of thermal expansion co-efficients and cracking. Another publication on this topic is “A trichromatic phosphor-free white light-emitting diode by using adhesive bonding scheme”, Proc. SPIE, Vol. 7635, 2009 by D. Chuai, X. Guo, et al. (“Chuai”). It involves bonding red LEDs with green-blue LED stacks. Bonding is done at the die level after dicing, which is more costly than a wafer-based approach.
  • U.S. patent application Ser. No. 12/130,824 describes various stacked RGB LED devices. It also briefly mentions a method for construction of a stacked LED where all layers of the stacked LED are transferred using lift-off with a temporary carrier and Indium Tin Oxide (ITO) to semiconductor bonding. This method has several issues for constructing a RGB LED stack. First, it is difficult to manufacture a lift-off with a temporary carrier of red LEDs for producing a RGB LED stack, especially for substrates larger than 2 inch. This is because red LEDs are typically constructed on non-transparent GaAs substrates, and lift-off with a temporary carrier is done by using an epitaxial lift-off process. Here, the thin film to be transferred typically sits atop a “release-layer” (eg. AlAs), this release layer is removed by etch procedures after the thin film is attached to a temporary substrate. Scaling this process to 4 inch wafers and bigger is difficult. Second, it is very difficult to perform the bonding of ITO to semiconductor materials of a LED layer at reasonable temperatures, as described in the patent application Ser. No. 12/130,824.
  • It is therefore clear that a better method for constructing RGB LEDs will be helpful. Since RGB LEDs are significantly more efficient than pcLEDs, they can be used as replacements of today's phosphor-based LEDs for many applications, provided a cheap and effective method of constructing RGB LEDs can be invented.
  • Background on Image-Sensors:
  • Image sensors are used in applications such as cameras. Red, blue, and green components of the incident light are sensed and stored in digital format. CMOS image sensors typically contain a photodetector and sensing circuitry. Almost all image sensors today have both the photodetector and sensing circuitry on the same chip. Since the area consumed by the sensing circuits is high, the photodetector cannot see the entire incident light, and image capture is not as efficient.
  • To tackle this problem, several researchers have proposed building the photodetectors and the sensing circuitry on separate chips and stacking them on top of each other. A publication that describes this method is “Megapixel CMOS image sensor fabricated in three-dimensional integrated circuit technology”, Intl. Solid State Circuits Conference 2005 by Suntharalingam, V., Berger, R., et al. (“Suntharalingam”). These proposals use through-silicon via (TSV) technology where alignment is done in conjunction with bonding. However, pixel size is reaching the 1 μm range, and successfully processing TSVs in the 1 μm range or below is very difficult. This is due to alignment issues while bonding. For example, the International Technology Roadmap for Semiconductors (ITRS) suggests that the 2-4 um TSV pitch will be the industry standard until 2012. A 2-4 μm pitch TSV will be too big for a sub-1 μm pixel. Therefore, novel techniques of stacking photodetectors and sensing circuitry are required.
  • A possible solution to this problem is given in “Setting up 3D Sequential Integration for Back-Illuminated CMOS Image Sensors with Highly Miniaturized Pixels with Low Temperature Fully-depleted SOI Transistors,” IEDM, p. 1-4 (2008) by P. Coudrain et al. (“Coudrain”). In the publication, transistors are monolithically integrated on top of photodetectors. Unfortunately, transistor process temperatures reach 600° C. or more. This is not ideal for transistors (that require a higher thermal budget) and photodetectors (that may prefer a lower thermal budget).
  • Background on Displays:
  • Liquid Crystal Displays (LCDs) can be classified into two types based on manufacturing technology utilized: (1) Large-size displays that are made of amorphous/polycrystalline silicon thin-film-transistors (TFTs), and (2) Microdisplays that utilize single-crystal silicon transistors. Microdisplays are typically used where very high resolution is needed, such as camera/camcorder view-finders, projectors and wearable computers.
  • Microdisplays are made in semiconductor fabs with 200 mm or 300 mm wafers. They are typically constructed with LCOS (Liquid-Crystal-on-Silicon) Technology and are reflective in nature. An exception to this trend of reflective microdisplays is technology from Kopin Corporation (U.S. Pat. No. 5,317,236, filed December 1991). This company utilizes transmittive displays with a lift-off layer transfer scheme. Transmittive displays may be generally preferred for various applications.
  • While lift-off layer transfer schemes are viable for transmittive displays, they are frequently not used for semiconductor manufacturing due to yield issues. Therefore, other layer transfer schemes will be helpful. However, it is not easy to utilize other layer transfer schemes for making transistors in microdisplays. For example, application of “smart-cut” layer transfer to attach monocrystalline silicon transistors to glass is described in “Integration of Single Crystal Si TFTs and Circuits on a Large Glass Substrate”, IEDM 2009 by Y. Takafuji, Y. Fukushima, K. Tomiyasu, et al. (“Takafuji”). Unfortunately, hydrogen is implanted through the gate oxide of transferred transistors in the process, and this degrades performance. Process temperatures are as high as 600° C. in this paper, and this requires costly glass substrates. Several challenges therefore need to be overcome for efficient layer transfer, and require innovation.
  • Background on Solar Cells:
  • Solar cells can be constructed of several materials such as, for example, silicon and compound semiconductors. The highest efficiency solar cells are typically multi junction solar cells that are constructed of compound semiconductor materials. These multi junction solar cells are typically constructed on a germanium substrate, and semiconductors with various band-gaps are epitaxially grown atop this substrate to capture different portions of the solar spectrum.
  • There are a few issues with standard multi junction solar cells. Since multiple junctions are grown epitaxially above a single substrate (such as Germanium) at high temperature, materials used for different junctions are restricted to those that have lattice constants and thermal expansion co-efficients close to those of the substrate. Therefore, the choice of materials used to build junctions for multi junction solar cells is limited. As a result, most multi junction solar cells commercially available today cannot capture the full solar spectrum. Efficiency of the solar cell can be improved if a large band of the solar spectrum is captured. Furthermore, multi junction solar cells today suffer from high cost of the substrate above which multiple junctions are epitaxially grown. Methods to build multi junction solar cells that tackle both these issues will be helpful.
  • A method of making multi junction solar cells by mechanically bonding two solar cells, one with a Germanium junction and another with a compound semiconductor junction is described in “Towards highly efficient 4-terminal mechanical photovoltaic stacks”, III-Vs Review, Volume 19, Issue 7, September-October 2006 by Giovanni Flamand, Jef Poortmans (“Flamand”). In this work, the authors make the compound semiconductor junctions on a Germanium substrate epitaxially. They then etch away the entire Germanium substrate after bonding to the other substrate with the Germanium junction. The process uses two Germanium substrates, and is therefore expensive.
  • Techniques to create multi junction solar cells with layer transfer have been described in “Wafer bonding and layer transfer processes for 4-junction high efficiency solar cells,” Photovoltaic Specialists Conference, 2002. Conference Record of the Twenty Ninth IEEE, vol., no., pp. 1039-1042, 19-24 May 2002 by Zahler, J. M.; Fontcuberta i Morral, A.; Chang-Geun Ahn; Atwater, H. A.; Wanlass, M. W.; Chu, C. and Iles, P. A. An anneal is used for ion-cut purposes, and this anneal is typically done at temperatures higher than 350-400° C. (if high bond strength is desired). When that happens, cracking and defects can be produced due to mismatch of co-efficients of thermal expansion between various layers in the stack. Furthermore, semiconductor layers are bonded together, and the quality of this bond not as good as oxide-to-oxide bonding, especially for lower process temperatures.
  • Background on CCD Sensors:
  • Image sensors based on Charge-Coupled Device (CCD) technology has been around for several decades. The CCD technology relies on a collect and shift scheme, wherein charges are collected in individual cells according to the luminosity of the light falling on each of them, then the charges are sequentially shifted towards one edge of the sensor where readout circuits read the sequence of charges one at a time.
  • The advantage of CCD technology is it has better light sensitivity since almost the entire CCD cell area is dedicated to light collecting, and the control and readout circuits are all on one edge not blocking the light. On the other hand, in a CMOS sensor, the photodiodes in each cell have to share space with the control and readout circuits adjacent to them, and so their size and light sensitivity are therefore limited.
  • The main issue with CCD technology is this sequential shifting of image information from cell to cell is slow and limits the speed and cell density of CCD image sensors. A potential solution is to put the readout circuits directly under each CCD cell, so that the information is read in parallel rather than in time sequence, thus removing the shifting delay entirely.
  • Background on High Dynamic Range (HDR) Sensors:
  • Ever since the advent of commercial digital photography in the 1990s, achieving High Dynamic Range (HDR) imaging has been a goal for most camera manufacturers in their image sensors. The idea is to use various techniques to compensate for the lower dynamic range of image sensors relative to the human eye. The concept of HDR however, is not new. Combining multiple exposures of a single image to achieve a wide range of luminosity was actually pioneered in the 1850s by Gustave Le Gray to render seascapes showing both the bright sky and the dark sea. This was necessary to produce realistic photographic images as the film used at that time had extremely low dynamic range compared to the human eye.
  • In digital cameras, the typical approach is to capture images using exposure bracketing, and then combining them into a single HDR image. The issue with this is that multiple exposures are performed over some period of time, and if there is movement of the camera or target during the time of the exposures, the final HDR image will reflect this by loss of sharpness. Moreover, multiple images may lead to large data in storage devices. Other methods use software algorithms to extract HDR information from a single exposure, but as they can only process information that is recordable by the sensor, there is a permanent loss of some details.
  • Over the past 40 years, there has been a dramatic increase in functionality and performance of Integrated Circuits (ICs). This has largely been due to the phenomenon of “scaling”; i.e., component sizes within ICs have been reduced (“scaled”) with every successive generation of technology. There are two main classes of components in Complementary Metal Oxide Semiconductor (CMOS) ICs, namely transistors and wires. With “scaling”, transistor performance and density typically improve and this has contributed to the previously-mentioned increases in IC performance and functionality. However, wires (interconnects) that connect together transistors degrade in performance with “scaling”. The situation today is that wires dominate the performance, functionality and power consumption of ICs.
  • 3D stacking of semiconductor devices or chips is one avenue to tackle the wire issues. By arranging transistors in 3 dimensions instead of 2 dimensions (as was the case in the 1990s), the transistors in ICs can be placed closer to each other. This reduces wire lengths and keeps wiring delay low.
  • There are many techniques to construct 3D stacked integrated circuits or chips including:
  • Through-silicon via (TSV) technology: Multiple layers of transistors (with or without wiring levels) can be constructed separately. Following this, they can be bonded to each other and connected to each other with through-silicon vias (TSVs).
  • Monolithic 3D technology: With this approach, multiple layers of transistors and wires can be monolithically constructed. Some monolithic 3D and 3DIC approaches are described in U.S. Pat. Nos. 8,273,610, 8,298,875, 8,362,482, 8,378,715, 8,379,458, 8,450,804, 8,557,632, 8,574,929, 8,581,349, 8,642,416, 8,669,778, 8,674,470, 8,687,399, 8,742,476, 8,803,206, 8,836,073, 8,902,663, 8,994,404, 9,023,688, 9,029,173, 9,030,858, 9,117,749, 9,142,553, 9,219,005, 9,385,058, 9,406,670, 9,460,978, 9,509,313, 9,640,531, 9,691,760, 9,711,407, 9,721,927, 9,799,761, 9,871,034, 9,953,870, 9,953,994, 10,014,292, 10,014,318, 10,515,981, 10,892,016; and pending U.S. patent application Publications and application Ser. Nos. 14/642,724, 15/150,395, 15/173,686, 16/337,665, 16/558,304, 16/649,660, 16/836,659, 17/151,867, 62/651,722; 62/681,249, 62/713,345, 62/770,751, 62/952,222, 62/824,288, 63/075,067, 63/091,307, 63/115,000, 2020/0013791, 16/558,304; and PCT Applications (and Publications): PCT/US2010/052093, PCT/US2011/042071 (WO2012/015550), PCT/US2016/52726 (WO2017053329), PCT/US2017/052359 (WO2018/071143), PCT/US2018/016759 (WO2018144957), and PCT/US2018/52332 (WO 2019/060798). The entire contents of the foregoing patents, publications, and applications are incorporated herein by reference.
  • Electro-Optics: There is also work done for integrated monolithic 3D including layers of different crystals, such as U.S. Pat. Nos. 8,283,215, 8,163,581, 8,753,913, 8,823,122, 9,197,804, 9,419,031, 9,941,319, 10,679,977 and 10,943,934. The entire contents of the foregoing patents, publications, and applications are incorporated herein by reference.
  • In addition, the entire contents of U.S. Pat. Nos. 9,000,557, 8,753,913, 8,823,122, 9,419,031, 9,197,804, 9,941,319, 10,679,977, 10,833,108, and U.S. Patent Application Publication 2020/0194416 and 2021/0005762, and U.S. patent application Ser. Nos. 17/113,045, and 17/121,726; all of the forgoing are incorporated herein by reference
  • SUMMARY
  • Techniques to utilize layer transfer schemes such as ion-cut to form novel light emitting diodes (LEDs), CMOS image sensors, displays, microdisplays and solar cells are discussed.
  • In one aspect, a multi-level semiconductor device, the device including: a first level including integrated circuits; a second level including an optical waveguide, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • In another aspect, a multi-level semiconductor device, the device including: a first level including an optical waveguide; a second level including integrated circuits, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • In another aspect, a multi-level semiconductor device, the device including: a first level including integrated circuits; a second level including a plurality of optical modulators, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • In another aspect, a multi-level semiconductor device, the device including: a first level including integrated circuits; a second level including an electromagnetic waveguide, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • In another aspect, a multi-level semiconductor device, the device including: a first level including an electromagnetic waveguide; a second level including integrated circuits, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • In another aspect, a multi-level semiconductor device, the device including: a first level including integrated circuits; a second level including a plurality of electromagnetic modulators, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • In another aspect, a multi-level semiconductor device, the device including: a first level including integrated circuits; a second level including a structure designed to conduct electromagnetic waves in a confined manner, where the second level is disposed above the first level, where the first level includes crystalline silicon, where the second level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • In another aspect, a multi-level semiconductor device, the device including: a first level including a structure designed to conduct electromagnetic waves in a confined manner; a second level including integrated circuits, where the second level is disposed above the first level, where the first level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the oxide layer, and where the bonded includes oxide to oxide bonds.
  • In another aspect, a multi-level semiconductor device, the device including: a first level including integrated circuits; a second level including a plurality of electromagnetic modulators, where the second level is disposed above the first level, where the first level includes crystalline silicon, where the second level includes crystalline silicon; and an oxide layer disposed between the first level and the second level, where the second level is bonded to the first level.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Various embodiments of the invention will be understood and appreciated more fully from the following detailed description, taken in conjunction with the drawings in which:
  • FIGS. 1A-1G are exemplary drawn illustrations of a display constructed using sub-400° C. processed single crystal silicon recessed channel transistors on a glass substrate;
  • FIGS. 2A-2I are exemplary drawn illustrations of a display constructed using sub-400° C. processed single crystal silicon replacement gate transistors on a glass substrate;
  • FIGS. 3A-3F are exemplary drawn illustrations of a display constructed using sub-400° C. processed single crystal junction-less transistors on a glass substrate;
  • FIGS. 4A-4D are exemplary drawn illustrations of a display constructed using sub-400° C. processed amorphous silicon or polysilicon junctionless transistors on a glass substrate;
  • FIGS. 5A-5C are exemplary drawn illustrations of a microdisplay constructed using stacked RGB LEDs and control circuits are connected to each pixel with solder bumps;
  • FIGS. 6A-6D are exemplary drawn illustrations of a microdisplay constructed using stacked RGB LEDs and control circuits are monolithically stacked above the LED. FIGS. 31 A-H illustrate an embodiment of this invention, where a LED-driven chip-to-chip optical interconnect is constructed by monolithically stacking using layer transfer techniques;
  • FIGS. 7A-7H illustrate an embodiment of this invention, where a LED-driven chip-to-chip optical interconnect is constructed by monolithically stacking using layer transfer techniques;
  • FIGS. 8A-8D illustrate an embodiment of this invention, where a laser-driven chip-to-chip optical interconnect is constructed by monolithically stacking using layer transfer techniques;
  • FIGS. 9A-9C illustrate an embodiment of this invention, where a LED-driven on-chip optical interconnect is constructed by monolithically stacking using layer transfer techniques;
  • FIG. 10 illustrates a typical hollow-metal waveguide (HMWG) structure which enables on-chip communication via waveguides stacked on top of the active layer of the chip (prior art); and
  • FIGS. 11A-11C illustrate an embodiment of this invention, where a laser-driven on-chip optical interconnect is constructed by monolithically stacking using layer transfer techniques.
  • DETAILED DESCRIPTION
  • Embodiments of the present invention are now described with reference to FIGS. 1-11, it being appreciated that the figures illustrate the subject matter not to scale or to measure.
  • A smart layer transfer may be defined as one or more of the following processes:
      • Ion-cut, variations of which are referred to as smart-cut, nano-cleave and smart-cleave: Further information on ion-cut technology is given in “Frontiers of silicon-on-insulator,” J. Appl. Phys. 93, 4955-4978 (2003) by G. K. Celler and S. Cristolovean (“Celler”) and also in “Mechanically induced Si layer transfer in hydrogen-implanted Si wafers,” Appl. Phys. Lett., vol. 76, pp. 2370-2372, 2000 by K. Henttinen, I. Suni, and S. S. Lau (“Hentinnen”).
      • Porous silicon approaches such as ELTRAN: These are described in “Eltran, Novel SOI Wafer Technology,” JSAP International, Number 4, July 2001 by T. Yonehara and K. Sakaguchi (“Yonehara”).
      • Bonding a substrate with single crystal layers followed by Polishing, Time-controlled etch-back or Etch-stop layer controlled etch-back to thin the bonded substrate: These are described in U.S. Pat. No. 6,806,171 by A. Ulyashin and A. Usenko (“Ulyashin”) and “Enabling SOI-Based Assembly Technology for Three-Dimensional (3D) Integrated Circuits (ICs),” IEDM Tech. Digest, p. 363 (2005) by A. W. Topol, D. C. La Tulipe, L. Shi, S. M. Alam, D. J. Frank, S. E. Steen, J. Vichiconti, D. Posillico, M. Cobb, S. Medd, J. Patel, S. Goma, D. DiMilia, M. T. Robson, E. Duch, M. Farinelli, C. Wang, R. A. Conti, D. M. Canaperi, L. Deligianni, A. Kumar, K. T. Kwietniak, C. D'Emic, J. Ott, A. M. Young, K. W. Guarini, and M. Ieong (“Topol”).
      • Bonding a wafer with a Gallium Nitride film epitaxially grown on a sapphire substrate followed by laser lift-off for removing the transparent sapphire substrate: This method may be suitable for deposition of Gallium Nitride thin films, and is described in U.S. Pat. No. 6,071,795 by Nathan W. Cheung, Timothy D. Sands and William S. Wong (“Cheung”).
      • Rubber stamp layer transfer: This is described in “Solar cells sliced and diced,” 19 May 2010, Nature News.
  • This process of constructing RGB LEDs could include several steps that occur in a sequence from Step (A) to Step (S). Many of them share common characteristics, features, modes of operation, etc. When the same reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • NuDisplay Technology:
  • In displays and microdisplays (small size displays where optical magnification is needed), transistors need to be formed on glass or plastic substrates. These substrates typically cannot withstand high process temperatures (e.g., >400° C.). Layer transfer can be advantageously used for constructing displays and microdisplays as well, since it may enable transistors to be processed on these substrates at <400° C. Various embodiments of transistors constructed on glass substrates are described in this patent application. These transistors constructed on glass substrates could form part of liquid crystal displays (LCDs) or other types of displays. It will be clear to those skilled in the art based on the present disclosure that these techniques can also be applied to plastic substrates.
  • FIGS. 1A-1G describe a process for forming recessed channel single crystal (or monocrystalline) transistors on glass substrates at a temperature approximately less than 400° C. for display and microdisplay applications. This process could include several steps that occur in a sequence from Step (A) to Step (G). Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • Step (A) is illustrated in FIG. 1A. A silicon wafer 2202 is taken and a n+ region 2204 is formed by ion implantation. Following this formation, a layer of p− Silicon 2206 is epitaxially grown. An oxide layer 2210 is then deposited. Following this deposition, an anneal is performed to activate dopants in various layers. It will be clear to one skilled in the art based on the present disclosure that various other procedures can be used to get the structure shown in FIG. 22A.
    Step (B) is illustrated in FIG. 1B. Hydrogen is implanted into the structure shown in FIG. 22A at a certain depth indicated by 2212. Alternatively, Helium can be used for this purpose. Various elements in FIG. 1B, such as 2202, 2204, 2006, and 2210 have been described previously.
    Step (C) is illustrated in FIG. 1C. A glass substrate 2214 is taken and a silicon oxide layer 2216 is deposited atop it at compatible temperatures.
    Step (D) is illustrated in FIG. 1D. Various elements in FIG. 1D, such as 2202, 2204, 2206, 2210, 2214, and 2216 have been described previously. The structure shown in FIG. 1B is flipped and bonded to the structure shown in FIG. 1C using oxide-to-oxide bonding of layers 2210 and 2216.
    Step (E) is illustrated in FIG. 1E. The structure shown in FIG. 1D is cleaved at the hydrogen plane 2212 of FIG. 1D. A CMP is then done to planarize the surface and yield the n+Si layer 2218. Various other elements in FIG. 1E, such as 2214, 2216, 2210 and 2206 have been described previously.
    Step (F) is illustrated in FIG. 1F. Various elements in FIG. 1F such as 2214, 2216, 2210, and 2206 have been described previously. An oxide layer 2220 is formed using a shallow trench isolation (STI) process. This helps isolate transistors.
    Step (G) is illustrated in FIG. 1G. Various elements in FIG. 1G such as 2210, 2216, 2220 and 2214 have been described previously. Using etch techniques, part of the n+ Silicon layer from FIG. 1F and optionally p− Silicon layer from FIG. 1F are etched. After this a thin gate dielectric is deposited, after which a gate dielectrode is deposited. The gate dielectric and gate electrode are then polished away to form the gate dielectric layer 2224 and gate electrode layer 2222. The n+ Silicon layers 2228 and 2226 form the source and drain regions of the transistors while the p− Silicon region after this step is indicated by 2230. Contacts and other parts of the display/microdisplay are then fabricated. It can be observed that during the whole process, the glass substrate substantially always experiences temperatures less than 400° C., or even lower. This is because the crystalline silicon can be transferred atop the glass substrate at a temperature less than 400° C., and dopants are pre-activated before layer transfer to glass.
  • FIG. 2A-2I describes a process of forming both nMOS and pMOS transistors with single-crystal silicon on a glass substrate at temperatures less than 400° C., and even lower. Ion-cut technology (which is a smart layer transfer technology) is used. While the process flow described is shown for both nMOS and pMOS on a glass substrate, it could also be used for just constructing nMOS devices or for just constructing pMOS devices. This process could include several steps that occur in a sequence from Step (A) to Step (H). Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • Step (A) is illustrated in FIG. 2A. A p− Silicon wafer 2302 is taken and a n well 2304 is formed on the p− Silicon wafer 2302. Various additional implants to optimize dopant profiles can also be done. Following this formation, an isolation process is conducted to form isolation regions 2306. A dummy gate dielectric 2310 made of silicon dioxide and a dummy gate electrode 2308 made of polysilicon are constructed.
    Step (B) is illustrated in FIG. 2B. Various elements of FIG. 2B, such as 2302, 2304, 2306, 2308 and 2310 have been described previously. Implants are done to form source- drain regions 2312 and 2314 for both nMOS and pMOS transistors. A rapid thermal anneal (RTA) is then done to activate dopants. Alternatively, a spike anneal or a laser anneal could be done.
    Step (C) is illustrated in FIG. 2C. Various elements of FIG. 2C such as 2302, 2304, 2306, 2308, 2310, 2312 and 2314 have been described previously. An oxide layer 2316 is deposited and planarized with CMP.
    Step (D) is illustrated in FIG. 2D. Various elements of FIG. 2D such as 2302, 2304, 2306, 2308, 2310, 2312, 2314, and 2316 have been described previously. Hydrogen is implanted into the wafer at a certain depth indicated by 2318. Alternatively, helium can be implanted.
    Step (E) is illustrated in FIG. 2E. Various elements of FIG. 2E such as 2302, 2304, 2306, 2308, 2310, 2312, 2314, 2316, and 2318 have been described previously. Using a temporary bonding adhesive, the oxide layer is bonded to a temporary carrier wafer 2320. An example of a temporary bonding adhesive is a polyimide that can be removed by shining a laser. An example of a temporary carrier wafer is glass.
    Step (F) is illustrated in FIG. 2F. The structure shown in FIG. 2E is cleaved at the hydrogen plane using a mechanical force. Alternatively, an anneal could be used. Following this cleave, a CMP is done to planarize the surface. An oxide layer is then deposited. FIG. 2F shows the structure after all these steps are done, with the deposited oxide layer indicated as 2328. After the cleave, the p− Silicon region is indicated as 2322, the n− Silicon region is indicated as 2324, and the oxide isolation regions are indicated as 2326. Various other elements in FIG. 23F such as 2308, 2320, 2312, 2314, 2310, and 2316 have been described previously.
    Step (G) is illustrated in FIG. 2G. The structure shown in FIG. 2F is bonded to a glass substrate 2332 with an oxide layer 2330 using oxide-to-oxide bonding. Various elements in FIG. 2G such as 2308, 2326, 2322, 2324, 2312, 2314, and 2310 have been described previously. Oxide regions 2328 and 2330 are bonded together. The temporary carrier wafer from FIG. 2F is removed by shining a laser through it. A CMP process is then conducted to reach the surface of the gate electrode 2308. Thus, the structure may be illustrated by FIG. 2H. The oxide layer remaining is denoted as 2334.
    Step (H) is illustrated in FIG. 2I. Various elements in FIG. 2I such as 2312, 2314, 2328, 2330, 2332, 2334, 2326, 2324, and 2322 have been described previously. The dummy gate dielectric and dummy gate electrode are etched away in this step and a replacement gate dielectric 2336 and a replacement gate electrode 2338 are deposited and planarized with CMP. Examples of replacement gate dielectrics could be hafnium oxide or aluminum oxide while examples of replacement gate electrodes could be TiN or TaN or some other material. Contact formation, metallization and other steps for building a display/microdisplay are then conducted. It can be observed that after attachment to the glass substrate, no process step requires a processing temperature above 400° C.
  • FIGS. 3A-3F describe an embodiment of this invention, where single-crystal Silicon junction-less transistors are constructed above glass substrates at a temperature approximately less than 400° C. An ion-cut process (which is a smart layer transfer process) is utilized for this purpose. This process could include several steps that occur in a sequence from Step (A) to Step (F). Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • Step (A) is illustrated in FIG. 3A. A glass substrate 2402 is taken and a layer of silicon oxide 2404 is deposited on the glass substrate 2402.
    Step (B) is illustrated in FIG. 3B. A p− Silicon wafer 2406 is implanted with a n+ Silicon layer 2408 above which an oxide layer 2410 is deposited. A RTA or spike anneal or laser anneal is conducted to activate dopants. Following this, hydrogen is implanted into the wafer at a certain depth indicated by 2412. Alternatively, helium can be implanted.
    Step (C) is illustrated in FIG. 3C. The structure shown in FIG. 3B is flipped and bonded onto the structure shown in FIG. 3A using oxide-to-oxide bonding. This bonded structure is cleaved at its hydrogen plane, after which a CMP is done. FIG. 3C shows the structure after all these processes are completed. 2414 indicates the n+Si layer, while 2402, 2404, and 2410 have been described previously.
    Step (D) is illustrated in FIG. 3D. A lithography and etch process is conducted to pattern the n+ Silicon layer 2414 in FIG. 3C to form n+ Silicon regions 2418 in FIG. 3D. The glass substrate is indicated as 2402 and the bonded oxide layers 2404 and 2410 are shown as well.
    Step (E) is illustrated in FIG. 3E. A gate dielectric 2420 and gate electrode 2422 are deposited, following which a CMP is done. 2402 is as described previously. The n+Si regions 2418 are not visible in this figure, since they are covered by the gate electrode 2422. Oxide regions 2404 and 2410 have been described previously.
    Step (F) is illustrated in FIG. 3F. The gate dielectric 2420 and gate electrode 2422 from FIG. 3E are patterned and etched to form the structure shown in FIG. 3F. The gate dielectric after the etch process is indicated as 2424 while the gate electrode after the etch process is indicated as 2426. n+ Si regions are indicated as 2418 while the glass substrate is indicated as 2402. Oxide regions 2404 and 2410 have been described previously. It can be observed that a three-side gated junction-less transistor is formed at the end of the process described with respect of FIGS. 3A-3F. Contacts, metallization and other steps for constructing a display/microdisplay are performed after the steps indicated by FIGS. 3A-3F. It can be seen that the glass substrate is not exposed to temperatures greater than approximately 400° C. during any step of the above process for forming the junction-less transistor.
  • FIGS. 4A-D describe an embodiment of this invention, where amorphous Si or polysilicon junction-less transistors are constructed above glass substrates at a temperature less than 400° C. This process could include several steps that occur in a sequence from Step (A) to Step (D). Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • Step (A) is illustrated in FIG. 4A. A glass substrate 2502 is taken and a layer of silicon oxide 2504 is deposited on the glass substrate 2502. Following this deposition, a layer of n+Si 2506 is deposited using low-pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD). This layer of n+Si could optionally be hydrogenated.
    Step (B) is illustrated in FIG. 4B. A lithography and etch process is conducted to pattern the n+ Silicon layer 2506 in FIG. 4A to form n+ Silicon regions 2518 in FIG. 4B. 2502 and 2504 have been described previously.
    Step (C) is illustrated in FIG. 4C. A gate dielectric 2520 and gate electrode 2522 are deposited, following which a CMP is optionally done. 2502 is as described previously. The n+Si regions 2518 are not visible in this figure, since they are covered by the gate electrode 2522.
    Step (D) is illustrated in FIG. 4D. The gate dielectric 2520 and gate electrode 2522 from FIG. 4C are patterned and etched to form the structure shown in FIG. 4D. The gate dielectric after the etch process is indicated as 2524 while the gate electrode after the etch process is indicated as 2526. n+Si regions are indicated as 2518 while the glass substrate is indicated as 2502. It can be observed that a three-side gated junction-less transistor is formed at the end of the process described with respect of FIGS. 4A-4D. Contacts, metallization and other steps for constructing a display/microdisplay are performed after the steps indicated by FIGS. 4A-4D. It can be seen that the glass substrate is not exposed to temperatures greater than 400° C. during any step of the above process for forming the junction-less transistor.
  • FIGS. 5A-5C illustrate an embodiment of this invention, where a microdisplay is constructed using stacked RGB LEDs and control circuits are connected to each pixel with solder bumps. This process could include several steps that occur in a sequence from Step (A) to Step (C). Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • Step (A) is illustrated in FIG. 5A. Using procedures similar to FIG. 4A-4S of parent U.S. patent application Ser. No. 13/274,161 issued as U.S. Pat. No. 9,197,804, incorporated herein by reference, the structure shown in FIG. 5A is constructed. Various elements of FIG. 5A are as follows:
    • 2646—a glass substrate,
    • 2644—an oxide layer, could be a conductive oxide such as ITO,
    • 2634—an oxide layer, could be a conductive oxide such as ITO
    • 2633—a an optional reflector, could be a Distributed Bragg Reflector or some other type of reflector,
    • 2632—a P-type confinement layer that is used for a Blue LED (One example of a material for this region is GaN),
    • 2630—a buffer layer that is typically used for a Blue LED (One example of a material for this region is AlGaN),
    • 2628—a multiple quantum well used for a Blue LED (One example of materials for this region are InGaN/GaN),
    • 2627—a N-type confinement layer that is used for a Blue LED (One example of a material for this region is GaN).
    • 2648—an oxide layer, may be preferably a conductive metal oxide such as ITO,
    • 2622—an oxide layer, may be preferably a conductive metal oxide such as ITO,
    • 2621—an optional reflector (for example, a Distributed Bragg Reflector),
    • 2620—a P-type confinement layer that is used for a Green LED (One example of a material for this region is GaN),
    • 2618 —a buffer layer that is typically used for a Green LED (One example of a material for this region is AlGaN),
    • 2616—a multiple quantum well used for a Green LED (One example of materials for this region are InGaN/GaN),
    • 2615—a N-type confinement layer that is used for a Green LED (One example of a material for this region is GaN),
    • 2652—an oxide layer, may be preferably a conductive metal oxide such as ITO,
    • 2610—an oxide layer, may be preferably a conductive metal oxide such as ITO,
    • 2609—an optional reflector (for example, a Distributed Bragg Reflector),
    • 2608—a P-type confinement layer used for a Red LED (One example of a material for this region is AlInGaP),
    • 2606—a multiple quantum well used for a Red LED (One example of materials for this region are AlInGaP/GaInP),
    • 2604—a P-type confinement layer used for a Red LED (One example of a material for this region is AlInGaP),
    • 2656—an oxide layer, may be preferably a transparent conductive metal oxide such as ITO, and
    • 2658—a reflector (for example, aluminum or silver).
      Step (B) is illustrated in FIG. 5B. Via holes 2662 are etched to the substrate layer 2646 to isolate different pixels in the microdisplay/display. Also, via holes 2660 are etched to make contacts to various layers of the stack. These via holes may be preferably not filled. An alternative is to fill the via holes with a compatible oxide and planarize the surface with CMP. Various elements in FIG. 5B such as 2646, 2644, 2634, 2633, 2632, 2630, 2628, 2627, 2648, 2622, 2621, 2620, 2618, 2616, 2615, 2652, 2610, 2609, 2608, 2606, 2604, 2656 and 2658 have been described previously.
      Step (C) is illustrated in FIG. 5C. Using procedures similar to those described in respect to FIGS. 4A-4S of parent U.S. patent application Ser. No. 13/274,161 issued as U.S. Pat. No. 9,197,804, incorporated herein by reference, the via holes 2660 have contacts 2664 (for example, with Aluminum) made to them. Also, using procedures similar to those described in FIGS. 4A-4S, nickel layers 2666, solder layers 2668, and a silicon sub-mount 2670 with circuits integrated on them are constructed. The silicon sub-mount 2670 has transistors to control each pixel in the microdisplay/display. Various elements in FIG. 5C such as 2646, 2644, 2634, 2633, 2632, 2630, 2628, 2627, 2648, 2622, 2621, 2620, 2618, 2616, 2615, 2652, 2610, 2609, 2608, 2606, 2604, 2656, 2660, 2662, and 2658 have been described previously.
  • It can be seen that the structure shown in FIG. 5C can have each pixel emit a certain color of light by tuning the voltage given to the red, green and blue layers within each pixel. This microdisplay may be constructed using the ion-cut technology, a smart layer transfer technique.
  • FIGS. 6A-6D illustrate an embodiment of this invention, where a microdisplay is constructed using stacked RGB LEDs and control circuits are integrated with the RGB LED stack. This process could include several steps that occur in a sequence from Step (A) to Step (D). Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • Step (A) is illustrated in FIG. 6A. Using procedures similar to those illustrated in FIGS. 4A-4S of parent U.S. patent application Ser. No. 13/274,161 issued as U.S. Pat. No. 9,197,804, incorporated herein by reference, the structure shown in FIG. 6A is constructed. Various elements of FIG. 6A are as follows:
    • 2746—a glass substrate,
    • 2744—an oxide layer, could be a conductive oxide such as ITO,
    • 2734—an oxide layer, could be a conductive oxide such as ITO,
    • 2733—a an optional reflector (e.g., a Distributed Bragg Reflector or some other type of reflector),
    • 2732—a P-type confinement layer that is used for a Blue LED (One example of a material for this region is GaN),
    • 2730—a buffer layer that is typically used for a Blue LED (One example of a material for this region is AlGaN),
    • 2728—a multiple quantum well used for a Blue LED (One example of materials for this region are InGaN/GaN),
    • 2727—a N-type confinement layer that is used for a Blue LED (One example of a material for this region is GaN),
    • 2748—an oxide layer, may be preferably a conductive metal oxide such as ITO,
    • 2722—an oxide layer, may be preferably a conductive metal oxide such as ITO,
    • 2721—an optional reflector (e.g., a Distributed Bragg Reflector),
    • 2720—a P-type confinement layer that is used for a Green LED (One example of a material for this region is GaN),
    • 2718—a buffer layer that is typically used for a Green LED (One example of a material for this region is AlGaN),
    • 2716—a multiple quantum well used for a Green LED (One example of materials for this region are InGaN/GaN),
    • 2715—a N-type confinement layer that is used for a Green LED (One example of a material for this region is GaN),
    • 2752—an oxide layer, may be preferably a conductive metal oxide such as ITO,
    • 2710—an oxide layer, may be preferably a conductive metal oxide such as ITO,
    • 2709—an optional reflector (e.g., a Distributed Bragg Reflector),
    • 2708—a P-type confinement layer used for a Red LED (One example of a material for this region is AlInGaP),
    • 2706—a multiple quantum well used for a Red LED (One example of materials for this region are AlInGaP/GaInP),
    • 2704—a P-type confinement layer used for a Red LED (One example of a material for this region is AlInGaP),
    • 2756—an oxide layer, may be preferably a transparent conductive metal oxide such as ITO,
    • 2758—a reflector (e.g., aluminum or silver).
      Step (B) is illustrated in FIG. 6B. Via holes 2762 are etched to the substrate layer 2746 to isolate different pixels in the microdisplay/display. Also, via holes 2760 are etched to make contacts to various layers of the stack. These via holes may be preferably filled with a compatible oxide and the surface can be planarized with CMP. Various elements of FIG. 6B such as 2746, 2744, 2734, 2733, 2732, 2730, 2728, 2727, 2748, 2722, 2721, 2720, 2718, 2716, 2715, 2752, 2710, 2709, 2708, 2706, 2704, 2756 and 2758 have been described previously.
      Step (C) is illustrated in FIG. 6C. Metal 2764 (for example) is constructed within the via holes 2760 using procedures similar to those described in respect to FIGS. 4A-4S of parent U.S. patent application Ser. No. 13/274,161 issued as U.S. Pat. No. 9,197,804, incorporated herein by reference. Following this construction, an oxide layer 2766 is deposited. Various elements of FIG. 6C such as 2746, 2744, 2734, 2733, 2732, 2730, 2728, 2727, 2748, 2722, 2721, 2720, 2718, 2716, 2715, 2752, 2710, 2709, 2708, 2706, 2704, 2756, 2760, 2762 and 2758 have been described previously.
      Step (D) is illustrated in FIG. 6D. Using procedures described in co-pending U.S. patent application Ser. No. 12/901,890, issued as U.S. Pat. No. 8,026,521, the entire contents of which is incorporated herein by reference, a single crystal silicon transistor layer 2768 can be monolithically integrated using ion-cut technology atop the structure shown in FIG. 6C. This transistor layer 2768 is connected to various contacts of the stacked LED layers (not shown in the figure for simplicity). Following this connection, nickel layer 2770 is constructed and solder layer 2772 is constructed. The packaging process then is conducted where the structure shown in FIG. 6D is connected to a silicon sub-mount. It can be seen that the structure shown in FIG. 6D can have each pixel emit a certain color of light by tuning the voltage given to the red, green and blue layers within each pixel. This microdisplay is constructed using the ion-cut technology, a smart layer transfer technique.
  • The embodiments of this invention described in FIGS. 5-6 may enable novel implementations of “smart-lighting concepts” (also known as visible light communications) that are described in “Switching LEDs on and off to enlighten wireless communications”, EETimes, June 2010 by R. Colin Johnson. For these prior art smart lighting concepts, LED lights could be turned on and off faster than the eye can react, so signaling or communication of information with these LED lights is possible. An embodiment of this invention involves designing the displays/microdisplays described in FIGS. 5-6 to transmit information, by modulating wavelength of each pixel and frequency of switching each pixel on or off. One could thus transmit a high bandwidth through the visible light communication link compared to a LED, since each pixel could emit its own information stream, compared to just one information stream for a standard LED. The stacked RGB LED embodiment described in FIGS. 4A-4S of parent U.S. patent application Ser. No. 13/274,161 issued as U.S. Pat. No. 9,197,804, incorporated herein by reference, could also provide an improved smart-light than prior art since it allows wavelength tunability besides the ability to turn the LED on and off faster than the eye can react.
  • Optical Interconnects:
  • Optical interconnects in inter-chip communication have become a feasible replacement for electrical interconnects as the line capacitance of the latter has imposed increasingly difficult limitations due to scaling. As electrical component density increases, optical lines can carry more information between electrical components.
  • An optical interconnect system may consist of several components. The first is a transmission component that generates and modulates the light that is used to send the information. The second is a network of waveguides that guides the light to the receiving destination on the chip. Finally, there is the receiver network, which converts the light back to electrical signals so that the information can be processed by the electronic devices on the chip.
  • The transmission component is typically built out of lasers and modulators. Lasers are built typically using III-V semiconductors like GaAs, InP, and InGaAs which have superior optical mechanisms compared to Group IV semiconductors such as silicon or germanium. The drawback with these III-V materials is that their processing is not compatible with the Group IV materials used for the electronic components of the chip. In this case, it may be advantageous that the laser is placed off-chip, which additionally offers the advantage of insulating the laser operation from the temperature variations and power limits of the chip itself. Another option is to use a layer of LEDs in a monolithic 3D configuration as the light sources for the data transmission. The advantage of this option is that LEDs are cheaper than lasers and are easier to modulate directly. However, LEDs present some limitations as to the data transmission efficiency through the waveguides since, unlike the generated light from lasers, the generated light from LEDs are not coherent or collimated, and, hence, waveguide loss is significant.
  • Waveguides are passive optical components designed to confine light in one direction. Typically they are made out of Silicon, Silicon Dioxide, and Silicon Nitride, which are materials already being used for the electronic components in conventional chips, and thus are materially compatible and can be grown or deposited on top of these layers. So in Silicon-based chips, such dielectric waveguides are usually used, in which a material with high permittivity corresponding to a high index of refraction, is surrounded by a material with lower permittivity corresponding to a lower index of refraction. The structure then guides optical waves by total internal reflection. For example, Silicon may be used for the high permittivity material and Silicon dioxide for the low permittivity material. Another type of waveguides use photonic crystal structures, which again can be constructed using Silicon and Silicon dioxide. In most cases, masks and etching are used to construct the structures. One of the potential disadvantages of dielectric waveguides is they are not able to contain light where sharp turns are required because of the limits imposed on light refraction between two materials by the critical angle, and light leakage may result. So they may be suitable for chip-to-chip optical communications where most waveguides only need to be mostly straight and here the significant distance between the two chips may allow for gradual turns if needed.
  • Yet another type of waveguides is called hollow metal waveguides (HMWG), made of trenches in the material with walls coated with reflective metals which may include, for example, silver. In combination with beam-splitters, HMWG's allow light to be reflected around sharp corners, which may be a potential advantage as described in Mathai, S., et al., US Patent Application 2009/0244716A1. In intra-chip optical communications, where waveguide layer thickness may be limited, HMWG's may be used to enable the sharp turns required for the light signals.
  • The receiving component may include an array of photodetectors, typically made from Ge or SiGe. These photodetectors may have a p-n or p-i-n structure and may be biased to capture photons and subsequently convert them into electronic carriers.
  • Layer transfer technology may be utilized for constructing the layers for an optical interconnect system.
  • LED-Driven Chip-to-Chip Optical Interconnect:
  • The transmission component may consist of a layer of light-emitting diodes (LEDs) physically coupled with a layer of control circuits to manage the triggering of the LEDs so as to control the light being transmitted to enable data communication. The light may then be sent through a layer of waveguides which may distribute the light to their respective destinations on the chip, which may then be received by a layer of photo-detectors and converted to electrical signals by the readout circuits that can be handled by the electronic components of the chip.
  • FIGS. 7A-7H illustrate an embodiment of the invention, where the transmitter block: LED control circuit layer 3142, LED layer 3148; communication channel: waveguide layer 3136; and receiver block: photo-detector layer 3110, and readout circuit layer 3100 may be stacked monolithically with layer transfer.
  • The process of forming the optical communication system may include several steps that occur in a sequence from Step A to Step H. Many of these steps share common characteristics, features, modes of operation, etc. When identical reference numbers are used in different drawing figures, they are used to indicate analogous, similar or identical structures to enhance the understanding of the present invention by clarifying the relationships between the structures and embodiments presented in the various diagrams—particularly in relating analogous, similar or identical functionality to different physical structures.
  • Step (A): FIG. 7A illustrates the first step for constructing the photo-detector layer 3110 and readout circuit layer 3100, where the photo-detector layer 3110 may be formed atop the readout circuit layer 3100 using layer transfer. FIG. 7A illustrates a cross-sectional view of silicon wafer substrate with pre-processed read-out circuits 3102, above which an oxide layer 3104 may be deposited. Thus readout circuit layer 3100 is formed. FIG. 7A further illustrates the cross-sectional view of another Silicon wafer 3112 which may have a p+ Silicon layer 3114, a p Silicon layer 3116, a n Silicon layer 3118, a n+ Silicon layer 3120, and an oxide layer 3122. These layers may be formed using procedures similar to those described in FIG. 15A-15G of incorporated parent U.S. Pat. No. 9,197,804. An anneal may then be performed to activate dopants in various layers. Hydrogen may be implanted in the wafer at a certain depth depicted by dashed line 3190.
  • Step (B): FIG. 7B illustrates the photo-detector and readout circuit structure 3192 formed by an ion-cut layer transfer process. The photo-detector layer 3110 of p+pnn+ silicon consisting of the photo-detector diodes may be layer transferred atop the silicon wafer with readout circuit layer 3100 wherein oxide layer 3104 may be bonded to oxide layer 3122, and p+ silicon layer 3115 may be a result of the cleave and polish operations. Procedures for layer transfer and alignment for forming the structure in FIG. 31B are similar to procedures used for constructing the image sensor shown in FIGS. 15A-15G of incorporated parent U.S. Pat. No. 9,197,804.
  • Step (C) is illustrated in FIG. 7C. An oxide layer 3124 may be deposited on top of p+ silicon layer 3115. Connections may be made to the terminals of the photo-detector by lithographic, etch, and fill operations similar to those described in FIGS. 15A-15G of incorporated parent U.S. Pat. No. 9,197,804, and are indicated as p+ contact 3126 and n+ contact 3128. Various elements of FIG. 7C such as 3102, 3104, 3115, 3116, 3118, 3120, and 3122 have been described previously herein or in incorporated parent U.S. Pat. No. 9,197,804. Contacts 3130 and interconnects (not shown) for connecting terminals of the photo-detector, such as p+ contact 3124 and p+ contact 3128, to read-out circuits in silicon wafer substrate with pre-processed read-out circuits 3102 may be done. Thus silicon wafer containing the photo-detectors and read-out circuits 3131 may be formed. The functionality of the photo-detectors may be tested at this point.
  • As described previously, FIGS. 15A-15G of incorporated parent U.S. Pat. No. 9,197,804 illustrate a process whereby oxide vias constructed before layer transfer may be used to look through photo-detector layers to observe alignment marks on the read-out circuit wafer below it. However, an alternative embodiment of this invention may involve constructing oxide vias after layer transfer. Essentially, after layer transfer of structures without oxide vias, oxide vias whose diameters are larger than the maximum misalignment of the bonding/alignment scheme may be formed. This order of sequences may enable observation of alignment marks on the bottom read-out circuit wafer by looking through the photo-detector wafer.
  • Waveguides are structures designed to confine light in one direction. In Silicon-based chips, dielectric waveguides are usually used, in which a material with high permittivity corresponding to a high index of refraction, is surrounded by a material with lower permittivity corresponding to a lower index of refraction. The structure then guides optical waves by total internal reflection. For Silicon-based chips, convenient materials are Silicon for the high permittivity material and Silicon dioxide for the low permittivity material. Another type of waveguides use photonic crystal structures, which again can be constructed using Silicon and Silicon dioxide. In most cases, masks and etching are used to construct the structures. Yet another type of waveguides may be called hollow metal waveguides (HMWG), made of trenches in the material with walls coated with reflective metals which may include silver. In combination with beam-splitters, HMWG's allow light to be reflected around sharp corners, which may be a potential advantage.
  • Step (D) is illustrated in FIG. 7D. Silicon waveguides 3136 may be formed on the SOI wafer 3132 and BOX 3134 by electron beam lithography followed by electron cyclotron resonance plasma etching. The wafer may then be coated with Silicon Dioxide 3138 to form the over-cladding. It will be obvious to one skilled in the art that many configurations and material combinations are being currently used and/or possible in the formation of the waveguides. This invention is not limited to one particular configuration or set of materials. Hydrogen may be implanted in the wafer at a certain depth depicted by 3140. Thus, Silicon/Silicon Dioxide waveguide layer 3139 may be formed.
  • Step (E) is illustrated in FIG. 7E. The Silicon/Silicon Dioxide waveguide layer 3139 may then be ion-cut layer transferred atop the silicon wafer containing the photo-detectors and read-out circuits 3131. Procedures for layer transfer and alignment for forming the structure 3141 in FIG. 7E are similar to procedures used previously herein and/or in incorporated parent U.S. Pat. No. 9,197,804: Silicon/Silicon Dioxide waveguide layer 3139 may be flipped and bonded atop silicon wafer containing the photo-detectors and read-out circuits 3131 using oxide-oxide bonding and the Silicon substrate 3132 may then be cleaved and polished until the oxide layer 3134, now labeled 3135 after the cleave and polish process, is reached.
  • Step (F) is shown in FIG. 7F which is used for constructing the LED and control circuit layers, where the Red LED layer from Red LED wafer 3148 may be formed atop the electronic control circuit layer 3142 using ion-cut layer transfer. Silicon wafer with control circuits 3144 may be conventionally constructed, above which an oxide layer 3146 may be deposited. Red LED wafer 3148 may include GaAs wafer 3150, n-type confinement layer 3152, multiple quantum well (MQW) layer 3154, P-type confinement layer 3156, and an ITO current spreader layer 3158. Examples of materials used to construct these layers may include, but are not limited to; doped AlInGaP for the n-type confinement layer 3152 and p-type confinement layer 3156, multiple quantum well layer 3154 could be composed of AlInGaP and GaInP. These layers may be formed by processes such as molecular beam epitaxy, MOCVD, etc. The red LED wafer described in FIG. 7F may have hydrogen implanted into it at a certain depth as shown by dotted line 3160. Alternatively, helium can be implanted.
  • Step (G) is shown in FIG. 7G. The layer of GaAs structures consisting of the red LEDs 3148 may be layer transferred atop the silicon wafer with the control circuits 3142 forming the LED stack 3170. Procedures for layer transfer and alignment for forming the structure in FIG. 7G may be similar to procedures used for constructing the LED lighting shown in FIGS. 12A-12F of incorporated parent U.S. Pat. No. 9,197,804. n-GaAs layer 3152 is renamed 3153 after the cleaving and polishing process. An ITO layer 3162 is deposited atop n-GaAs layer 3153, thus forming the LED stack 3170. The functionality of the LEDs may be tested at this point.
  • Step (H) is illustrated by FIG. 7H. The structure shown in FIG. 31G, LED stack 3170, may be flipped and bonded atop the structure shown in FIG. 7E, structure 3141, using oxide-to-oxide bonding of ITO layer 3162 and oxide layer 3135. Various elements in FIG. 7H such as 3102, 3104, 3115, 3116, 3118, 3120, 3122, 3124, 3135, 3136, 3138, 3144, 3146, 3153, 3154, 3156, 3158 and 3162 have been described previously herein and/or in incorporated parent U.S. Pat. No. 9,197,804. Thus, LED-driven chip-to-chip optical interconnect 3199 may be formed.
  • Laser-Driven Chip-to-Chip Optical Interconnect:
  • FIGS. 8A-8D illustrate an embodiment of this invention, where the transmitter block: modulator control circuit layer 3242, modulator layer 3248; communication channel: waveguide layer 3236; and receiver block: photodetector layer 3210, and readout circuit layer 3200 are stacked monolithically with layer transfer.
  • Step (A): FIG. 8A illustrates the first step for constructing the waveguide layer 3236, photodetector layer 3210, readout circuit layer 3200, where the waveguide layer 3236 with oxide layer 3234, oxide layer 3228, oxide layer 3221 oxide layer 3222 and oxide layer 3204 may be formed atop the photodetector layer 3210, which in turn may be formed atop the readout circuit layer 3200 using layer transfer procedures described in FIG. 7A-7E.
  • Step (B) is shown in FIG. 8B which is used for constructing the modulator and control circuit layers, where the modulator layer is formed atop the electronic control circuit layer using layer transfer. 3242 shows a cross-sectional view of 3244, a silicon wafer with control circuits constructed on it, above which an oxide layer 3246 is deposited. 3248 shows the cross-sectional view of a Silicon wafer 3250 containing Silicon-Germanium modulators and may include a P-type Silicon-Germanium buffer layer 3252, an undoped Silicon-Germanium spacer 3254, a Germanium/Silicon-Germanium multiple quantum well (MQW) 3256, another undoped Silicon-Germanium spacer 3258, an N-type Silicon-Germanium layer 3260, and a deposited oxide layer 3262. Examples of materials used to construct these layers, include, but are not limited to, doped GaAs for the N-type cap layer 3260 and P-type buffer layer 3252, the multiple quantum well layer 3256 could be of GaAs and AlGaAs. A double heterostructure configuration or single quantum well configuration could be used instead of a multiple quantum well configuration. Various other material types and configurations could be used for constructing the modulators for this process. The modulator wafer described in FIG. 8B has hydrogen implanted into it at a certain depth. The dotted line 3264 depicts the hydrogen implant. Alternatively, helium can be implanted.
  • Step (C) is shown in FIG. 8C. The layer of SiGe structures consisting of the modulators 3248 is layer transferred atop the silicon wafer with the control circuits 3242. Procedures for layer transfer and alignment for forming the structure in FIG. 8C are similar to procedures used for constructing the photo-detectors shown in FIGS. 15A-G of incorporated parent U.S. Pat. No. 9,197,804. The functionality of the modulators can be tested at this point.
  • Step (D) is illustrated by FIG. 8D. The structure shown in FIG. 8C is flipped and bonded atop the structure shown in FIG. 8A using oxide-to-oxide bonding of layers 3266 and 3234. Various elements in FIG. 32D such as 3202, 3204, 3214, 3216, 3218, 3220, 3222, 3234, 3236, 3238, 3244, 3246, 3252, 3254, 3256, 3258, 3260, 3262 and 3266 have been described previously herein and/or within incorporated parent U.S. Pat. No. 9,197,804. An external laser 3268 (typically made of InP) is then coupled to the structure via an optical fiber 3270 by known techniques.
  • On-Chip LED-Driven Optical Interconnects
  • FIGS. 9A-9C illustrate an embodiment of this invention, where the LED-driven optical communication is among sections on a single chip.
  • FIG. 9A illustrates a cross-sectional view of a transmitter section 3350 and a receiver section 3360. The transmitter section 3350 may include LED control circuit layer 3352, LED layer 3354 and waveguide layer 3356 stacked monolithically with layer transfer. The receiver section 3360 may contain readout circuit layer 3362, photo-detector layer 3364 and waveguide layer 3166 stacked monolithically with layer transfer. Layer transfer procedures are similar to those described in FIGS. 7A-7H herein.
  • FIG. 9B illustrates an exemplary top view of integrated circuit chip 3310 which may include integrated circuits 3312, optical transmitters using LEDs 3314 and 3316, optical receivers using photo- detectors 3318 and 3320, and waveguide sections 3322 and 3324 enabling optical communication from one end of the chip to the other.
  • FIG. 9C illustrates a cross-sectional view (not to scale) of an integrated circuit chip 3330 with a substrate 3332, control and readout circuit sections 3338 and 3340, integrated circuit section 3334, LED and photo-detector layer 3336, and waveguide layer 3342. Persons of ordinary skill in the art will appreciate that each layer may use the same material throughout the layer for ease of processing, but may differ among different layers. As an example, the waveguide layer 3342 may use Silicon, the LED and photo-detector layer 3336 may use III-V semiconductor material, the layer with control and readout circuit sections 3338 and 3340 and integrated circuits section 3334 may use Silicon, and the substrate 3332 may use silicon.
  • FIG. 10 illustrates cross-sectional view of a waveguide structure 3470 with Hollow-metal waveguide (HMWG) 3472, beam- splitters 3474 and 3476 and light signal 3478. HMWG with reflective metal coating and beam-splitters are capable of guiding light through sharp turns by allowing sharp-angled reflections which may be a potential advantage compared to dielectric waveguides when waveguide layer thickness is in consideration.
  • On-Chip Laser-Driven Optical Interconnects
  • FIGS. 11A-11C illustrate an embodiment of this invention, where the laser-driven optical communication is among sections on a single chip.
  • FIG. 11A illustrates a cross-sectional view of a transmitter section 3550 and a receiver section 3560. The transmitter section 3550 may include modulator control circuit layer 3552, modulator layer 3554 and waveguide layer 3556 stacked monolithically with layer transfer, external laser 3558, fiber-optic coupling 3559 (connecting external laser 3559 to modulator layer 3554). The receiver section 3560 may contain a readout circuit layer 3562, photo-detector layer 3564 and waveguide layer 3566 stacked monolithically with layer transfer. Layer transfer procedures are similar to those described in FIG. 8A-8D herein.
  • FIG. 11B illustrates an exemplary top view of integrated circuit chip 3510 which may include integrated circuits 3512, optical transmitters using external laser 3526, fiber- optic couplings 3528 and 3529, modulators 3514 and 3516, optical receivers using photo- detectors 3518 and 3520, and waveguide sections 3522 and 3524 enabling optical communication from one end of the chip to the other.
  • FIG. 11C illustrates a cross-sectional view (not to scale) of an integrated circuit chip 3530 with substrate 3532, control and readout circuit sections 3538 and 3540, integrated circuit section 3534, modulator and photo-detector layer 3536, waveguide layer 3542, external laser 3544 and fiber-optic coupling 3546. Persons of ordinary skill in the art will appreciate that each layer may use the same material throughout the layer for ease of processing, but may differ among different layers. As an example, the waveguide layer 3542 may use Silicon, the modulator and photo-detector layer 3536 may use III-V semiconductor material, the layer with control and readout circuit sections 3538 and 3540 and integrated circuits section 3534 may use Silicon, and the substrate 3532 may use silicon.
  • As described in FIG. 10, the waveguide layer may use HMWGs with reflective metal coating and beam-splitters capable of guiding light through sharp turns by allowing sharp-angled reflections which may be a potential advantage compared to dielectric waveguides when waveguide layer thickness is in consideration.
  • Persons of ordinary skill in the art will appreciate that while Silicon has been suggested as the material for the photo-detector layer of FIG. 7A, Germanium or Silicon-Germanium could be utilized. The advantage of Germanium is that it is sensitive to infra-red wavelengths as well. However, Germanium also suffers from high dark current. Moreover, the photo-detector layer 3110 is denoted as a p-n junction layer; however, any type of photo-detector layer, such as a p-i-n layer or some other type of photo-detector can be used. Furthermore, the thickness of the photo-detector layer may be typically less than approximately 5 μm, but may also be greater. Moreover, a double hetero-structure configuration or single quantum well configuration could be used instead of a multiple quantum well configuration such as the shown multiple quantum well layer 3154. Further, various other material types and configurations, such as GaAs, AlInGaP, and GaInP, could be used for constructing the red LEDs for this process. Thus the invention is to be limited only by the appended claims.
  • Several material systems have been illustrated as examples for various embodiments of this invention in this patent application. It will be clear to one skilled in the art based on the present disclosure that various other material systems and configurations can also be used without violating the concepts described. It will also be appreciated by persons of ordinary skill in the art that the present invention is not limited to what has been particularly shown and described hereinabove. Rather, the scope of the invention includes both combinations and sub-combinations of the various features described herein above as well as modifications and variations which would occur to such skilled persons upon reading the foregoing description. Thus the invention is to be limited only by the appended claims.

Claims (20)

We claim:
1. A multi-level semiconductor device, the device comprising:
a first level comprising integrated circuits;
a second level comprising a structure designed to conduct electromagnetic waves in a confined manner,
wherein said second level is disposed above said first level,
wherein said first level comprises crystalline silicon,
wherein said second level comprises crystalline silicon; and
an oxide layer disposed between said first level and said second level,
wherein said second level is bonded to said oxide layer, and
wherein said bonded comprises oxide to oxide bonds.
2. The device according to claim 1, further comprising:
a plurality of electromagnetic modulators.
3. The device according to claim 1,
wherein said structure comprises an electromagnetic waveguide.
4. The device according to claim 1, further comprising:
a third level comprising a crystalline silicon layer,
wherein said crystalline silicon layer has a thickness less than 60 microns.
5. The device according to claim 1,
wherein said structure comprises a hollow-metal waveguide.
6. The device according to claim 1,
wherein said structure comprises a first material comprising a high index of refraction surrounded by a second material comprising a lower index of refraction.
7. The device according to claim 1, further comprising:
a third level,
wherein said third level comprises a layer comprising electronic circuits comprising crystalline silicon.
8. A multi-level semiconductor device, the device comprising:
a first level comprising a structure designed to conduct electromagnetic waves in a confined manner;
a second level comprising integrated circuits,
wherein said second level is disposed above said first level,
wherein said first level comprises crystalline silicon; and
an oxide layer disposed between said first level and said second level,
wherein said second level is bonded to said oxide layer, and
wherein said bonded comprises oxide to oxide bonds.
9. The device according to claim 8, further comprising:
a plurality of electromagnetic modulators.
10. The device according to claim 8,
wherein said structure comprises an electromagnetic waveguide.
11. The device according to claim 8, further comprising:
a third level comprising a crystalline silicon layer,
wherein said crystalline silicon layer has a thickness less than 60 microns.
12. The device according to claim 8,
wherein said structure comprises a hollow-metal waveguide.
13. The device according to claim 8,
wherein said structure comprises a first material comprising a high index of refraction surrounded by a second material comprising a lower index of refraction.
14. The device according to claim 8, further comprising:
a third level,
wherein said third level comprises a layer comprising electronic circuits comprising crystalline silicon.
15. A multi-level semiconductor device, the device comprising:
a first level comprising integrated circuits;
a second level comprising a plurality of electromagnetic modulators,
wherein said second level is disposed above said first level,
wherein said first level comprises crystalline silicon,
wherein said second level comprises crystalline silicon; and
an oxide layer disposed between said first level and said second level,
wherein said second level is bonded to said first level.
16. The device according to claim 15, further comprising:
a plurality of electromagnetic waveguides.
17. The device according to claim 15,
wherein said bonded comprises oxide to oxide bonds.
18. The device according to claim 15, further comprising:
a third level comprising electronic circuits comprising a crystalline silicon layer,
wherein said crystalline silicon layer has a thickness less than 60 microns.
19. The device according to claim 15, further comprising:
a plurality of electromagnetic waveguides,
wherein said plurality of electromagnetic waveguides each comprise a hollow-metal waveguide.
20. The device according to claim 15, further comprising:
a plurality of electromagnetic waveguides,
wherein said plurality of electromagnetic waveguides each comprise a first material comprising a high index of refraction surrounded by a second material comprising a lower index of refraction.
US17/189,201 2010-10-13 2021-03-01 Multilevel semiconductor device and structure with waveguides Active US11063071B1 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US17/189,201 US11063071B1 (en) 2010-10-13 2021-03-01 Multilevel semiconductor device and structure with waveguides
US17/330,186 US11163112B2 (en) 2010-10-13 2021-05-25 Multilevel semiconductor device and structure with electromagnetic modulators
US17/492,627 US11327227B2 (en) 2010-10-13 2021-10-03 Multilevel semiconductor device and structure with electromagnetic modulators
US17/717,094 US11437368B2 (en) 2010-10-13 2022-04-10 Multilevel semiconductor device and structure with oxide bonding
US17/868,776 US11594526B2 (en) 2010-10-13 2022-07-20 Multilevel semiconductor device and structure with oxide bonding
US18/102,710 US11694922B2 (en) 2010-10-13 2023-01-28 Multilevel semiconductor device and structure with oxide bonding
US18/136,335 US11855100B2 (en) 2010-10-13 2023-04-18 Multilevel semiconductor device and structure with oxide bonding
US18/388,848 US20240079398A1 (en) 2010-10-13 2023-11-12 Multilevel semiconductor device and structure with oxide bonding

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US12/904,103 US8163581B1 (en) 2010-10-13 2010-10-13 Semiconductor and optoelectronic devices
US13/274,161 US9197804B1 (en) 2011-10-14 2011-10-14 Semiconductor and optoelectronic devices
US14/936,657 US9941319B2 (en) 2010-10-13 2015-11-09 Semiconductor and optoelectronic methods and devices
US15/920,499 US10679977B2 (en) 2010-10-13 2018-03-14 3D microdisplay device and structure
US16/860,027 US10833108B2 (en) 2010-10-13 2020-04-27 3D microdisplay device and structure
US17/027,217 US10943934B2 (en) 2010-10-13 2020-09-21 Multilevel semiconductor device and structure
US17/121,726 US10978501B1 (en) 2010-10-13 2020-12-14 Multilevel semiconductor device and structure with waveguides
US17/189,201 US11063071B1 (en) 2010-10-13 2021-03-01 Multilevel semiconductor device and structure with waveguides

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US17/121,726 Continuation-In-Part US10978501B1 (en) 2010-10-13 2020-12-14 Multilevel semiconductor device and structure with waveguides

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/330,186 Continuation-In-Part US11163112B2 (en) 2010-10-13 2021-05-25 Multilevel semiconductor device and structure with electromagnetic modulators

Publications (2)

Publication Number Publication Date
US20210210456A1 true US20210210456A1 (en) 2021-07-08
US11063071B1 US11063071B1 (en) 2021-07-13

Family

ID=76654437

Family Applications (1)

Application Number Title Priority Date Filing Date
US17/189,201 Active US11063071B1 (en) 2010-10-13 2021-03-01 Multilevel semiconductor device and structure with waveguides

Country Status (1)

Country Link
US (1) US11063071B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11327227B2 (en) * 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11163112B2 (en) * 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators

Family Cites Families (797)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3007090A (en) 1957-09-04 1961-10-31 Ibm Back resistance control for junction semiconductor devices
US3819959A (en) 1970-12-04 1974-06-25 Ibm Two phase charge-coupled semiconductor device
US4009483A (en) 1974-04-04 1977-02-22 Motorola, Inc. Implementation of surface sensitive semiconductor devices
US4197555A (en) 1975-12-29 1980-04-08 Fujitsu Limited Semiconductor device
US4213139A (en) 1978-05-18 1980-07-15 Texas Instruments Incorporated Double level polysilicon series transistor cell
US4400715A (en) 1980-11-19 1983-08-23 International Business Machines Corporation Thin film semiconductor device and method for manufacture
JPS58164219A (en) 1982-03-25 1983-09-29 Agency Of Ind Science & Technol Manufacture of laminated semiconductor device
DE3211761A1 (en) 1982-03-30 1983-10-06 Siemens Ag METHOD FOR MANUFACTURING INTEGRATED MOS FIELD EFFECT TRANSISTOR CIRCUITS IN SILICON GATE TECHNOLOGY WITH SILICIDE-COVERED DIFFUSION AREAS AS LOW-RESISTANT CONDUCTORS
JPS593950A (en) 1982-06-30 1984-01-10 Fujitsu Ltd Gate array chip
US4522657A (en) 1983-10-20 1985-06-11 Westinghouse Electric Corp. Low temperature process for annealing shallow implanted N+/P junctions
JPS6130059A (en) 1984-07-20 1986-02-12 Nec Corp Manufacture of semiconductor device
JPS61256663A (en) 1985-05-09 1986-11-14 Agency Of Ind Science & Technol Semiconductor device
EP0208795A1 (en) 1985-07-12 1987-01-21 International Business Machines Corporation Method of fabricating a self-aligned metal-semiconductor FET
KR900008647B1 (en) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 A method for manufacturing three demensional i.c.
US4829018A (en) 1986-06-27 1989-05-09 Wahlstrom Sven E Multilevel integrated circuits employing fused oxide layers
US4704785A (en) 1986-08-01 1987-11-10 Texas Instruments Incorporated Process for making a buried conductor by fusing two wafers
US4887134A (en) 1986-09-26 1989-12-12 Canon Kabushiki Kaisha Semiconductor device having a semiconductor region in which either the conduction or valence band remains flat while bandgap is continuously graded
US4732312A (en) 1986-11-10 1988-03-22 Grumman Aerospace Corporation Method for diffusion bonding of alloys having low solubility oxides
US4721885A (en) 1987-02-11 1988-01-26 Sri International Very high speed integrated microelectronic tubes
US4854986A (en) 1987-05-13 1989-08-08 Harris Corporation Bonding technique to join two or more silicon wafers
JP2606857B2 (en) 1987-12-10 1997-05-07 株式会社日立製作所 Method for manufacturing semiconductor memory device
US5032007A (en) 1988-04-07 1991-07-16 Honeywell, Inc. Apparatus and method for an electronically controlled color filter for use in information display applications
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US4866304A (en) 1988-05-23 1989-09-12 Motorola, Inc. BICMOS NAND gate
US4956307A (en) 1988-11-10 1990-09-11 Texas Instruments, Incorporated Thin oxide sidewall insulators for silicon-over-insulator transistors
JPH0344067A (en) 1989-07-11 1991-02-25 Nec Corp Laminating method of semiconductor substrate
JP2617798B2 (en) 1989-09-22 1997-06-04 三菱電機株式会社 Stacked semiconductor device and method of manufacturing the same
US5217916A (en) 1989-10-03 1993-06-08 Trw Inc. Method of making an adaptive configurable gate array
US5012153A (en) 1989-12-22 1991-04-30 Atkinson Gary M Split collector vacuum field effect transistor
EP0449524B1 (en) 1990-03-24 1997-05-28 Canon Kabushiki Kaisha Optical annealing method for semiconductor layer and method for producing semiconductor device employing the same semiconductor layer
JPH0636413B2 (en) 1990-03-29 1994-05-11 信越半導体株式会社 Manufacturing method of semiconductor element forming substrate
US5063171A (en) 1990-04-06 1991-11-05 Texas Instruments Incorporated Method of making a diffusionless virtual drain and source conductor/oxide semiconductor field effect transistor
US5541441A (en) 1994-10-06 1996-07-30 Actel Corporation Metal to metal antifuse
US5047979A (en) 1990-06-15 1991-09-10 Integrated Device Technology, Inc. High density SRAM circuit with ratio independent memory cells
JPH0478123A (en) 1990-07-20 1992-03-12 Fujitsu Ltd Manufacture of semiconductor device
ATE217447T1 (en) 1990-08-03 2002-05-15 Canon Kk METHOD FOR PRODUCING A SEMICONDUCTOR BODY
US5206749A (en) 1990-12-31 1993-04-27 Kopin Corporation Liquid crystal display having essentially single crystal transistors pixels and driving circuits
US5861929A (en) 1990-12-31 1999-01-19 Kopin Corporation Active matrix color display with multiple cells and connection through substrate
US5701027A (en) 1991-04-26 1997-12-23 Quicklogic Corporation Programmable interconnect structures and programmable integrated circuits
KR930006732B1 (en) 1991-05-08 1993-07-23 재단법인 한국전자통신연구소 Semiconductor substrate having the structure assembly varied and method of the same
US5258643A (en) 1991-07-25 1993-11-02 Massachusetts Institute Of Technology Electrically programmable link structures and methods of making same
TW211621B (en) 1991-07-31 1993-08-21 Canon Kk
JPH05198739A (en) 1991-09-10 1993-08-06 Mitsubishi Electric Corp Laminated semiconductor device and its manufacture
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
JPH0793363B2 (en) 1991-09-25 1995-10-09 株式会社半導体エネルギー研究所 Semiconductor integrated circuit and manufacturing method thereof
US5266511A (en) 1991-10-02 1993-11-30 Fujitsu Limited Process for manufacturing three dimensional IC's
JP3112106B2 (en) 1991-10-11 2000-11-27 キヤノン株式会社 Manufacturing method of semiconductor substrate
JP3237888B2 (en) 1992-01-31 2001-12-10 キヤノン株式会社 Semiconductor substrate and method of manufacturing the same
JP3261685B2 (en) 1992-01-31 2002-03-04 キヤノン株式会社 Semiconductor element substrate and method of manufacturing the same
US5308782A (en) 1992-03-02 1994-05-03 Motorola Semiconductor memory device and method of formation
US5371431A (en) 1992-03-04 1994-12-06 Mcnc Vertical microelectronic field emission devices including elongate vertical pillars having resistive bottom portions
US5265047A (en) 1992-03-09 1993-11-23 Monolithic System Technology High density SRAM circuit with single-ended memory cells
US6714625B1 (en) 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
US6355976B1 (en) 1992-05-14 2002-03-12 Reveo, Inc Three-dimensional packaging technology for multi-layered integrated circuits
US5646547A (en) 1994-04-28 1997-07-08 Xilinx, Inc. Logic cell which can be configured as a latch without static one's problem
US5535342A (en) 1992-11-05 1996-07-09 Giga Operations Corporation Pld connector for module having configuration of either first PLD or second PLD and reconfigurable bus for communication of two different bus protocols
JPH06318864A (en) 1993-05-07 1994-11-15 Toshiba Corp Field programmable gate array
CA2173123A1 (en) 1993-09-30 1995-04-06 Paul M. Zavracky Three-dimensional processor using transferred thin film circuits
US5485031A (en) 1993-11-22 1996-01-16 Actel Corporation Antifuse structure suitable for VLSI application
TW330313B (en) 1993-12-28 1998-04-21 Canon Kk A semiconductor substrate and process for producing same
US5817574A (en) 1993-12-29 1998-10-06 Intel Corporation Method of forming a high surface area interconnection structure
JP3514500B2 (en) 1994-01-28 2004-03-31 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US5554870A (en) 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
US7148119B1 (en) 1994-03-10 2006-12-12 Canon Kabushiki Kaisha Process for production of semiconductor substrate
JP3352340B2 (en) 1995-10-06 2002-12-03 キヤノン株式会社 Semiconductor substrate and method of manufacturing the same
US5682107A (en) 1994-04-01 1997-10-28 Xilinx, Inc. FPGA architecture with repeatable tiles including routing matrices and logic matrices
US5627106A (en) 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
US5424560A (en) 1994-05-31 1995-06-13 Motorola, Inc. Integrated multicolor organic led array
US5594563A (en) 1994-05-31 1997-01-14 Honeywell Inc. High resolution subtractive color projection system
MY114888A (en) 1994-08-22 2003-02-28 Ibm Method for forming a monolithic electronic module by stacking planar arrays of integrated circuit chips
DE4433845A1 (en) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Method of manufacturing a three-dimensional integrated circuit
DE4433833A1 (en) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Method for producing a three-dimensional integrated circuit while achieving high system yields
US5527423A (en) 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
WO1996011498A1 (en) 1994-10-11 1996-04-18 International Business Machines Corporation Monolithic array of light emitting diodes for the generation of light at multiple wavelengths and its use for multicolor display applications
FR2726126A1 (en) 1994-10-24 1996-04-26 Mitsubishi Electric Corp LED device mfr. by thermally bonding LEDs
TW358907B (en) 1994-11-22 1999-05-21 Monolithic System Tech Inc A computer system and a method of using a DRAM array as a next level cache memory
US6358631B1 (en) 1994-12-13 2002-03-19 The Trustees Of Princeton University Mixed vapor deposited films for electroluminescent devices
US6548956B2 (en) 1994-12-13 2003-04-15 The Trustees Of Princeton University Transparent contacts for organic devices
US5707745A (en) 1994-12-13 1998-01-13 The Trustees Of Princeton University Multicolor organic light emitting devices
US5703436A (en) 1994-12-13 1997-12-30 The Trustees Of Princeton University Transparent contacts for organic devices
US5586291A (en) 1994-12-23 1996-12-17 Emc Corporation Disk controller with volatile and non-volatile cache memories
US5737748A (en) 1995-03-15 1998-04-07 Texas Instruments Incorporated Microprocessor unit having a first level write-through cache memory and a smaller second-level write-back cache memory
US5478762A (en) 1995-03-16 1995-12-26 Taiwan Semiconductor Manufacturing Company Method for producing patterning alignment marks in oxide
US5937312A (en) 1995-03-23 1999-08-10 Sibond L.L.C. Single-etch stop process for the manufacture of silicon-on-insulator wafers
US5789758A (en) 1995-06-07 1998-08-04 Micron Technology, Inc. Chalcogenide memory cell with a plurality of chalcogenide electrodes
FR2738671B1 (en) 1995-09-13 1997-10-10 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS WITH SEMICONDUCTOR MATERIAL
US5583349A (en) 1995-11-02 1996-12-10 Motorola Full color light emitting diode display
US5583350A (en) 1995-11-02 1996-12-10 Motorola Full color light emitting diode display assembly
US5781031A (en) 1995-11-21 1998-07-14 International Business Machines Corporation Programmable logic array
US5617991A (en) 1995-12-01 1997-04-08 Advanced Micro Devices, Inc. Method for electrically conductive metal-to-metal bonding
US5748161A (en) 1996-03-04 1998-05-05 Motorola, Inc. Integrated electro-optical package with independent menu bar
FR2747506B1 (en) 1996-04-11 1998-05-15 Commissariat Energie Atomique PROCESS FOR OBTAINING A THIN FILM OF SEMICONDUCTOR MATERIAL INCLUDING IN PARTICULAR ELECTRONIC COMPONENTS
FR2748851B1 (en) 1996-05-15 1998-08-07 Commissariat Energie Atomique PROCESS FOR PRODUCING A THIN FILM OF SEMICONDUCTOR MATERIAL
US6424016B1 (en) 1996-05-24 2002-07-23 Texas Instruments Incorporated SOI DRAM having P-doped polysilicon gate for a memory pass transistor
KR100486803B1 (en) 1996-06-18 2005-06-16 소니 가부시끼 가이샤 Selfluminous display device
US5977961A (en) 1996-06-19 1999-11-02 Sun Microsystems, Inc. Method and apparatus for amplitude band enabled addressing arrayed elements
US6027958A (en) 1996-07-11 2000-02-22 Kopin Corporation Transferred flexible integrated circuit
KR100481994B1 (en) 1996-08-27 2005-12-01 세이코 엡슨 가부시키가이샤 Stripping method, transfer method of thin film device, and thin film device, thin film integrated circuit device and liquid crystal display device manufactured using the same
US5770881A (en) 1996-09-12 1998-06-23 International Business Machines Coproration SOI FET design to reduce transient bipolar current
JP3584635B2 (en) 1996-10-04 2004-11-04 株式会社デンソー Semiconductor device and manufacturing method thereof
US5770483A (en) 1996-10-08 1998-06-23 Advanced Micro Devices, Inc. Multi-level transistor fabrication method with high performance drain-to-gate connection
US5835396A (en) 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory
US6020263A (en) 1996-10-31 2000-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of recovering alignment marks after chemical mechanical polishing of tungsten
US8779597B2 (en) 2004-06-21 2014-07-15 Sang-Yun Lee Semiconductor device with base support structure
US7633162B2 (en) 2004-06-21 2009-12-15 Sang-Yun Lee Electronic circuit with embedded memory
US7888764B2 (en) 2003-06-24 2011-02-15 Sang-Yun Lee Three-dimensional integrated circuit structure
US8058142B2 (en) 1996-11-04 2011-11-15 Besang Inc. Bonded semiconductor structure and method of making the same
US7470142B2 (en) 2004-06-21 2008-12-30 Sang-Yun Lee Wafer bonding method
US7470598B2 (en) 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same
US8018058B2 (en) 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
US7052941B2 (en) 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
US7800199B2 (en) 2003-06-24 2010-09-21 Oh Choonsik Semiconductor circuit
US20050280155A1 (en) 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor bonding and layer transfer method
US5872029A (en) 1996-11-07 1999-02-16 Advanced Micro Devices, Inc. Method for forming an ultra high density inverter using a stacked transistor arrangement
DE69728022T2 (en) 1996-12-18 2004-08-12 Canon K.K. A method of manufacturing a semiconductor article using a substrate with a porous semiconductor layer
US5812708A (en) 1996-12-31 1998-09-22 Intel Corporation Method and apparatus for distributing an optical clock in an integrated circuit
US6331722B1 (en) 1997-01-18 2001-12-18 Semiconductor Energy Laboratory Co., Ltd. Hybrid circuit and electronic device using same
US5893721A (en) 1997-03-24 1999-04-13 Motorola, Inc. Method of manufacture of active matrix LED array
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US6191007B1 (en) 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US6159824A (en) 1997-05-12 2000-12-12 Silicon Genesis Corporation Silicon-on-silicon wafer bonding process using a thin film blister-separation method
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
US6111260A (en) 1997-06-10 2000-08-29 Advanced Micro Devices, Inc. Method and apparatus for in situ anneal during ion implant
JP4032454B2 (en) 1997-06-27 2008-01-16 ソニー株式会社 Manufacturing method of three-dimensional circuit element
US6207523B1 (en) 1997-07-03 2001-03-27 Micron Technology, Inc. Methods of forming capacitors DRAM arrays, and monolithic integrated circuits
US6072209A (en) 1997-07-08 2000-06-06 Micro Technology, Inc. Four F2 folded bit line DRAM cell structure having buried bit and word lines
WO1999006110A1 (en) 1997-07-29 1999-02-11 Silicon Genesis Corporation Cluster tool method and apparatus using plasma immersion ion implantation
US5882987A (en) 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US6201629B1 (en) 1997-08-27 2001-03-13 Microoptical Corporation Torsional micro-mechanical mirror system
US6009496A (en) 1997-10-30 1999-12-28 Winbond Electronics Corp. Microcontroller with programmable embedded flash memory
US6376337B1 (en) 1997-11-10 2002-04-23 Nanodynamics, Inc. Epitaxial SiOx barrier/insulation layer
US6232643B1 (en) 1997-11-13 2001-05-15 Micron Technology, Inc. Memory using insulator traps
US6429481B1 (en) 1997-11-14 2002-08-06 Fairchild Semiconductor Corporation Field effect transistor and method of its manufacture
US5952681A (en) 1997-11-24 1999-09-14 Chen; Hsing Light emitting diode emitting red, green and blue light
US6271542B1 (en) 1997-12-08 2001-08-07 International Business Machines Corporation Merged logic and memory combining thin film and bulk Si transistors
US6369410B1 (en) 1997-12-15 2002-04-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the semiconductor device
US6052498A (en) 1997-12-19 2000-04-18 Intel Corporation Method and apparatus providing an optical input/output bus through the back side of an integrated circuit die
JP3501642B2 (en) 1997-12-26 2004-03-02 キヤノン株式会社 Substrate processing method
TW406419B (en) 1998-01-15 2000-09-21 Siemens Ag Memory-cells arrangement and its production method
US6071795A (en) 1998-01-23 2000-06-06 The Regents Of The University Of California Separation of thin films from transparent substrates by selective optical processing
SG78332A1 (en) 1998-02-04 2001-02-20 Canon Kk Semiconductor substrate and method of manufacturing the same
US5943574A (en) 1998-02-23 1999-08-24 Motorola, Inc. Method of fabricating 3D multilayer semiconductor circuits
US6448615B1 (en) 1998-02-26 2002-09-10 Micron Technology, Inc. Methods, structures, and circuits for transistors with gate-to-body capacitive coupling
JP4126747B2 (en) 1998-02-27 2008-07-30 セイコーエプソン株式会社 Manufacturing method of three-dimensional device
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
US5965875A (en) 1998-04-24 1999-10-12 Foveon, Inc. Color separation in an active pixel cell imaging array using a triple-well structure
US6057212A (en) 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
US6331468B1 (en) 1998-05-11 2001-12-18 Lsi Logic Corporation Formation of integrated circuit structure using one or more silicon layers for implantation and out-diffusion in formation of defect-free source/drain regions and also for subsequent formation of silicon nitride spacers
US6229161B1 (en) 1998-06-05 2001-05-08 Stanford University Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches
JP2000012864A (en) 1998-06-22 2000-01-14 Semiconductor Energy Lab Co Ltd Manufacture of semiconductor device
US6125217A (en) 1998-06-26 2000-09-26 Intel Corporation Clock distribution network
US6423614B1 (en) 1998-06-30 2002-07-23 Intel Corporation Method of delaminating a thin film using non-thermal techniques
US6054370A (en) 1998-06-30 2000-04-25 Intel Corporation Method of delaminating a pre-fabricated transistor layer from a substrate for placement on another wafer
US6392253B1 (en) 1998-08-10 2002-05-21 Arjun J. Saxena Semiconductor device with single crystal films grown on arrayed nucleation sites on amorphous and/or non-single crystal surfaces
US6242778B1 (en) 1998-09-22 2001-06-05 International Business Machines Corporation Cooling method for silicon on insulator devices
JP2000132961A (en) 1998-10-23 2000-05-12 Canon Inc Magnetic thin film memory, method for reading out magnetic thin film memory, and method for writing to magnetic thin film memory
JP2000199827A (en) 1998-10-27 2000-07-18 Sony Corp Optical wave guide device and its manufacture
US6423613B1 (en) 1998-11-10 2002-07-23 Micron Technology, Inc. Low temperature silicon wafer bond process with bulk material bond strength
US5977579A (en) 1998-12-03 1999-11-02 Micron Technology, Inc. Trench dram cell with vertical device and buried word lines
US6965165B2 (en) 1998-12-21 2005-11-15 Mou-Shiung Lin Top layers of metal for high performance IC's
US6245634B1 (en) 1999-10-28 2001-06-12 Easic Corporation Method for design and manufacture of semiconductors
US6331733B1 (en) 1999-08-10 2001-12-18 Easic Corporation Semiconductor device
EP1041624A1 (en) 1999-04-02 2000-10-04 Interuniversitair Microelektronica Centrum Vzw Method of transferring ultra-thin substrates and application of the method to the manufacture of a multilayer thin film device
US6430734B1 (en) 1999-04-15 2002-08-06 Sycon Design, Inc. Method for determining bus line routing for components of an integrated circuit
JP2001006370A (en) 1999-06-17 2001-01-12 Nec Corp Sram circuit
JP2001007698A (en) 1999-06-25 2001-01-12 Mitsubishi Electric Corp Data pll circuit
US6355980B1 (en) 1999-07-15 2002-03-12 Nanoamp Solutions Inc. Dual die memory
US6242324B1 (en) 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6294018B1 (en) 1999-09-15 2001-09-25 Lucent Technologies Alignment techniques for epitaxial growth processes
US6653209B1 (en) 1999-09-30 2003-11-25 Canon Kabushiki Kaisha Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device
US6500694B1 (en) 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6261935B1 (en) 1999-12-13 2001-07-17 Chartered Semiconductor Manufacturing Ltd. Method of forming contact to polysilicon gate for MOS devices
US6701071B2 (en) 2000-01-11 2004-03-02 Minolta Co., Ltd. Lens barrel with built-in blur correction mechanism
US6281102B1 (en) 2000-01-13 2001-08-28 Integrated Device Technology, Inc. Cobalt silicide structure for improving gate oxide integrity and method for fabricating same
SE0000148D0 (en) 2000-01-17 2000-01-17 Forskarpatent I Syd Ab Manufacturing method for IR detector matrices
US6614109B2 (en) 2000-02-04 2003-09-02 International Business Machines Corporation Method and apparatus for thermal management of integrated circuits
US6871396B2 (en) 2000-02-09 2005-03-29 Matsushita Electric Industrial Co., Ltd. Transfer material for wiring substrate
JP3735855B2 (en) 2000-02-17 2006-01-18 日本電気株式会社 Semiconductor integrated circuit device and driving method thereof
US6331790B1 (en) 2000-03-10 2001-12-18 Easic Corporation Customizable and programmable cell array
US6756811B2 (en) 2000-03-10 2004-06-29 Easic Corporation Customizable and programmable cell array
US6544837B1 (en) 2000-03-17 2003-04-08 International Business Machines Corporation SOI stacked DRAM logic
JP2001284360A (en) 2000-03-31 2001-10-12 Hitachi Ltd Semiconductor device
EP1284017A4 (en) 2000-04-28 2008-10-08 Matrix Semiconductor Inc Three-dimensional memory array and method of fabrication
US6420215B1 (en) 2000-04-28 2002-07-16 Matrix Semiconductor, Inc. Three-dimensional memory array and method of fabrication
US6635552B1 (en) 2000-06-12 2003-10-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US6635588B1 (en) 2000-06-12 2003-10-21 Ultratech Stepper, Inc. Method for laser thermal processing using thermally induced reflectivity switch
KR100372639B1 (en) 2000-06-21 2003-02-17 주식회사 하이닉스반도체 Method of manufacturing mosfet device
US6404043B1 (en) 2000-06-21 2002-06-11 Dense-Pac Microsystems, Inc. Panel stacking of BGA devices to form three-dimensional modules
JP4424830B2 (en) 2000-06-30 2010-03-03 Okiセミコンダクタ株式会社 Semiconductor device
US6429484B1 (en) 2000-08-07 2002-08-06 Advanced Micro Devices, Inc. Multiple active layer structure and a method of making such a structure
US6580124B1 (en) 2000-08-14 2003-06-17 Matrix Semiconductor Inc. Multigate semiconductor device with vertical channel current and method of fabrication
US6534851B1 (en) 2000-08-21 2003-03-18 Agere Systems, Inc. Modular semiconductor substrates
US6331943B1 (en) 2000-08-28 2001-12-18 Motorola, Inc. MTJ MRAM series-parallel architecture
US6537891B1 (en) 2000-08-29 2003-03-25 Micron Technology, Inc. Silicon on insulator DRAM process utilizing both fully and partially depleted devices
US6600173B2 (en) 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US7015719B1 (en) 2000-09-02 2006-03-21 Actel Corporation Tileable field-programmable gate array architecture
US6476636B1 (en) 2000-09-02 2002-11-05 Actel Corporation Tileable field-programmable gate array architecture
JP3744825B2 (en) 2000-09-08 2006-02-15 セイコーエプソン株式会社 Semiconductor device
US6479821B1 (en) 2000-09-11 2002-11-12 Ultratech Stepper, Inc. Thermally induced phase switch for laser thermal processing
US20020090758A1 (en) 2000-09-19 2002-07-11 Silicon Genesis Corporation Method and resulting device for manufacturing for double gated transistors
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
JP2002134374A (en) 2000-10-25 2002-05-10 Mitsubishi Electric Corp Semiconductor wafer and its manufacturing method and device
FR2816445B1 (en) 2000-11-06 2003-07-25 Commissariat Energie Atomique METHOD FOR MANUFACTURING A STACKED STRUCTURE COMPRISING A THIN LAYER ADHERING TO A TARGET SUBSTRATE
FR2817395B1 (en) 2000-11-27 2003-10-31 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A SUBSTRATE, IN PARTICULAR FOR OPTICS, ELECTRONICS OR OPTOELECTRONICS AND SUBSTRATE OBTAINED THEREBY
US6377504B1 (en) 2000-12-12 2002-04-23 Tachuon Semiconductor Corp High-density memory utilizing multiplexers to reduce bit line pitch constraints
US6507115B2 (en) 2000-12-14 2003-01-14 International Business Machines Corporation Multi-chip integrated circuit module
US7094667B1 (en) 2000-12-28 2006-08-22 Bower Robert W Smooth thin film layers produced by low temperature hydrogen ion cut
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
JP3768819B2 (en) 2001-01-31 2006-04-19 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6887753B2 (en) 2001-02-28 2005-05-03 Micron Technology, Inc. Methods of forming semiconductor circuitry, and semiconductor circuit constructions
EP1244142A1 (en) 2001-03-23 2002-09-25 Universite Catholique De Louvain Fabrication method of SOI semiconductor devices
JP2002353245A (en) 2001-03-23 2002-12-06 Seiko Epson Corp Electro-optic substrate device, its manufacturing method, electro-optic device, electronic apparatus, and method for manufacturing substrate device
JP2002299575A (en) 2001-03-29 2002-10-11 Toshiba Corp Semiconductor memory
US6526559B2 (en) 2001-04-13 2003-02-25 Interface & Control Systems, Inc. Method for creating circuit redundancy in programmable logic devices
US7151307B2 (en) 2001-05-08 2006-12-19 The Boeing Company Integrated semiconductor circuits on photo-active Germanium substrates
JP2002343564A (en) 2001-05-18 2002-11-29 Sharp Corp Transfer film and manufacturing method of organic electroluminescence element using the same
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
TW498470B (en) 2001-05-25 2002-08-11 Siliconware Precision Industries Co Ltd Semiconductor packaging with stacked chips
DE10125967C1 (en) 2001-05-29 2002-07-11 Infineon Technologies Ag DRAM cell arrangement used for a semiconductor storage device comprises a matrix arrangement of storage cells stacked over each other as layers, and a capacitor connected to the MOS transistor
US6483707B1 (en) 2001-06-07 2002-11-19 Loctite Corporation Heat sink and thermal interface having shielding to attenuate electromagnetic interference
US6580289B2 (en) 2001-06-08 2003-06-17 Viasic, Inc. Cell architecture to reduce customization in a semiconductor device
KR100801457B1 (en) 2001-06-11 2008-02-11 시바 스페셜티 케미칼스 홀딩 인크. Oxime ester photoinitiators having a combined structure
US6759282B2 (en) 2001-06-12 2004-07-06 International Business Machines Corporation Method and structure for buried circuits and devices
GB0114317D0 (en) 2001-06-13 2001-08-01 Kean Thomas A Method of protecting intellectual property cores on field programmable gate array
US20020190232A1 (en) 2001-06-18 2002-12-19 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices for detecting smoke
TWI230392B (en) 2001-06-18 2005-04-01 Innovative Silicon Sa Semiconductor device
US7211828B2 (en) 2001-06-20 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and electronic apparatus
JP2003023138A (en) 2001-07-10 2003-01-24 Toshiba Corp Memory chip, coc device using the same, and their manufacturing method
US7067849B2 (en) 2001-07-17 2006-06-27 Lg Electronics Inc. Diode having high brightness and method thereof
DE10135870C1 (en) 2001-07-24 2003-02-20 Infineon Technologies Ag Production of an integrated semiconductor circuit comprises depositing layer sequence, anisotropically etching, oxidizing the lowermost layer of the layer sequence, depositing further layer sequence on substrate, and isotropically etching
JP5057619B2 (en) 2001-08-01 2012-10-24 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US6841813B2 (en) 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
FR2828762B1 (en) 2001-08-14 2003-12-05 Soitec Silicon On Insulator METHOD FOR OBTAINING A THIN FILM OF A SEMICONDUCTOR MATERIAL SUPPORTING AT LEAST ONE ELECTRONIC COMPONENT AND / OR CIRCUIT
US6806171B1 (en) 2001-08-24 2004-10-19 Silicon Wafer Technologies, Inc. Method of producing a thin layer of crystalline material
US6861757B2 (en) 2001-09-03 2005-03-01 Nec Corporation Interconnecting substrate for carrying semiconductor device, method of producing thereof and package of semiconductor device
TW522534B (en) 2001-09-11 2003-03-01 Hsiu-Hen Chang Light source of full color LED using die bonding and packaging technology
US7420147B2 (en) 2001-09-12 2008-09-02 Reveo, Inc. Microchannel plate and method of manufacturing microchannel plate
US6875671B2 (en) 2001-09-12 2005-04-05 Reveo, Inc. Method of fabricating vertical integrated circuits
US6815781B2 (en) 2001-09-25 2004-11-09 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with salicided source/drain structures and method of making same
JP2003098225A (en) 2001-09-25 2003-04-03 Toshiba Corp Semiconductor integrated circuit
JP4166455B2 (en) 2001-10-01 2008-10-15 株式会社半導体エネルギー研究所 Polarizing film and light emitting device
US7459763B1 (en) 2001-10-02 2008-12-02 Actel Corporation Reprogrammable metal-to-metal antifuse employing carbon-containing antifuse material
US6717222B2 (en) 2001-10-07 2004-04-06 Guobiao Zhang Three-dimensional memory
JP2003133441A (en) 2001-10-22 2003-05-09 Nec Corp Semiconductor device
TWI264121B (en) 2001-11-30 2006-10-11 Semiconductor Energy Lab A display device, a method of manufacturing a semiconductor device, and a method of manufacturing a display device
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US7126214B2 (en) 2001-12-05 2006-10-24 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
US6627985B2 (en) 2001-12-05 2003-09-30 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
FR2834123B1 (en) 2001-12-21 2005-02-04 Soitec Silicon On Insulator SEMICONDUCTOR THIN FILM DELIVERY METHOD AND METHOD FOR OBTAINING A DONOR WAFER FOR SUCH A DELAYING METHOD
US6756633B2 (en) 2001-12-27 2004-06-29 Silicon Storage Technology, Inc. Semiconductor memory array of floating gate memory cells with horizontally oriented floating gate edges
DE10200399B4 (en) 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale A method for producing a three-dimensionally integrated semiconductor device and a three-dimensionally integrated semiconductor device
FR2835097B1 (en) 2002-01-23 2005-10-14 OPTIMIZED METHOD FOR DEFERRING A THIN LAYER OF SILICON CARBIDE ON A RECEPTACLE SUBSTRATE
US6661085B2 (en) 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6645832B2 (en) 2002-02-20 2003-11-11 Intel Corporation Etch stop layer for silicon (Si) via etch in three-dimensional (3-D) wafer-to-wafer vertical stack
JP3975395B2 (en) 2002-02-26 2007-09-12 フジノン株式会社 Camera system
WO2003084065A1 (en) 2002-04-03 2003-10-09 Sony Corporation Integrated circuit, integrated circuit device, method for structuring integrated circuit device, and method for manufacturing integrated circuit device
EP1355316B1 (en) 2002-04-18 2007-02-21 Innovative Silicon SA Data storage device and refreshing method for use with such device
EP1357603A3 (en) 2002-04-18 2004-01-14 Innovative Silicon SA Semiconductor device
FR2838866B1 (en) 2002-04-23 2005-06-24 St Microelectronics Sa METHOD FOR MANUFACTURING ELECTRONIC COMPONENTS AND ELECTRONIC PRODUCT INCORPORATING A COMPONENT THUS OBTAINED
DE10223945B4 (en) 2002-05-29 2006-12-21 Advanced Micro Devices, Inc., Sunnyvale Method for improving the production of damascene metal structures
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7193893B2 (en) 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US6992503B2 (en) 2002-07-08 2006-01-31 Viciciv Technology Programmable devices with convertibility to customizable devices
US7312109B2 (en) 2002-07-08 2007-12-25 Viciciv, Inc. Methods for fabricating fuse programmable three dimensional integrated circuits
US7112994B2 (en) 2002-07-08 2006-09-26 Viciciv Technology Three dimensional integrated circuits
US7064579B2 (en) 2002-07-08 2006-06-20 Viciciv Technology Alterable application specific integrated circuit (ASIC)
US20040004251A1 (en) 2002-07-08 2004-01-08 Madurawe Raminda U. Insulated-gate field-effect thin film transistors
US20040007376A1 (en) 2002-07-09 2004-01-15 Eric Urdahl Integrated thermal vias
US7110629B2 (en) 2002-07-22 2006-09-19 Applied Materials, Inc. Optical ready substrates
US7043106B2 (en) 2002-07-22 2006-05-09 Applied Materials, Inc. Optical ready wafers
US7016569B2 (en) 2002-07-31 2006-03-21 Georgia Tech Research Corporation Back-side-of-die, through-wafer guided-wave optical clock distribution networks, method of fabrication thereof, and uses thereof
US7402897B2 (en) 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US20070076509A1 (en) 2002-08-28 2007-04-05 Guobiao Zhang Three-Dimensional Mask-Programmable Read-Only Memory
US7508034B2 (en) 2002-09-25 2009-03-24 Sharp Kabushiki Kaisha Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
US8643162B2 (en) 2007-11-19 2014-02-04 Raminda Udaya Madurawe Pads and pin-outs in three dimensional integrated circuits
JP4297677B2 (en) 2002-10-29 2009-07-15 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
US6777288B1 (en) 2002-11-06 2004-08-17 National Semiconductor Corporation Vertical MOS transistor
US7138685B2 (en) 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell
US6953956B2 (en) 2002-12-18 2005-10-11 Easic Corporation Semiconductor device having borderless logic array and flexible I/O
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
US7307003B2 (en) 2002-12-31 2007-12-11 Massachusetts Institute Of Technology Method of forming a multi-layer semiconductor structure incorporating a processing handle member
US7799675B2 (en) 2003-06-24 2010-09-21 Sang-Yun Lee Bonded semiconductor structure and method of fabricating the same
US20100133695A1 (en) 2003-01-12 2010-06-03 Sang-Yun Lee Electronic circuit with embedded memory
US6938226B2 (en) 2003-01-17 2005-08-30 Infineon Technologies Ag 7-tracks standard cell library
FR2850390B1 (en) 2003-01-24 2006-07-14 Soitec Silicon On Insulator METHOD FOR REMOVING A PERIPHERAL GLUE ZONE WHEN MANUFACTURING A COMPOSITE SUBSTRATE
JP4502173B2 (en) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
TWI235469B (en) 2003-02-07 2005-07-01 Siliconware Precision Industries Co Ltd Thermally enhanced semiconductor package with EMI shielding
US6812504B2 (en) 2003-02-10 2004-11-02 Micron Technology, Inc. TFT-based random access memory cells comprising thyristors
JP4574118B2 (en) 2003-02-12 2010-11-04 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
US7176528B2 (en) 2003-02-18 2007-02-13 Corning Incorporated Glass-based SOI structures
JP4167513B2 (en) 2003-03-06 2008-10-15 シャープ株式会社 Nonvolatile semiconductor memory device
US6917219B2 (en) 2003-03-12 2005-07-12 Xilinx, Inc. Multi-chip programmable logic device having configurable logic circuitry and configuration data storage on different dice
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
JP4509488B2 (en) 2003-04-02 2010-07-21 株式会社Sumco Manufacturing method of bonded substrate
KR100471173B1 (en) 2003-05-15 2005-03-10 삼성전자주식회사 Transistor having multi channel and method of fabricating the same
JP2004342833A (en) 2003-05-15 2004-12-02 Seiko Epson Corp Manufacturing method of semiconductor device, electro-optical device, integrated circuit and electronic apparatus
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7256104B2 (en) 2003-05-21 2007-08-14 Canon Kabushiki Kaisha Substrate manufacturing method and substrate processing apparatus
US7291878B2 (en) 2003-06-03 2007-11-06 Hitachi Global Storage Technologies Netherlands B.V. Ultra low-cost solid-state memory
US7183611B2 (en) 2003-06-03 2007-02-27 Micron Technology, Inc. SRAM constructions, and electronic systems comprising SRAM constructions
CN100483612C (en) 2003-06-04 2009-04-29 刘明哲 Method of fabricating vertical structure compound semiconductor devices
US6943407B2 (en) 2003-06-17 2005-09-13 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
US20050003592A1 (en) 2003-06-18 2005-01-06 Jones A. Brooke All-around MOSFET gate and methods of manufacture thereof
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US20100190334A1 (en) 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
US8471263B2 (en) 2003-06-24 2013-06-25 Sang-Yun Lee Information storage system which includes a bonded semiconductor structure
US8071438B2 (en) 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US7863748B2 (en) 2003-06-24 2011-01-04 Oh Choonsik Semiconductor circuit and method of fabricating the same
US7632738B2 (en) 2003-06-24 2009-12-15 Sang-Yun Lee Wafer bonding method
US7867822B2 (en) 2003-06-24 2011-01-11 Sang-Yun Lee Semiconductor memory device
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7068072B2 (en) 2003-06-30 2006-06-27 Xilinx, Inc. Integrated circuit with interface tile for coupling to a stacked-die second integrated circuit
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
JP2005026413A (en) 2003-07-01 2005-01-27 Renesas Technology Corp Semiconductor wafer, semiconductor device, and its manufacturing method
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
GB2403842A (en) 2003-07-10 2005-01-12 Ocuity Ltd Alignment of elements of a display apparatus
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
JP4356542B2 (en) 2003-08-27 2009-11-04 日本電気株式会社 Semiconductor device
US7115460B2 (en) 2003-09-04 2006-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell back bias architecture
JP4651924B2 (en) 2003-09-18 2011-03-16 シャープ株式会社 Thin film semiconductor device and method for manufacturing thin film semiconductor device
EP3447816A1 (en) * 2003-09-19 2019-02-27 Sony Corporation Display unit, method of manufacturing same, organic light emitting unit, and method of manufacturing same
JP4130163B2 (en) 2003-09-29 2008-08-06 三洋電機株式会社 Semiconductor light emitting device
US6821826B1 (en) 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US20050082526A1 (en) 2003-10-15 2005-04-21 International Business Machines Corporation Techniques for layer transfer processing
JP2005150686A (en) 2003-10-22 2005-06-09 Sharp Corp Semiconductor device and its manufacturing method
US6962843B2 (en) 2003-11-05 2005-11-08 International Business Machines Corporation Method of fabricating a finfet
US7098502B2 (en) 2003-11-10 2006-08-29 Freescale Semiconductor, Inc. Transistor having three electrically isolated electrodes and method of formation
US7304327B1 (en) 2003-11-12 2007-12-04 T-Ram Semiconductor, Inc. Thyristor circuit and approach for temperature stability
US6967149B2 (en) 2003-11-20 2005-11-22 Hewlett-Packard Development Company, L.P. Storage structure with cleaved layer
US7019557B2 (en) 2003-12-24 2006-03-28 Viciciv Technology Look-up table based logic macro-cells
US7030651B2 (en) 2003-12-04 2006-04-18 Viciciv Technology Programmable structured arrays
KR20050054788A (en) 2003-12-06 2005-06-10 삼성전자주식회사 Fabrication method of poly-crystalline si thin film and transistor thereby
FR2863771B1 (en) 2003-12-10 2007-03-02 Soitec Silicon On Insulator PROCESS FOR PROCESSING A MULTILAYER WAFER HAVING A DIFFERENTIAL OF THERMAL CHARACTERISTICS
FR2864336B1 (en) 2003-12-23 2006-04-28 Commissariat Energie Atomique METHOD FOR SEALING TWO PLATES WITH FORMATION OF AN OHMIC CONTACT BETWEEN THEM
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
DE102004004765A1 (en) 2004-01-29 2005-09-01 Rwe Space Solar Power Gmbh Active Zones Semiconductor Structure
US7030554B2 (en) 2004-02-06 2006-04-18 Eastman Kodak Company Full-color organic display having improved blue emission
US7112815B2 (en) 2004-02-25 2006-09-26 Micron Technology, Inc. Multi-layer memory arrays
US6995456B2 (en) 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
DE102004063926B4 (en) 2004-03-24 2017-10-19 Infineon Technologies Ag Configurable driver cell of a logical cell field
US7180238B2 (en) 2004-04-08 2007-02-20 Eastman Kodak Company Oled microcavity subpixels and color filter elements
US7180379B1 (en) 2004-05-03 2007-02-20 National Semiconductor Corporation Laser powered clock circuit with a substantially reduced clock skew
KR101429098B1 (en) 2004-06-04 2014-09-22 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 Methods and devices for fabricating and assembling printable semiconductor elements
EP1775768A1 (en) 2004-06-04 2007-04-18 ZyCube Co., Ltd. Semiconductor device having three-dimensional stack structure and method for manufacturing the same
DE102004027489B4 (en) 2004-06-04 2017-03-02 Infineon Technologies Ag A method of arranging chips of a first substrate on a second substrate
US7337425B2 (en) 2004-06-04 2008-02-26 Ami Semiconductor, Inc. Structured ASIC device with configurable die size and selectable embedded functions
JP4814498B2 (en) 2004-06-18 2011-11-16 シャープ株式会社 Manufacturing method of semiconductor substrate
US7378702B2 (en) 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
US7098507B2 (en) 2004-06-30 2006-08-29 Intel Corporation Floating-body dynamic random access memory and method of fabrication in tri-gate technology
US7091069B2 (en) 2004-06-30 2006-08-15 International Business Machines Corporation Ultra thin body fully-depleted SOI MOSFETs
US7271420B2 (en) 2004-07-07 2007-09-18 Cao Group, Inc. Monolitholic LED chip to emit multiple colors
US7223612B2 (en) 2004-07-26 2007-05-29 Infineon Technologies Ag Alignment of MTJ stack to conductive lines in the absence of topography
US7098691B2 (en) 2004-07-27 2006-08-29 Easic Corporation Structured integrated circuit device
US7463062B2 (en) 2004-07-27 2008-12-09 Easic Corporation Structured integrated circuit device
DE102004037089A1 (en) 2004-07-30 2006-03-16 Advanced Micro Devices, Inc., Sunnyvale A technique for making a passivation layer prior to depositing a barrier layer in a copper metallization layer
KR100555567B1 (en) 2004-07-30 2006-03-03 삼성전자주식회사 Method for manufacturing multibridge-channel MOSFET
US7442624B2 (en) 2004-08-02 2008-10-28 Infineon Technologies Ag Deep alignment marks on edge chips for subsequent alignment of opaque layers
US9136504B2 (en) 2004-08-04 2015-09-15 Cambridge Display Technology Limited Organic electroluminescent device
US7312487B2 (en) 2004-08-16 2007-12-25 International Business Machines Corporation Three dimensional integrated circuit
KR101282834B1 (en) 2004-08-18 2013-07-08 시바 홀딩 인크 Oxime ester photoinitiators
TW200610059A (en) 2004-09-01 2006-03-16 Au Optronics Corp Semiconductor device and method of fabricating an LTPS layer
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
JP2006073939A (en) 2004-09-06 2006-03-16 Toshiba Corp Nonvolatile semiconductor memory and manufacturing method thereof
US7566974B2 (en) 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
US7459772B2 (en) 2004-09-29 2008-12-02 Actel Corporation Face-to-face bonded I/O circuit die and functional logic circuit die system
US20060067122A1 (en) 2004-09-29 2006-03-30 Martin Verhoeven Charge-trapping memory cell
KR100604903B1 (en) 2004-09-30 2006-07-28 삼성전자주식회사 Semiconductor wafer with improved step coverage and fabrication method of the same
US7268049B2 (en) 2004-09-30 2007-09-11 International Business Machines Corporation Structure and method for manufacturing MOSFET with super-steep retrograded island
US7284226B1 (en) 2004-10-01 2007-10-16 Xilinx, Inc. Methods and structures of providing modular integrated circuits
JP4467398B2 (en) 2004-10-05 2010-05-26 新光電気工業株式会社 Automatic wiring determination device
FR2876841B1 (en) 2004-10-19 2007-04-13 Commissariat Energie Atomique PROCESS FOR PRODUCING MULTILAYERS ON A SUBSTRATE
US7476939B2 (en) 2004-11-04 2009-01-13 Innovative Silicon Isi Sa Memory cell having an electrically floating body transistor and programming technique therefor
US7342415B2 (en) 2004-11-08 2008-03-11 Tabula, Inc. Configurable IC with interconnect circuits that also perform storage operations
EP2381476B1 (en) 2004-11-11 2017-08-23 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of a semiconductor device
KR100684875B1 (en) 2004-11-24 2007-02-20 삼성전자주식회사 Semiconductor Device And Method Of Fabricating The Same
KR20060058573A (en) 2004-11-25 2006-05-30 한국전자통신연구원 Cmos image sensor
US20090234331A1 (en) 2004-11-29 2009-09-17 Koninklijke Philips Electronics, N.V. Electronically controlled pill and system having at least one sensor for delivering at least one medicament
WO2006065698A2 (en) 2004-12-13 2006-06-22 William Kenneth Waller Sense amplifier circuitry and architecture to write data into and/or read data from memory cells
US7301803B2 (en) 2004-12-22 2007-11-27 Innovative Silicon S.A. Bipolar reading technique for a memory cell having an electrically floating body transistor
US7129748B1 (en) 2004-12-29 2006-10-31 Actel Corporation Non-volatile look-up table for an FPGA
US7750669B2 (en) 2005-01-06 2010-07-06 Justin Martin Spangaro Reprogrammable integrated circuit
US8125137B2 (en) 2005-01-10 2012-02-28 Cree, Inc. Multi-chip light emitting device lamps for providing high-CRI warm white light and light fixtures including the same
US7545179B2 (en) 2005-01-21 2009-06-09 Novatrans Group Sa Electronic device and method and performing logic functions
WO2006079865A1 (en) 2005-01-27 2006-08-03 Infineon Technologies Ag Semiconductor package and method of assembling the same
JP2006210828A (en) 2005-01-31 2006-08-10 Fujitsu Ltd Semiconductor device and method for manufacturing the same
US7217636B1 (en) 2005-02-09 2007-05-15 Translucent Inc. Semiconductor-on-insulator silicon wafer
US7374964B2 (en) 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
KR100761755B1 (en) 2005-02-28 2007-09-28 삼성전자주식회사 Semiconductor memory device capable of controlling an input/output bit organization
US7435659B2 (en) 2005-02-28 2008-10-14 Texas Instruments Incorporated Method for manufacturing a semiconductor device having an alignment feature formed using an N-type dopant and a wet oxidation process
KR100704784B1 (en) 2005-03-07 2007-04-10 삼성전자주식회사 Stacked semiconductor device and method of fabricating the same
US7406761B2 (en) 2005-03-21 2008-08-05 Honeywell International Inc. Method of manufacturing vibrating micromechanical structures
KR100702012B1 (en) 2005-03-22 2007-03-30 삼성전자주식회사 Srams having buried layer patterns and methods of forming the same
US8367524B2 (en) 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
US20110143506A1 (en) 2009-12-10 2011-06-16 Sang-Yun Lee Method for fabricating a semiconductor memory device
US20110001172A1 (en) 2005-03-29 2011-01-06 Sang-Yun Lee Three-dimensional integrated circuit structure
ATE545959T1 (en) 2005-04-04 2012-03-15 Tohoku Techno Arch Co Ltd METHOD FOR GROWING A GAN SINGLE CRYSTAL, METHOD FOR PRODUCING A GAN SUBSTRATE, METHOD FOR PRODUCING A GAN-BASED ELEMENT AND GAN-BASED ELEMENT
US7687372B2 (en) 2005-04-08 2010-03-30 Versatilis Llc System and method for manufacturing thick and thin film devices using a donee layer cleaved from a crystalline donor
KR100684894B1 (en) 2005-04-18 2007-02-20 삼성전자주식회사 Method of forming a semiconductor device having stacked transisters
KR100680291B1 (en) 2005-04-22 2007-02-07 한국과학기술원 Non-volatile memory having H-channel double-gate and method of manufacturing thereof and method of operating for multi-bits cell operation
US20060249859A1 (en) 2005-05-05 2006-11-09 Eiles Travis M Metrology system and method for stacked wafer alignment
WO2006134942A1 (en) 2005-06-14 2006-12-21 Mitsumi Electric Co., Ltd. Field effect transistor, biosensor provided with it, and detecting method
US7521806B2 (en) 2005-06-14 2009-04-21 John Trezza Chip spanning connection
US8148713B2 (en) 2008-04-04 2012-04-03 The Regents Of The University Of California Method for fabrication of semipolar (Al, In, Ga, B)N based light emitting diodes
JP4507101B2 (en) 2005-06-30 2010-07-21 エルピーダメモリ株式会社 Semiconductor memory device and manufacturing method thereof
CN100454534C (en) 2005-07-04 2009-01-21 崇贸科技股份有限公司 Single-segment and multi-segment triggering type voltage-adjustable static-electricity discharging protection semiconductor structure
US7471855B2 (en) 2005-07-13 2008-12-30 Alcatel-Lucent Usa Inc. Monlithically coupled waveguide and phototransistor
US20090268983A1 (en) 2005-07-25 2009-10-29 The Regents Of The University Of California Digital imaging system and method using multiple digital image sensors to produce large high-resolution gapless mosaic images
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7526739B2 (en) 2005-07-26 2009-04-28 R3 Logic, Inc. Methods and systems for computer aided design of 3D integrated circuits
US7674687B2 (en) 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US20090224330A1 (en) 2005-07-28 2009-09-10 Hong Chang Min Semiconductor Memory Device and Method for Arranging and Manufacturing the Same
US7978561B2 (en) 2005-07-28 2011-07-12 Samsung Electronics Co., Ltd. Semiconductor memory devices having vertically-stacked transistors therein
US7612411B2 (en) 2005-08-03 2009-11-03 Walker Andrew J Dual-gate device and method
US8138502B2 (en) 2005-08-05 2012-03-20 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and manufacturing method thereof
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7566855B2 (en) 2005-08-25 2009-07-28 Richard Ian Olsen Digital camera with integrated infrared (IR) response
CN101258580B (en) 2005-09-05 2010-05-19 夏普株式会社 Semiconductor device, method for manufacturing same, and display
US7355916B2 (en) 2005-09-19 2008-04-08 Innovative Silicon S.A. Method and circuitry to generate a reference current for reading a memory cell, and device implementing same
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7265059B2 (en) 2005-09-30 2007-09-04 Freescale Semiconductor, Inc. Multiple fin formation
US7737003B2 (en) 2005-10-11 2010-06-15 International Business Machines Corporation Method and structure for optimizing yield of 3-D chip manufacture
US7439773B2 (en) 2005-10-11 2008-10-21 Casic Corporation Integrated circuit communication techniques
US7296201B2 (en) 2005-10-29 2007-11-13 Dafca, Inc. Method to locate logic errors and defects in digital circuits
WO2007053686A2 (en) 2005-11-01 2007-05-10 Massachusetts Institute Of Technology Monolithically integrated semiconductor materials and devices
US7786460B2 (en) 2005-11-15 2010-08-31 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US20070109831A1 (en) 2005-11-15 2007-05-17 Siva Raghuram Semiconductor product and method for forming a semiconductor product
JP4783381B2 (en) 2005-11-24 2011-09-28 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7688619B2 (en) 2005-11-28 2010-03-30 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
DE602006019788D1 (en) 2005-12-01 2011-03-03 Basf Se Oxime ester photoinitiators
US7209384B1 (en) 2005-12-08 2007-04-24 Juhan Kim Planar capacitor memory cell and its applications
US20070132049A1 (en) 2005-12-12 2007-06-14 Stipe Barry C Unipolar resistance random access memory (RRAM) device and vertically stacked architecture
EP1960233B1 (en) 2005-12-16 2010-11-24 Mentor Graphics Corporation Flattening a three-dimensional wire harness representation to two dimensions
KR100668350B1 (en) 2005-12-20 2007-01-12 삼성전자주식회사 Nand type multi-bit non-volatile memory device and method of fabricating the same
KR100755368B1 (en) 2006-01-10 2007-09-04 삼성전자주식회사 Methods of manufacturing a semiconductor device having a three dimesional structure and semiconductor devices fabricated thereby
WO2007083570A1 (en) 2006-01-16 2007-07-26 Matsushita Electric Industrial Co., Ltd. Method for producing semiconductor chip, and field effect transistor and method for manufacturing same
US7671460B2 (en) 2006-01-25 2010-03-02 Teledyne Licensing, Llc Buried via technology for three dimensional integrated circuits
KR100699807B1 (en) 2006-01-26 2007-03-28 삼성전자주식회사 Stack chip and stack chip package comprising the same
KR100796642B1 (en) 2006-01-27 2008-01-22 삼성전자주식회사 Highly Integrated Semiconductor Device And Method Of Fabricating The Same
US20070194453A1 (en) 2006-01-27 2007-08-23 Kanad Chakraborty Integrated circuit architecture for reducing interconnect parasitics
US7354809B2 (en) 2006-02-13 2008-04-08 Wisconsin Alumi Research Foundation Method for double-sided processing of thin film transistors
US7542345B2 (en) 2006-02-16 2009-06-02 Innovative Silicon Isi Sa Multi-bit memory cell having electrically floating body transistor, and method of programming and reading same
US7362608B2 (en) 2006-03-02 2008-04-22 Infineon Technologies Ag Phase change memory fabricated using self-aligned processing
US7514780B2 (en) 2006-03-15 2009-04-07 Hitachi, Ltd. Power semiconductor device
US7378309B2 (en) 2006-03-15 2008-05-27 Sharp Laboratories Of America, Inc. Method of fabricating local interconnects on a silicon-germanium 3D CMOS
US7419844B2 (en) 2006-03-17 2008-09-02 Sharp Laboratories Of America, Inc. Real-time CMOS imager having stacked photodiodes fabricated on SOI wafer
JP5016832B2 (en) 2006-03-27 2012-09-05 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
JP4451488B2 (en) 2006-03-28 2010-04-14 シャープ株式会社 Semiconductor element transfer method and semiconductor device manufacturing method
US7408798B2 (en) 2006-03-31 2008-08-05 International Business Machines Corporation 3-dimensional integrated circuit architecture, structure and method for fabrication thereof
US7684224B2 (en) 2006-03-31 2010-03-23 International Business Machines Corporation Structure comprising 3-dimensional integrated circuit architecture, circuit structure, and instructions for fabrication thereof
US7492632B2 (en) 2006-04-07 2009-02-17 Innovative Silicon Isi Sa Memory array having a programmable word length, and method of operating same
US7285480B1 (en) 2006-04-07 2007-10-23 International Business Machines Corporation Integrated circuit chip with FETs having mixed body thicknesses and method of manufacture thereof
US7608848B2 (en) 2006-05-09 2009-10-27 Macronix International Co., Ltd. Bridge resistance random access memory device with a singular contact structure
US20090321830A1 (en) 2006-05-15 2009-12-31 Carnegie Mellon University Integrated circuit device, system, and method of fabrication
US7670927B2 (en) 2006-05-16 2010-03-02 International Business Machines Corporation Double-sided integrated circuit chips
US7499352B2 (en) 2006-05-19 2009-03-03 Innovative Silicon Isi Sa Integrated circuit having memory array including row redundancy, and method of programming, controlling and/or operating same
JP4134199B2 (en) 2006-05-25 2008-08-13 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
JP5010192B2 (en) 2006-06-22 2012-08-29 株式会社東芝 Nonvolatile semiconductor memory device
US7385283B2 (en) 2006-06-27 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
KR100919433B1 (en) 2006-06-29 2009-09-29 삼성전자주식회사 Non volatile memory device and method for fabricating the same
DE102006030267B4 (en) 2006-06-30 2009-04-16 Advanced Micro Devices, Inc., Sunnyvale Nano embossing technique with increased flexibility in terms of adjustment and shaping of structural elements
US7972786B2 (en) 2006-07-07 2011-07-05 Brandeis University Detection and analysis of influenza virus
FR2904143A1 (en) 2006-07-24 2008-01-25 St Microelectronics Sa IMAGE SENSOR FLASHING FROM THE REAR SIDE AT UNIFORM SUBSTRATE TEMPERATURE
US7511536B2 (en) 2006-08-03 2009-03-31 Chipx, Inc. Cells of a customizable logic array device having independently accessible circuit elements
KR100810614B1 (en) 2006-08-23 2008-03-06 삼성전자주식회사 Semiconductor memory device having DRAM cell mode and non-volatile memory cell mode and operation method thereof
US20080054359A1 (en) 2006-08-31 2008-03-06 International Business Machines Corporation Three-dimensional semiconductor structure and method for fabrication thereof
KR100895853B1 (en) 2006-09-14 2009-05-06 삼성전자주식회사 Stacked memory and method for forming the same
US20080070340A1 (en) 2006-09-14 2008-03-20 Nicholas Francis Borrelli Image sensor using thin-film SOI
US20080072182A1 (en) 2006-09-19 2008-03-20 The Regents Of The University Of California Structured and parameterized model order reduction
CN103956336B (en) 2006-09-20 2019-08-16 伊利诺伊大学评议会 For manufacturing transferable semiconductor structures, device and the release strategies of device components
JP2008078404A (en) 2006-09-21 2008-04-03 Toshiba Corp Semiconductor memory and manufacturing method thereof
KR100826979B1 (en) 2006-09-30 2008-05-02 주식회사 하이닉스반도체 Stack package and method for fabricating the same
US7478359B1 (en) 2006-10-02 2009-01-13 Xilinx, Inc. Formation of columnar application specific circuitry using a columnar programmable logic device
US7949210B2 (en) 2006-10-09 2011-05-24 Colorado School Of Mines Silicon-compatible surface plasmon optical elements
JP5100080B2 (en) 2006-10-17 2012-12-19 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
KR100815225B1 (en) 2006-10-23 2008-03-19 삼성전기주식회사 Vertically structured light emitting diode device and method of manufacturing the same
US7388771B2 (en) 2006-10-24 2008-06-17 Macronix International Co., Ltd. Methods of operating a bistable resistance random access memory with multiple memory layers and multilevel memory states
US7781247B2 (en) 2006-10-26 2010-08-24 SemiLEDs Optoelectronics Co., Ltd. Method for producing Group III-Group V vertical light-emitting diodes
WO2008140585A1 (en) 2006-11-22 2008-11-20 Nexgen Semi Holding, Inc. Apparatus and method for conformal mask manufacturing
US7879711B2 (en) 2006-11-28 2011-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US7760548B2 (en) 2006-11-29 2010-07-20 Yuniarto Widjaja Semiconductor memory having both volatile and non-volatile functionality and method of operating
JP2008140912A (en) 2006-11-30 2008-06-19 Toshiba Corp Nonvolatile semiconductor memory device
US7928471B2 (en) 2006-12-04 2011-04-19 The United States Of America As Represented By The Secretary Of The Navy Group III-nitride growth on silicon or silicon germanium substrates and method and devices therefor
US7697316B2 (en) 2006-12-07 2010-04-13 Macronix International Co., Ltd. Multi-level cell resistance random access memory with metal oxides
US7864568B2 (en) 2006-12-07 2011-01-04 Renesas Electronics Corporation Semiconductor storage device
US20080135949A1 (en) 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
TWI533351B (en) 2006-12-11 2016-05-11 美國加利福尼亞大學董事會 Metalorganic chemical vapor deposition (mocvd) growth of high performance non-polar iii-nitride optical devices
KR100801707B1 (en) 2006-12-13 2008-02-11 삼성전자주식회사 Floating-body memory and method of fabricating the same
US8124429B2 (en) 2006-12-15 2012-02-28 Richard Norman Reprogrammable circuit board with alignment-insensitive support for multiple component contact types
EP2122687A1 (en) 2006-12-15 2009-11-25 Nxp B.V. Transistor device and method of manufacturing such a transistor device
US7932586B2 (en) 2006-12-18 2011-04-26 Mediatek Inc. Leadframe on heat sink (LOHS) semiconductor packages and fabrication methods thereof
JP2008159608A (en) 2006-12-20 2008-07-10 Fujitsu Ltd Semiconductor device, method of manufacturing the same and device of designing the same
EP2814037B1 (en) 2006-12-22 2016-10-26 Sidense Corp. Power up test system for a memory device
KR100860466B1 (en) 2006-12-27 2008-09-25 동부일렉트로닉스 주식회사 CMOS Image Sensor and Method for Manufacturing thereof
KR100829616B1 (en) 2006-12-27 2008-05-14 삼성전자주식회사 Method for forming channel silicon layer and method for manufacturing stacked semiconductor device using the same
JP4945248B2 (en) 2007-01-05 2012-06-06 株式会社東芝 Memory system, semiconductor memory device and driving method thereof
US20080165521A1 (en) 2007-01-09 2008-07-10 Kerry Bernstein Three-dimensional architecture for self-checking and self-repairing integrated circuits
JP5091491B2 (en) 2007-01-23 2012-12-05 株式会社東芝 Nonvolatile semiconductor memory device
JP2008182058A (en) 2007-01-25 2008-08-07 Nec Electronics Corp Semiconductor device and semiconductor device forming method
US7485508B2 (en) 2007-01-26 2009-02-03 International Business Machines Corporation Two-sided semiconductor-on-insulator structures and methods of manufacturing the same
KR100891963B1 (en) 2007-02-02 2009-04-08 삼성전자주식회사 One transistor dram device and method of forming the same
KR20080075405A (en) 2007-02-12 2008-08-18 삼성전자주식회사 Nonvolatible memory transistor having poly silicon fin, stacked nonvolatible memory device having the transistor, method of fabricating the transistor, and method of fabricating the device
US20080194068A1 (en) 2007-02-13 2008-08-14 Qimonda Ag Method of manufacturing a 3-d channel field-effect transistor and an integrated circuit
US7666723B2 (en) 2007-02-22 2010-02-23 International Business Machines Corporation Methods of forming wiring to transistor and related transistor
KR100825808B1 (en) 2007-02-26 2008-04-29 삼성전자주식회사 Image sensor having backside illumination structure and method of the same image sensor
KR20080080833A (en) 2007-03-02 2008-09-05 삼성전자주식회사 Methods of fabricating semiconductor wafer
US7774735B1 (en) 2007-03-07 2010-08-10 Cadence Design Systems, Inc Integrated circuit netlist migration
US20080220558A1 (en) 2007-03-08 2008-09-11 Integrated Photovoltaics, Inc. Plasma spraying for semiconductor grade silicon
US7494846B2 (en) 2007-03-09 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Design techniques for stacking identical memory dies
US8339844B2 (en) 2007-03-13 2012-12-25 Easic Corporation Programmable vias for structured ASICs
US7848145B2 (en) 2007-03-27 2010-12-07 Sandisk 3D Llc Three dimensional NAND memory
US7575973B2 (en) 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
JP2008251059A (en) 2007-03-29 2008-10-16 Toshiba Corp Nonvolatile semiconductor memory device and its data erasing method
WO2008128164A1 (en) 2007-04-12 2008-10-23 The Penn State Research Foundation Accumulation field effect microelectronic device and process for the formation thereof
US7732301B1 (en) 2007-04-20 2010-06-08 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
US7512012B2 (en) 2007-04-30 2009-03-31 Macronix International Co., Ltd. Non-volatile memory and manufacturing method and operating method thereof and circuit system including the non-volatile memory
US7651939B2 (en) 2007-05-01 2010-01-26 Freescale Semiconductor, Inc Method of blocking a void during contact formation
ITMI20070933A1 (en) 2007-05-08 2008-11-09 St Microelectronics Srl MULTI PIASTRINA ELECTRONIC SYSTEM
US20080277778A1 (en) 2007-05-10 2008-11-13 Furman Bruce K Layer Transfer Process and Functionally Enhanced Integrated Circuits Products Thereby
KR100886429B1 (en) 2007-05-14 2009-03-02 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US7795669B2 (en) 2007-05-30 2010-09-14 Infineon Technologies Ag Contact structure for FinFET device
TW200913238A (en) 2007-06-04 2009-03-16 Sony Corp Optical member, solid state imaging apparatus, and manufacturing method
US7781306B2 (en) 2007-06-20 2010-08-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor substrate and method for manufacturing the same
US7585716B2 (en) 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
US8431451B2 (en) 2007-06-29 2013-04-30 Semicondutor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
US7724990B2 (en) 2007-07-12 2010-05-25 Aidi Corporation Fiber array unit with integrated optical power monitor
US20090026618A1 (en) 2007-07-25 2009-01-29 Samsung Electronics Co., Ltd. Semiconductor device including interlayer interconnecting structures and methods of forming the same
KR101258268B1 (en) 2007-07-26 2013-04-25 삼성전자주식회사 NAND-type resistive memory cell strings of a non-volatile memory device and methods of fabricating the same
KR100881825B1 (en) 2007-07-27 2009-02-03 주식회사 하이닉스반도체 Semiconductor device and method for fabricating the same
JP2009038072A (en) 2007-07-31 2009-02-19 Nec Electronics Corp Semiconductor integrated circuit, and development method thereof
US7902069B2 (en) 2007-08-02 2011-03-08 International Business Machines Corporation Small area, robust silicon via structure and process
WO2009022457A1 (en) 2007-08-10 2009-02-19 Nikon Corporation Substrate bonding apparatus and substrate bonding method
US8035223B2 (en) 2007-08-28 2011-10-11 Research Triangle Institute Structure and process for electrical interconnect and thermal management
JP2009065161A (en) 2007-09-07 2009-03-26 Dongbu Hitek Co Ltd Image sensor, and manufacturing method thereof
US8136071B2 (en) 2007-09-12 2012-03-13 Neal Solomon Three dimensional integrated circuits and methods of fabrication
US7692448B2 (en) 2007-09-12 2010-04-06 Neal Solomon Reprogrammable three dimensional field programmable gate arrays
US7772880B2 (en) 2007-09-12 2010-08-10 Neal Solomon Reprogrammable three dimensional intelligent system on a chip
US8042082B2 (en) 2007-09-12 2011-10-18 Neal Solomon Three dimensional memory in a system on a chip
US7667293B2 (en) 2007-09-13 2010-02-23 Macronix International Co., Ltd. Resistive random access memory and method for manufacturing the same
US7876597B2 (en) 2007-09-19 2011-01-25 Micron Technology, Inc. NAND-structured series variable-resistance material memories, processes of forming same, and methods of using same
US7982250B2 (en) 2007-09-21 2011-07-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8044464B2 (en) 2007-09-21 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US8022493B2 (en) 2007-09-27 2011-09-20 Dongbu Hitek Co., Ltd. Image sensor and manufacturing method thereof
JP2009094236A (en) 2007-10-05 2009-04-30 Toshiba Corp Nonvolatile semiconductor storage device
JP5244364B2 (en) 2007-10-16 2013-07-24 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
US20090096009A1 (en) 2007-10-16 2009-04-16 Promos Technologies Pte. Ltd. Nonvolatile memories which combine a dielectric, charge-trapping layer with a floating gate
KR101320518B1 (en) 2007-10-24 2013-12-19 삼성전자주식회사 Integrated circuit semiconductor device having stacked level transistors portion and fabrication method thereof
US20090128189A1 (en) 2007-11-19 2009-05-21 Raminda Udaya Madurawe Three dimensional programmable devices
JP5469851B2 (en) 2007-11-27 2014-04-16 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US8130547B2 (en) 2007-11-29 2012-03-06 Zeno Semiconductor, Inc. Method of maintaining the state of semiconductor memory having electrically floating body transistor
US20090144678A1 (en) 2007-11-30 2009-06-04 International Business Machines Corporation Method and on-chip control apparatus for enhancing process reliability and process variability through 3d integration
US20090144669A1 (en) 2007-11-29 2009-06-04 International Business Machines Corporation Method and arrangement for enhancing process variability and lifetime reliability through 3d integration
US8679861B2 (en) 2007-11-29 2014-03-25 International Business Machines Corporation Semiconductor chip repair by stacking of a base semiconductor chip and a repair semiconductor chip
US7993940B2 (en) 2007-12-05 2011-08-09 Luminus Devices, Inc. Component attach methods and related device structures
US8185685B2 (en) 2007-12-14 2012-05-22 Hitachi Global Storage Technologies Netherlands B.V. NAND flash module replacement for DRAM module
US8101447B2 (en) 2007-12-20 2012-01-24 Tekcore Co., Ltd. Light emitting diode element and method for fabricating the same
US7919845B2 (en) 2007-12-20 2011-04-05 Xilinx, Inc. Formation of a hybrid integrated circuit device
KR100909562B1 (en) 2007-12-21 2009-07-27 주식회사 동부하이텍 Semiconductor device and manufacturing method
US8120958B2 (en) 2007-12-24 2012-02-21 Qimonda Ag Multi-die memory, apparatus and multi-die memory stack
KR100883026B1 (en) 2007-12-27 2009-02-12 주식회사 동부하이텍 Method for manufacturing an image sensor
KR100855407B1 (en) 2007-12-27 2008-08-29 주식회사 동부하이텍 Image sensor and method for manufacturing thereof
US20090174018A1 (en) 2008-01-09 2009-07-09 Micron Technology, Inc. Construction methods for backside illuminated image sensors
US7786535B2 (en) 2008-01-11 2010-08-31 International Business Machines Corporation Design structures for high-voltage integrated circuits
US7790524B2 (en) 2008-01-11 2010-09-07 International Business Machines Corporation Device and design structures for memory cells in a non-volatile random access memory and methods of fabricating such device structures
KR101373183B1 (en) 2008-01-15 2014-03-14 삼성전자주식회사 Semiconductor memory device with three-dimensional array structure and repair method thereof
US8191021B2 (en) 2008-01-28 2012-05-29 Actel Corporation Single event transient mitigation and measurement in integrated circuits
US20090194829A1 (en) 2008-01-31 2009-08-06 Shine Chung MEMS Packaging Including Integrated Circuit Dies
US20090194152A1 (en) 2008-02-04 2009-08-06 National Taiwan University Thin-film solar cell having hetero-junction of semiconductor and method for fabricating the same
US7777330B2 (en) 2008-02-05 2010-08-17 Freescale Semiconductor, Inc. High bandwidth cache-to-processing unit communication in a multiple processor/cache system
US8014195B2 (en) 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US20090211622A1 (en) 2008-02-21 2009-08-27 Sunlight Photonics Inc. Multi-layered electro-optic devices
US7749813B2 (en) 2008-02-27 2010-07-06 Lumination Llc Circuit board for direct flip chip attachment
US20090218627A1 (en) 2008-02-28 2009-09-03 International Business Machines Corporation Field effect device structure including self-aligned spacer shaped contact
JP2009224612A (en) 2008-03-17 2009-10-01 Toshiba Corp Nonvolatile semiconductor memory device and production method thereof
US8507320B2 (en) 2008-03-18 2013-08-13 Infineon Technologies Ag Electronic device including a carrier and a semiconductor chip attached to the carrier and manufacturing thereof
JP2009238874A (en) 2008-03-26 2009-10-15 Toshiba Corp Semiconductor memory and method for manufacturing the same
US8068370B2 (en) 2008-04-18 2011-11-29 Macronix International Co., Ltd. Floating gate memory device with interpoly charge trapping structure
US7939389B2 (en) 2008-04-18 2011-05-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US7692959B2 (en) 2008-04-22 2010-04-06 International Business Machines Corporation Multilayer storage class memory using externally heated phase change material
JP2009266944A (en) 2008-04-23 2009-11-12 Toshiba Corp Three-dimensional stacked nonvolatile semiconductor memory
US7732803B2 (en) 2008-05-01 2010-06-08 Bridgelux, Inc. Light emitting device having stacked multiple LEDS
US7749884B2 (en) 2008-05-06 2010-07-06 Astrowatt, Inc. Method of forming an electronic device using a separation-enhancing species
FR2932005B1 (en) 2008-06-02 2011-04-01 Commissariat Energie Atomique INTEGRATED TRANSISTOR CIRCUIT IN THREE DIMENSIONS HAVING DYNAMICALLY ADJUSTABLE VT THRESHOLD VOLTAGE
FR2932003B1 (en) 2008-06-02 2011-03-25 Commissariat Energie Atomique SRAM MEMORY CELL WITH INTEGRATED TRANSISTOR ON SEVERAL LEVELS AND WHOSE VT THRESHOLD VOLTAGE IS ADJUSTABLE DYNAMICALLY
JP2009295694A (en) 2008-06-03 2009-12-17 Toshiba Corp Non-volatile semiconductor storage device and manufacturing method thereof
KR101094902B1 (en) 2008-06-05 2011-12-15 주식회사 하이닉스반도체 Multi Bit Phase Change Random Access Memory Device
US8716805B2 (en) 2008-06-10 2014-05-06 Toshiba America Research, Inc. CMOS integrated circuits with bonded layers containing functional electronic devices
US7915667B2 (en) 2008-06-11 2011-03-29 Qimonda Ag Integrated circuits having a contact region and methods for manufacturing the same
KR101480286B1 (en) 2008-06-20 2015-01-09 삼성전자주식회사 Highly integrated semiconductor device and method for manufacturing the same
JP2010010215A (en) 2008-06-24 2010-01-14 Oki Semiconductor Co Ltd Method of manufacturing semiconductor device
US8334170B2 (en) 2008-06-27 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for stacking devices
US8105853B2 (en) 2008-06-27 2012-01-31 Bridgelux, Inc. Surface-textured encapsulations for use with light emitting diodes
US7868442B2 (en) 2008-06-30 2011-01-11 Headway Technologies, Inc. Layered chip package and method of manufacturing same
CN101621008A (en) 2008-07-03 2010-01-06 中芯国际集成电路制造(上海)有限公司 TFT floating gate memory cell structure
US7772096B2 (en) 2008-07-10 2010-08-10 International Machines Corporation Formation of SOI by oxidation of silicon with engineered porosity gradient
JP2010027870A (en) 2008-07-18 2010-02-04 Toshiba Corp Semiconductor memory and manufacturing method thereof
US8044448B2 (en) 2008-07-25 2011-10-25 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8006212B2 (en) 2008-07-30 2011-08-23 Synopsys, Inc. Method and system for facilitating floorplanning for 3D IC
US7719876B2 (en) 2008-07-31 2010-05-18 Unity Semiconductor Corporation Preservation circuit and methods to maintain values representing data in one or more layers of memory
US8039314B2 (en) 2008-08-04 2011-10-18 International Business Machines Corporation Metal adhesion by induced surface roughness
US8125006B2 (en) 2008-08-08 2012-02-28 Qimonda Ag Array of low resistive vertical diodes and method of production
JP5279403B2 (en) 2008-08-18 2013-09-04 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8129256B2 (en) 2008-08-19 2012-03-06 International Business Machines Corporation 3D integrated circuit device fabrication with precisely controllable substrate removal
JP5161702B2 (en) 2008-08-25 2013-03-13 キヤノン株式会社 Imaging apparatus, imaging system, and focus detection method
DE102008044986A1 (en) 2008-08-29 2010-03-04 Advanced Micro Devices, Inc., Sunnyvale A 3-D integrated circuit device with an internal heat distribution function
EP2161755A1 (en) 2008-09-05 2010-03-10 University College Cork-National University of Ireland, Cork Junctionless Metal-Oxide-Semiconductor Transistor
US8014166B2 (en) 2008-09-06 2011-09-06 Broadpak Corporation Stacking integrated circuits containing serializer and deserializer blocks using through silicon via
US7943515B2 (en) 2008-09-09 2011-05-17 Sandisk 3D Llc Shared masks for x-lines and shared masks for y-lines for fabrication of 3D memory arrays
US7923350B2 (en) 2008-09-09 2011-04-12 Infineon Technologies Ag Method of manufacturing a semiconductor device including etching to etch stop regions
US8106520B2 (en) 2008-09-11 2012-01-31 Micron Technology, Inc. Signal delivery in stacked device
US8230375B2 (en) 2008-09-14 2012-07-24 Raminda Udaya Madurawe Automated metal pattern generation for integrated circuits
KR101548173B1 (en) 2008-09-18 2015-08-31 삼성전자주식회사 Wafer temporary bonding method using Si direct bondingSDB and semiconductor device and fabricating method thereof using the same bonding method
US9064717B2 (en) 2008-09-26 2015-06-23 International Business Machines Corporation Lock and key through-via method for wafer level 3D integration and structures produced thereby
US7855455B2 (en) 2008-09-26 2010-12-21 International Business Machines Corporation Lock and key through-via method for wafer level 3 D integration and structures produced
TWI394506B (en) 2008-10-13 2013-04-21 Unimicron Technology Corp Multilayer three-dimensional circuit structure and manufacturing method thereof
JP2010098067A (en) 2008-10-15 2010-04-30 Toshiba Corp Semiconductor device
US8030780B2 (en) 2008-10-16 2011-10-04 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
US20100137143A1 (en) 2008-10-22 2010-06-03 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes
US8907316B2 (en) 2008-11-07 2014-12-09 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline and single crystal semiconductor regions
US8241989B2 (en) 2008-11-14 2012-08-14 Qimonda Ag Integrated circuit with stacked devices
US7838337B2 (en) 2008-12-01 2010-11-23 Stats Chippac, Ltd. Semiconductor device and method of forming an interposer package with through silicon vias
US20100140790A1 (en) 2008-12-05 2010-06-10 Seagate Technology Llc Chip having thermal vias and spreaders of cvd diamond
JP5160396B2 (en) 2008-12-18 2013-03-13 株式会社日立製作所 Semiconductor device
US20100157117A1 (en) 2008-12-18 2010-06-24 Yu Wang Vertical stack of image sensors with cutoff color filters
KR101442177B1 (en) 2008-12-18 2014-09-18 삼성전자주식회사 Methods of fabricating a semiconductor device having a capacitor-less one transistor memory cell
US8168490B2 (en) 2008-12-23 2012-05-01 Intersil Americas, Inc. Co-packaging approach for power converters based on planar devices, structure and method
US7943428B2 (en) 2008-12-24 2011-05-17 International Business Machines Corporation Bonded semiconductor substrate including a cooling mechanism
US8314635B2 (en) 2009-01-22 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming programmable transistor array comprising basic transistor units
US20100193884A1 (en) 2009-02-02 2010-08-05 Woo Tae Park Method of Fabricating High Aspect Ratio Transducer Using Metal Compression Bonding
US8158515B2 (en) 2009-02-03 2012-04-17 International Business Machines Corporation Method of making 3D integrated circuits
CN102308241A (en) 2009-02-18 2012-01-04 松下电器产业株式会社 Imaging device
JP4956598B2 (en) 2009-02-27 2012-06-20 シャープ株式会社 Nonvolatile semiconductor memory device and manufacturing method thereof
US8203187B2 (en) 2009-03-03 2012-06-19 Macronix International Co., Ltd. 3D memory array arranged for FN tunneling program and erase
TWI433302B (en) 2009-03-03 2014-04-01 Macronix Int Co Ltd Integrated circuit self aligned 3d memory array and manufacturing method
US8299583B2 (en) 2009-03-05 2012-10-30 International Business Machines Corporation Two-sided semiconductor structure
US8487444B2 (en) 2009-03-06 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional system-in-package architecture
US8773881B2 (en) 2009-03-10 2014-07-08 Contour Semiconductor, Inc. Vertical switch three-dimensional memory array
US8647923B2 (en) 2009-04-06 2014-02-11 Canon Kabushiki Kaisha Method of manufacturing semiconductor device
US8552563B2 (en) 2009-04-07 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional semiconductor architecture
US7983065B2 (en) 2009-04-08 2011-07-19 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines
US8174288B2 (en) 2009-04-13 2012-05-08 International Business Machines Corporation Voltage conversion and integrated circuits with stacked voltage domains
US9406561B2 (en) 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US20100221867A1 (en) 2009-05-06 2010-09-02 International Business Machines Corporation Low cost soi substrates for monolithic solar cells
US7939369B2 (en) 2009-05-14 2011-05-10 International Business Machines Corporation 3D integration structure and method using bonded metal planes
US7960282B2 (en) 2009-05-21 2011-06-14 Globalfoundries Singapore Pte. Ltd. Method of manufacture an integrated circuit system with through silicon via
US8516408B2 (en) 2009-05-26 2013-08-20 Lsi Corporation Optimization of circuits having repeatable circuit instances
KR101623960B1 (en) 2009-06-04 2016-05-25 삼성전자주식회사 Optoelectronic shutter, method of operating the same and optical apparatus employing the optoelectronic shutter
US8802477B2 (en) 2009-06-09 2014-08-12 International Business Machines Corporation Heterojunction III-V photovoltaic cell fabrication
US7948017B2 (en) 2009-06-19 2011-05-24 Carestream Health, Inc. Digital radiography imager with buried interconnect layer in silicon-on-glass and method of fabricating same
JP2011003833A (en) 2009-06-22 2011-01-06 Toshiba Corp Nonvolatile semiconductor storage device and method of manufacturing the same
JP2011009409A (en) 2009-06-25 2011-01-13 Toshiba Corp Nonvolatile semiconductor memory device
US20100330728A1 (en) 2009-06-26 2010-12-30 Mccarten John P Method of aligning elements in a back-illuminated image sensor
JP2011014817A (en) 2009-07-06 2011-01-20 Toshiba Corp Nonvolatile semiconductor memory device
EP2273545B1 (en) 2009-07-08 2016-08-31 Imec Method for insertion bonding and kit of parts for use in said method
JP5380190B2 (en) 2009-07-21 2014-01-08 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8153520B1 (en) 2009-08-03 2012-04-10 Novellus Systems, Inc. Thinning tungsten layer after through silicon via filling
JP5482025B2 (en) 2009-08-28 2014-04-23 ソニー株式会社 SOLID-STATE IMAGING DEVICE, ITS MANUFACTURING METHOD, AND ELECTRONIC DEVICE
FR2949904B1 (en) 2009-09-07 2012-01-06 Commissariat Energie Atomique INTEGRATED CIRCUIT WITH ELECTROSTATICALLY COUPLED MOS TRANSISTORS AND METHOD FOR PRODUCING SUCH AN INTEGRATED CIRCUIT
US8611388B2 (en) 2009-10-13 2013-12-17 Skorpios Technologies, Inc. Method and system for heterogeneous substrate bonding of waveguide receivers
US8630326B2 (en) 2009-10-13 2014-01-14 Skorpios Technologies, Inc. Method and system of heterogeneous substrate bonding for photonic integration
US8264065B2 (en) 2009-10-23 2012-09-11 Synopsys, Inc. ESD/antenna diodes for through-silicon vias
US8159060B2 (en) 2009-10-29 2012-04-17 International Business Machines Corporation Hybrid bonding interface for 3-dimensional chip integration
CN102612749B (en) 2009-11-06 2015-04-01 株式会社半导体能源研究所 Semiconductor device
US8138543B2 (en) 2009-11-18 2012-03-20 International Business Machines Corporation Hybrid FinFET/planar SOI FETs
KR101803254B1 (en) 2009-11-27 2017-11-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
WO2011068028A1 (en) 2009-12-04 2011-06-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element, semiconductor device, and method for manufacturing the same
CN102088014A (en) 2009-12-04 2011-06-08 中国科学院微电子研究所 3D (Three Dimensional) integrated circuit structure, semiconductor device and forming methods thereof
US8107276B2 (en) 2009-12-04 2012-01-31 International Business Machines Corporation Resistive memory devices having a not-and (NAND) structure
JP5547212B2 (en) 2009-12-11 2014-07-09 シャープ株式会社 Manufacturing method of semiconductor device
US8507365B2 (en) 2009-12-21 2013-08-13 Alliance For Sustainable Energy, Llc Growth of coincident site lattice matched semiconductor layers and devices on crystalline substrates
US8129258B2 (en) 2009-12-23 2012-03-06 Xerox Corporation Method for dicing a semiconductor wafer, a chip diced from a semiconductor wafer, and an array of chips diced from a semiconductor wafer
US8048711B2 (en) 2009-12-30 2011-11-01 Omnivision Technologies, Inc. Method for forming deep isolation in imagers
KR101652826B1 (en) 2010-01-08 2016-08-31 삼성전자주식회사 Semiconductor Devices and Method of Driving the Same
US8841777B2 (en) 2010-01-12 2014-09-23 International Business Machines Corporation Bonded structure employing metal semiconductor alloy bonding
US8455936B2 (en) 2010-02-25 2013-06-04 Avago Technologies General Ip (Singapore) Pte. Ltd. Configurable memory sheet and package assembly
JP5144698B2 (en) 2010-03-05 2013-02-13 株式会社東芝 Semiconductor memory device and manufacturing method thereof
JP2011187794A (en) 2010-03-10 2011-09-22 Toshiba Corp Semiconductor storage device, and method of manufacturing the same
WO2011115893A2 (en) 2010-03-15 2011-09-22 Micron Technology, Inc. Techniques for providing a semiconductor memory device
US8437192B2 (en) 2010-05-21 2013-05-07 Macronix International Co., Ltd. 3D two bit-per-cell NAND flash memory
US8525342B2 (en) 2010-04-12 2013-09-03 Qualcomm Incorporated Dual-side interconnected CMOS for stacked integrated circuits
US8541305B2 (en) 2010-05-24 2013-09-24 Institute of Microelectronics, Chinese Academy of Sciences 3D integrated circuit and method of manufacturing the same
KR101688598B1 (en) 2010-05-25 2017-01-02 삼성전자주식회사 Three dimensional semiconductor memory device
FR2961016B1 (en) 2010-06-07 2013-06-07 Commissariat Energie Atomique INTEGRATED CIRCUIT WITH FET TYPE DEVICE WITHOUT JUNCTION AND DEPLETION
KR20110135299A (en) 2010-06-10 2011-12-16 삼성전자주식회사 Semiconductor memory device
JP2012009512A (en) 2010-06-22 2012-01-12 Toshiba Corp Nonvolatile semiconductor memory device and method of manufacturing the same
KR101193195B1 (en) 2010-07-02 2012-10-19 삼성디스플레이 주식회사 Organic light emitting display device
KR101145074B1 (en) 2010-07-02 2012-05-11 이상윤 Method for fabricating a semiconductor substrate and Method for fabricating a semiconductor device by using the same
US7969193B1 (en) 2010-07-06 2011-06-28 National Tsing Hua University Differential sensing and TSV timing control scheme for 3D-IC
KR20120006843A (en) 2010-07-13 2012-01-19 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
US8461017B2 (en) 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
JP2012028537A (en) 2010-07-22 2012-02-09 Toshiba Corp Nonvolatile semiconductor storage device and manufacturing method thereof
US8674510B2 (en) 2010-07-29 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional integrated circuit structure having improved power and thermal management
KR20120020526A (en) 2010-08-30 2012-03-08 삼성전자주식회사 Substrate have buried conductive layer and formation method thereof, and fabricating method of semiconductor device using the same
US20120063090A1 (en) 2010-09-09 2012-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Cooling mechanism for stacked die package and method of manufacturing the same
JP5651415B2 (en) 2010-09-21 2015-01-14 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US20120074466A1 (en) 2010-09-28 2012-03-29 Seagate Technology Llc 3d memory array with vertical transistor
US9245760B2 (en) 2010-09-30 2016-01-26 Infineon Technologies Ag Methods of forming epitaxial layers on a porous semiconductor layer
US8440544B2 (en) 2010-10-06 2013-05-14 International Business Machines Corporation CMOS structure and method of manufacture
US8293578B2 (en) 2010-10-26 2012-10-23 International Business Machines Corporation Hybrid bonding techniques for multi-layer semiconductor stacks
FR2967294B1 (en) 2010-11-10 2012-12-07 Commissariat Energie Atomique METHOD FOR FORMING A MULTILAYER STRUCTURE
TWI423426B (en) 2010-11-19 2014-01-11 Univ Nat Chiao Tung A structure and process of basic complementary logic gate made by junctionless transistors
EP2647057A4 (en) 2010-12-07 2016-11-09 Univ Boston Self-cleaning solar panels and concentrators with transparent electrodynamic screens
WO2012077280A1 (en) 2010-12-09 2012-06-14 パナソニック株式会社 Design support device and design support method for three-dimensional integrated circuit
US8466054B2 (en) 2010-12-13 2013-06-18 Io Semiconductor, Inc. Thermal conduction paths for semiconductor structures
EP2731110B1 (en) 2010-12-14 2016-09-07 SanDisk Technologies LLC Architecture for three dimensional non-volatile storage with vertical bit lines
US9227456B2 (en) 2010-12-14 2016-01-05 Sandisk 3D Llc Memories with cylindrical read/write stacks
KR101755643B1 (en) 2010-12-15 2017-07-10 삼성전자주식회사 Three Dimensional Semiconductor Memory Device and Method of Forming the Same
US8432751B2 (en) 2010-12-22 2013-04-30 Intel Corporation Memory cell using BTI effects in high-k metal gate MOS
US8481405B2 (en) 2010-12-24 2013-07-09 Io Semiconductor, Inc. Trap rich layer with through-silicon-vias in semiconductor devices
KR101913322B1 (en) 2010-12-24 2018-10-30 퀄컴 인코포레이티드 Trap rich layer for semiconductor devices
KR20120079393A (en) 2011-01-04 2012-07-12 (주)세미머티리얼즈 A method for manufacturing semiconductor light emitting device
US8432719B2 (en) 2011-01-18 2013-04-30 Macronix International Co., Ltd. Three-dimensional stacked and-type flash memory structure and methods of manufacturing and operating the same hydride
US8486791B2 (en) 2011-01-19 2013-07-16 Macronix International Co., Ltd. Mufti-layer single crystal 3D stackable memory
US8630114B2 (en) 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
US20120193785A1 (en) 2011-02-01 2012-08-02 Megica Corporation Multichip Packages
KR101771619B1 (en) 2011-02-09 2017-08-28 삼성전자주식회사 Nonvolatile memory device and driving method thereof
JP5853139B2 (en) 2011-03-09 2016-02-09 パナソニックIpマネジメント株式会社 3D integrated circuit design apparatus, 3D integrated circuit design method, program
US9001590B2 (en) 2011-05-02 2015-04-07 Macronix International Co., Ltd. Method for operating a semiconductor structure
JP5505367B2 (en) 2011-05-11 2014-05-28 信越半導体株式会社 Method for manufacturing bonded substrate having insulating layer on part of substrate
US9564587B1 (en) 2011-06-30 2017-02-07 Crossbar, Inc. Three-dimensional two-terminal memory with enhanced electric field and segmented interconnects
FR2978604B1 (en) 2011-07-28 2018-09-14 Soitec METHOD FOR THE HEALING OF DEFECTS IN A SEMICONDUCTOR LAYER
US8937309B2 (en) 2011-08-08 2015-01-20 Micron Technology, Inc. Semiconductor die assemblies, semiconductor devices including same, and methods of fabrication
KR101399338B1 (en) 2011-08-08 2014-05-30 (주)실리콘화일 stacking substrate image sensor with dual sensing
US8519735B2 (en) 2011-08-25 2013-08-27 International Business Machines Corporation Programming the behavior of individual chips or strata in a 3D stack of integrated circuits
JP2013065638A (en) 2011-09-15 2013-04-11 Elpida Memory Inc Semiconductor device
WO2013052679A1 (en) 2011-10-04 2013-04-11 Qualcomm Incorporated Monolithic 3-d integration using graphene
US8689164B2 (en) 2011-10-18 2014-04-01 National Taiwan University Method of analytical placement with weighted-average wirelength model
US8431436B1 (en) 2011-11-03 2013-04-30 International Business Machines Corporation Three-dimensional (3D) integrated circuit with enhanced copper-to-copper bonding
US8687421B2 (en) 2011-11-21 2014-04-01 Sandisk Technologies Inc. Scrub techniques for use with dynamic read
JP2013150244A (en) 2012-01-23 2013-08-01 Nippon Dempa Kogyo Co Ltd Temperature compensation oscillator
FR2986371B1 (en) 2012-01-31 2016-11-25 St Microelectronics Sa METHOD OF FORMING A VIA CONTACTING MULTIPLE LEVELS OF SEMICONDUCTOR LAYERS
FR2986370B1 (en) 2012-02-01 2014-11-21 St Microelectronics Sa 3D INTEGRATED CIRCUIT
US8749029B2 (en) 2012-02-15 2014-06-10 Infineon Technologies Ag Method of manufacturing a semiconductor device
US20130337601A1 (en) 2012-02-29 2013-12-19 Solexel, Inc. Structures and methods for high efficiency compound semiconductor solar cells
CN103545275B (en) 2012-07-12 2016-02-17 中芯国际集成电路制造(上海)有限公司 Silicon through hole encapsulating structure and formation method
JP2014030110A (en) 2012-07-31 2014-02-13 Toshiba Corp Reconfigurable integrated circuit device and method of writing to the same
US20140048867A1 (en) 2012-08-20 2014-02-20 Globalfoundries Singapore Pte. Ltd. Multi-time programmable memory
US9024657B2 (en) 2012-10-11 2015-05-05 Easic Corporation Architectural floorplan for a structured ASIC manufactured on a 28 NM CMOS process lithographic node or smaller
US10192813B2 (en) 2012-11-14 2019-01-29 Qualcomm Incorporated Hard macro having blockage sites, integrated circuit including same and method of routing through a hard macro
US9064077B2 (en) 2012-11-28 2015-06-23 Qualcomm Incorporated 3D floorplanning using 2D and 3D blocks
US9098666B2 (en) 2012-11-28 2015-08-04 Qualcomm Incorporated Clock distribution network for 3D integrated circuit
US10403766B2 (en) 2012-12-04 2019-09-03 Conversant Intellectual Property Management Inc. NAND flash memory with vertical cell stack structure and method for manufacturing same
KR102015907B1 (en) 2013-01-24 2019-08-29 삼성전자주식회사 Semiconductor light emitting device
US8773562B1 (en) 2013-01-31 2014-07-08 Apple Inc. Vertically stacked image sensor
US20140225218A1 (en) 2013-02-12 2014-08-14 Qualcomm Incorporated Ion reduced, ion cut-formed three-dimensional (3d) integrated circuits (ic) (3dics), and related methods and systems
US9536840B2 (en) 2013-02-12 2017-01-03 Qualcomm Incorporated Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods
US9041448B2 (en) 2013-03-05 2015-05-26 Qualcomm Incorporated Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods
US9177890B2 (en) 2013-03-07 2015-11-03 Qualcomm Incorporated Monolithic three dimensional integration of semiconductor integrated circuits
US9029231B2 (en) 2013-03-12 2015-05-12 Globalfoundries Singapore Pte. Ltd. Fin selector with gated RRAM
US8913418B2 (en) 2013-03-14 2014-12-16 Intermolecular, Inc. Confined defect profiling within resistive random memory access cells
KR101456503B1 (en) 2013-05-15 2014-11-03 (주)실리콘화일 Stack Memory
US9087821B2 (en) 2013-07-16 2015-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding with through substrate via (TSV)
US9496274B2 (en) 2013-09-17 2016-11-15 Sandisk Technologies Llc Three-dimensional non-volatile memory device
KR102154784B1 (en) 2013-10-10 2020-09-11 삼성전자주식회사 Semiconductor device and method of manufacturing the same
US9627287B2 (en) 2013-10-18 2017-04-18 Infineon Technologies Ag Thinning in package using separation structure as stop
US9524920B2 (en) 2013-11-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of three dimensional conductive lines
KR20150056309A (en) 2013-11-15 2015-05-26 삼성전자주식회사 Three-dimensional semiconductor devices and fabricating methods thereof
KR102140789B1 (en) 2014-02-17 2020-08-03 삼성전자주식회사 Evaluating apparatus for quality of crystal, and Apparatus and method for manufacturing semiconductor light emitting device which include the same
JP2015159260A (en) 2014-02-25 2015-09-03 株式会社東芝 Semiconductor storage device and manufacturing method of the same
US9806051B2 (en) 2014-03-04 2017-10-31 General Electric Company Ultra-thin embedded semiconductor device package and method of manufacturing thereof
US9269608B2 (en) 2014-03-24 2016-02-23 Qualcomm Switch Corp. Bonded semiconductor structure with SiGeC/SiGeBC layer as etch stop
US9105689B1 (en) 2014-03-24 2015-08-11 Silanna Semiconductor U.S.A., Inc. Bonded semiconductor structure with SiGeC layer as etch stop
US9704841B2 (en) 2014-03-26 2017-07-11 United Microelectronics Corp. Method of packaging stacked dies on wafer using flip-chip bonding
US9397110B2 (en) 2014-05-21 2016-07-19 Macronix International Co., Ltd. 3D independent double gate flash memory
KR102307487B1 (en) 2014-06-23 2021-10-05 삼성전자주식회사 Three-dimensional semiconductor memory device and method of fabricating the same
US9620217B2 (en) 2014-08-12 2017-04-11 Macronix International Co., Ltd. Sub-block erase
KR102171263B1 (en) 2014-08-21 2020-10-28 삼성전자 주식회사 Integrated circuit device having single crystal silicon thin film and method of manufacturing the same
US9530824B2 (en) 2014-11-14 2016-12-27 Sandisk Technologies Llc Monolithic three dimensional memory arrays with staggered vertical bit line select transistors and methods therfor
US9589979B2 (en) 2014-11-19 2017-03-07 Macronix International Co., Ltd. Vertical and 3D memory devices and methods of manufacturing the same
US9691804B2 (en) 2015-04-17 2017-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Image sensing device and manufacturing method thereof
US9768149B2 (en) 2015-05-19 2017-09-19 Micron Technology, Inc. Semiconductor device assembly with heat transfer structure formed from semiconductor material
KR20170030307A (en) 2015-09-09 2017-03-17 삼성전자주식회사 Memory device with seperated capacitor
US9589982B1 (en) 2015-09-15 2017-03-07 Macronix International Co., Ltd. Structure and method of operation for improved gate capacity for 3D NOR flash memory
US10121553B2 (en) 2015-09-30 2018-11-06 Sunrise Memory Corporation Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
KR102476764B1 (en) 2015-12-23 2022-12-14 에스케이하이닉스 주식회사 Isolation structure and method for manufacturing the same
US20170278858A1 (en) 2016-03-22 2017-09-28 Schiltron Corporation Monolithic 3-d dynamic memory and method
US9673257B1 (en) 2016-06-03 2017-06-06 Sandisk Technologies Llc Vertical thin film transistors with surround gates
US9595530B1 (en) 2016-07-07 2017-03-14 Sandisk Technologies Llc Methods and apparatus for vertical bit line structures in three-dimensional nonvolatile memory
US10199354B2 (en) 2016-12-20 2019-02-05 Intel Corporation Die sidewall interconnects for 3D chip assemblies
US10559594B2 (en) 2017-04-11 2020-02-11 Ahmad Tarakji Approach to the manufacturing of monolithic 3-dimensional high-rise integrated-circuits with vertically-stacked double-sided fully-depleted silicon-on-insulator transistors
US10431596B2 (en) 2017-08-28 2019-10-01 Sunrise Memory Corporation Staggered word line architecture for reduced disturb in 3-dimensional NOR memory arrays
US10896916B2 (en) 2017-11-17 2021-01-19 Sunrise Memory Corporation Reverse memory cell
US10651153B2 (en) 2018-06-18 2020-05-12 Intel Corporation Three-dimensional (3D) memory with shared control circuitry using wafer-to-wafer bonding
WO2020014655A1 (en) 2018-07-12 2020-01-16 Sunrise Memory Corporation Fabrication method for a 3-dimensional nor memory array
US11069696B2 (en) 2018-07-12 2021-07-20 Sunrise Memory Corporation Device structure for a 3-dimensional NOR memory array and methods for improved erase operations applied thereto
US10651182B2 (en) 2018-09-28 2020-05-12 Intel Corporation Three-dimensional ferroelectric NOR-type memory
CN113383415A (en) 2019-01-30 2021-09-10 日升存储公司 Device with embedded high bandwidth, high capacity memory using wafer bonding

Also Published As

Publication number Publication date
US11063071B1 (en) 2021-07-13

Similar Documents

Publication Publication Date Title
US9419031B1 (en) Semiconductor and optoelectronic devices
US8283215B2 (en) Semiconductor and optoelectronic devices
US9941319B2 (en) Semiconductor and optoelectronic methods and devices
US10978501B1 (en) Multilevel semiconductor device and structure with waveguides
US9197804B1 (en) Semiconductor and optoelectronic devices
US6680495B2 (en) Silicon wafer with embedded optoelectronic material for monolithic OEIC
US11437368B2 (en) Multilevel semiconductor device and structure with oxide bonding
US20170294550A1 (en) Multi-wafer based light absorption apparatus and applications thereof
US11063071B1 (en) Multilevel semiconductor device and structure with waveguides
US10679977B2 (en) 3D microdisplay device and structure
US20220406424A1 (en) 3d micro display device and structure
US10833108B2 (en) 3D microdisplay device and structure
US11594526B2 (en) Multilevel semiconductor device and structure with oxide bonding
US20120091474A1 (en) Novel semiconductor and optoelectronic devices
US11327227B2 (en) Multilevel semiconductor device and structure with electromagnetic modulators
US11163112B2 (en) Multilevel semiconductor device and structure with electromagnetic modulators
US10998374B1 (en) Multilevel semiconductor device and structure
US10943934B2 (en) Multilevel semiconductor device and structure
US11855100B2 (en) Multilevel semiconductor device and structure with oxide bonding
US11694922B2 (en) Multilevel semiconductor device and structure with oxide bonding
US20240079398A1 (en) Multilevel semiconductor device and structure with oxide bonding
US11682683B2 (en) 3D micro display device and structure
US20230197741A1 (en) 3d micro display device and structure

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: MONOLITHIC 3D INC., OREGON

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:OR-BACH, ZVI;CRONQUIST, BRIAN;SEKAR, DEEPAK;SIGNING DATES FROM 20210228 TO 20210301;REEL/FRAME:055450/0509

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO SMALL (ORIGINAL EVENT CODE: SMAL); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE