US20190326101A1 - Plasma processing apparatus and member of plasma processing chamber - Google Patents

Plasma processing apparatus and member of plasma processing chamber Download PDF

Info

Publication number
US20190326101A1
US20190326101A1 US16/357,971 US201916357971A US2019326101A1 US 20190326101 A1 US20190326101 A1 US 20190326101A1 US 201916357971 A US201916357971 A US 201916357971A US 2019326101 A1 US2019326101 A1 US 2019326101A1
Authority
US
United States
Prior art keywords
plasma
coating film
yttrium fluoride
processing chamber
particles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/357,971
Inventor
Kazuhiro Ueda
Kazuyuki Ikenaga
Tomoyuki Tamura
Masahiro Sumiya
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Assigned to HITACHI HIGH-TECHNOLOGIES CORPORATION reassignment HITACHI HIGH-TECHNOLOGIES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: IKENAGA, KAZUYUKI, SUMIYA, MASAHIRO, TAMURA, TOMOYUKI, UEDA, KAZUHIRO
Publication of US20190326101A1 publication Critical patent/US20190326101A1/en
Assigned to HITACHI HIGH-TECH CORPORATION reassignment HITACHI HIGH-TECH CORPORATION CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: HITACHI HIGH-TECHNOLOGIES CORPORATION
Priority to US18/115,124 priority Critical patent/US20230207279A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/18After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • the present invention relates to a plasma processing apparatus or a member of a plasma processing chamber, which forms plasma inside a processing chamber inside a vacuum container to process a sample to be processed, such as a semiconductor wafer to be processed, disposed inside the processing chamber, and particularly to the plasma processing apparatus or the member of the plasma processing chamber provided with a protective coating film on a surface facing the plasma inside the processing chamber.
  • etching using plasma is applied for fine processing configured to form a circuit structure on the wafer surface.
  • Such processing using the plasma etching is required to have higher precision and yield along with higher integration of devices.
  • a processing chamber is disposed inside a vacuum container, and an internal member of the processing chamber is typically made of metal such as aluminum, and stainless steel in terms of strength and cost. Further, a surface of the internal member of the processing chamber is exposed to plasma to be formed to be brought into contact with or face the plasma, and thus, it is general to dispose a coating film having a high plasma resistance on the surface of the member such that the surface of the member is not worn out by the plasma over a longer period of time or such that a change in quantity or property of mutual action between the plasma and the surface of the member is suppressed.
  • JP 4006596 B2 As an example of a technique of the processing chamber internal member using plasma with such a coating film having a plasma resistance, one disclosed in JP 4006596 B2 is conventionally known. In JP 4006596 B2, a coating film of yttrium oxide is illustrated as an example of the above coating film.
  • a coating film using yttrium oxide can be formed in either vacuum or atmospheric atmosphere by a method such as plasma spraying, SPS spraying, explosion spraying, and reduced-pressure spraying.
  • an atmospheric plasma spraying method is a technique of introducing raw material powder having a predetermined particle diameter, for example, a diameter in the range of 10 to 60 ⁇ m, into a plasma flame together with a transport gas to form a molten or semi-molten state and spraying raw material particles in such a state onto a surface of a base member to be coated to form a film.
  • this spraying method has a problem of a height of a surface of the formed coating film, a problem that a variation of so-called unevenness is large, and a problem that pores are formed between grains of the coating film, which have been attached to each other in the molten or semi-molten state, cooled and solidified, and a gas in the plasma or product particles enter the pores to induce contamination and particles.
  • JP 2013-140950 A discloses a technique of forming a coating film made of yttrium fluoride by an atmospheric plasma spraying method.
  • JP 2017-190475 A discloses a range of a value of a specific mixture ratio between yttrium fluoride granulated powder and yttrium oxide granulated powder which are sprayed materials capable of obtaining a sprayed coating film of an yttrium-based fluorinated compound that has a sufficient corrosion resistant performance against plasma and can effectively prevent damage to a substrate due to acid penetration during acid cleaning.
  • JP 2017-150085 A discloses a technique of supplying a slurry containing particles of yttrium fluoride having an average particle diameter in a specific range to a position separated downward from a nozzle of a spray gun in a direction along a central axis of the nozzle of the spray gun that releases a frame in a high-speed flame spraying method or the nozzle of the spray gun that releases a plasma jet in an atmospheric pressure plasma spraying method, or to a distal end position of the nozzle as a process of manufacturing a sprayed coating film made of yttrium fluoride which can suppress generation of particles.
  • An object of the present invention is to provide a plasma processing apparatus, an internal member of the plasma processing apparatus, or a method of manufacturing the plasma processing apparatus and the internal member in which generation of particles is reduced to improve a processing yield.
  • a plasma processing apparatus including: a processing chamber disposed inside a vacuum container and in which plasma is formed; and a member which is a member forming an inner wall surface of the processing chamber and is disposed on a surface to be exposed to the plasma and has a coating film formed by spraying of yttrium fluoride or a material containing the yttrium fluoride, in which the ratio of an orthorhombic crystal of the yttrium fluoride or the material containing the yttrium fluoride forming the coating film relative to the entirety is 60% or more, or the member of the plasma processing chamber.
  • the above object is achieved by a method of manufacturing the plasma processing apparatus or the member therefor in which the particles of the yttrium fluoride or the material containing the yttrium fluoride are sprayed using atmospheric plasma while maintaining a surface of the coating film at 280° C. or higher to form the coating film.
  • the above object is achieved by a method of manufacturing the plasma processing apparatus or the member therefor in which particles of the yttrium fluoride or the material containing the yttrium fluoride are sprayed using atmospheric plasma to form the coating film, and then, surface treatment for heating a surface of the coating film to 280° C. or higher is performed.
  • FIG. 1A is a schematic outline of a configuration of a plasma processing apparatus according to an embodiment
  • FIG. 1B is a vertical cross-sectional view schematically illustrating the outline of a configuration of a plasma processing apparatus according to an embodiment of the present invention
  • FIG. 2 is a graph illustrating an intensity of X-ray diffraction with respect to a surface of a coating film of a ground electrode disposed in the plasma processing apparatus according to the example illustrated in FIGS. 1A and 1B ;
  • FIG. 3 is a graph illustrating a change in the number of generated particles from the coating film with respect to different crystal phase ratios of the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B ;
  • FIG. 4 is a graph illustrating a change in the number of generated particles accompanying a change in an average crystallite size of the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B ;
  • FIG. 5 is a graph illustrating a change in the average crystallite size with respect to a change in time for treatment on the surface of the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B ;
  • FIG. 6 is a graph illustrating changes in an orthorhombic crystal phase ratio and an average crystallite size with respect to a change in temperature of the surface at the time of forming the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B .
  • FIGS. 1A to 6 an embodiment of the present invention will be described with reference to FIGS. 1A to 6 .
  • FIG. 1A is a schematic cross-sectional view of a plasma processing apparatus.
  • FIG. 1B is a vertical cross-sectional view schematically illustrating an outline of a configuration of the plasma processing apparatus according to the embodiment of the present invention.
  • the plasma processing apparatus of the present embodiment includes: a vacuum container having a cylindrical portion; a plasma formation unit disposed around the top or the periphery of the side of the cylindrical portion so as to surround the top or the periphery of the side of the cylindrical portion; and a vacuum exhaust unit including a vacuum pump disposed below the vacuum container and exhausting the interior of the vacuum container.
  • a processing chamber 7 which is a space where plasma is formed, is disposed inside the vacuum container, and is configured to be capable of communicating with the vacuum exhaust unit.
  • An upper part of the processing chamber 7 forms a discharge chamber which is a space surrounded by an inner wall having a cylindrical shape and in which plasma 15 is formed.
  • stage 6 which is a sample stage that allows a wafer 4 as a substrate to be processed to be placed on an upper surface thereof and held, is disposed.
  • the stage 6 of the present embodiment is a member having a cylindrical shape of which a center axis in the vertical direction is disposed to be concentric with the discharge chamber or at a position which is moderately approximate to such a concentric position to be regarded as the concentric position when seen from the above, a space is formed between a bottom surface of the processing chamber 7 in which an opening communicating with the vacuum exhaust unit is disposed and a bottom surface of the stage 6 , and the stage 6 is held at an intermediate position between an upper end surface and a lower end surface in the vertical direction of the processing chamber 7 .
  • the space inside the processing chamber 7 below the stage 6 communicates with the discharge chamber through a gap between a side wall of the stage 6 and an inner wall surface of the processing chamber 7 surrounding the stage 6 to form an exhaust path through which a product generated on an upper surface of the wafer 4 and in the discharge chamber during the processing of the wafer 4 on the upper surface of the stage 6 , the plasma inside the discharge chamber, and gas particles pass to be discharged to the outside of the processing chamber 7 by the vacuum exhaust unit.
  • a heater (not illustrated) that has a base member, which is a metal member having a cylindrical shape, and a film made of a dielectric, disposed so as to cover an upper surface of the base member, disposed therein and a refrigerant flow path (not illustrated) disposed in multiple inside the base member concentrically or spirally around the central axis.
  • a gas having heat conductivity such as He is supplied to the gap between a lower surface of the wafer 4 and an upper surface of the dielectric film. Therefore, a pipe through which a gas having heat conductivity flows is disposed inside the base member and the film made of the dielectric (not illustrated).
  • the base member of the stage 6 is connected with a radio-frequency power supply 14 , which supplies a radio-frequency power to form an electric field for attraction of charged particles in the plasma above the upper surface of the wafer 4 during the processing of the wafer 4 by the plasma, by a coaxial cable via an impedance matching unit 13 .
  • film-shaped electrodes to which DC power for generation of an electrostatic force to attract and hold the wafer 4 onto the upper surface of the dielectric film inside the dielectric film and the wafer 4 is supplied are disposed above the heater inside the dielectric film above the base member to be symmetric about the center axis at each of a plurality of regions in the radial direction from the center axis in the vertical direction of a substantially circular upper surface of the wafer 4 or the stage 6 such that different polarities can be imparted to the respective electrodes.
  • a window member 3 which is disposed to oppose the upper surface of the stage 6 and has a discoid shape made of a dielectric material such as quartz and ceramics that forms the upper part of the vacuum container to hermetically seal the inside and the outside of the processing chamber 7 , is provided above the upper surface of the stage 6 of the processing chamber 7 .
  • a shower plate 2 which is disposed with a gap 8 from a lower surface of the window member 3 , includes a plurality of through-holes 9 at a central portion thereof, and has a discoid shape made of a dielectric material such as quartz, is provided at a position which locates below the window member 3 and forms a ceiling surface of the processing chamber 7 .
  • the gap 8 is connected to the vacuum container so as to communicate with a processing gas supply pipe 50 , and a valve 51 which opens or closes the inside is disposed at a predetermined location on the processing gas supply pipe 50 .
  • a flow rate or speed of a gas for processing (processing gas) to be supplied to the inside of the processing chamber 7 is controlled by a gas flow rate control means (not illustrated) connected to one end side of the processing gas supply pipe 50 .
  • the processing gas flows into the gap 8 through the processing gas supply pipe 50 with the valve 51 opened, and then, diffuses inside the gap 8 and is supplied into the processing chamber 7 from above through the through-hole 9 .
  • the vacuum exhaust unit which discharges the gas and particles inside the processing chamber 7 via an exhaust port that is an opening for exhaust disposed immediately below the stage 6 on a bottom surface of the processing chamber 7 with substantially the same central axis in the vertical direction, is disposed below the vacuum container.
  • the vacuum exhaust unit includes a pressure adjustment plate 16 which is a discoid valve which moves up and down above the exhaust port to increase or decreases the area of a flow path through which the gas flows into the exhaust port, and a turbo molecular pump 12 which is the vacuum pump. Further, in the vacuum exhaust unit, an outlet of the turbo molecular pump 12 is connected to a dry pump 11 , which is a rough vacuum pump, via an exhaust pipe and communicates therewith, and a valve 18 is disposed on the exhaust pipe.
  • the pressure adjustment plate 16 of the present embodiment also serves as a valve for opening and closing the exhaust port.
  • the vacuum container is provided with a pressure detector 75 which is a sensor configured to detect the pressure inside the processing chamber 7 .
  • a signal output from the pressure detector 75 is transmitted to a controller (not illustrated) to detect a value of the pressure, and the pressure adjustment plate 16 is driven to change a position in the vertical direction based on a command signal output from the control unit in accordance with the value so that the area of the flow path of the exhaust gas is increased or decreased.
  • valve 17 is a slow-exhaust valve configured to slowly exhaust the processing chamber 7 from the atmospheric pressure to a vacuum using the dry pump 11
  • valve 19 is a main exhaust valve configured for high-speed exhaust using the dry pump 11 .
  • a configuration for formation of an electric field or a magnetic field to be supplied to the processing chamber 7 in order to form plasma is disposed in the periphery surrounding the top and a side wall of the cylindrical portion of the upper part of the vacuum container forming the processing chamber 7 . That is, a waveguide 21 which is a conduit through which an electric field of microwaves supplied into the processing chamber 7 propagates the inside is disposed above the window member 3 , and a magnetron oscillator 20 that outputs the microwave electric field in an oscillating manner is disposed at one end portion of the waveguide 21 .
  • the waveguide 21 has a rectangular waveguide portion which has a rectangular vertical section and an axis extending in the horizontal direction, and has the magnetron oscillator 20 disposed at the one end portion, and a circular waveguide portion which is connected to the other end portion of the rectangular waveguide portion and has a central axis extending in the vertical direction and has a circular cross section.
  • a lower end portion of the circular waveguide portion has a cylindrical shape whose diameter is enlarged and a cavity in which an electric field of a specific mode is intensified disposed therein.
  • a plurality of stages of a solenoid coil 22 and a solenoid coil 23 each serving as a magnetic field generating means are provided so as to surround the top and the periphery of the cavity, and further, the periphery of the side of the processing chamber 7 .
  • the unprocessed wafer 4 is placed at a distal end portion of an arm of a vacuum transport device (not illustrated) such as a robot arm, disposed inside a transport chamber, is transported inside the transport chamber inside a vacuum transport container, which is another vacuum container (not illustrated) connected to the side wall of the vacuum container, into the processing chamber 7 and is delivered to the stage 6 to be placed on the upper surface of the stage 6 .
  • a vacuum transport device such as a robot arm
  • a vacuum transport container which is another vacuum container (not illustrated) connected to the side wall of the vacuum container
  • the gas having a heat transfer property such as He is supplied through the pipe disposed inside the stage 6 into the gap between the wafer 4 and the upper surface of the dielectric film forming the upper surface of the stage 6 .
  • a refrigerant whose temperature is controlled within a predetermined range by a refrigerant temperature controller (not illustrated) is supplied to the internal refrigerant flow path, the transfer of heat between the temperature-controlled base material and the wafer 4 is promoted, and the temperature of the wafer 4 is adjusted to a value within a range appropriate for the start of processing.
  • the processing gas whose flow rate or speed has been controlled by the gas flow rate control means passes through the processing gas supply pipe 50 and is supplied from the gap 8 into the processing chamber 7 through the through-hole 9 , the inside of the processing chamber 7 is exhausted through the exhaust port by the operation of the turbo molecular pump 12 , and the pressure inside the processing chamber 7 is controlled to a value within a range appropriate for processing due to a balance therebetween.
  • the microwave electric field oscillating from the magnetron oscillator 20 propagates inside the waveguide 21 , passes through the window member 3 and the shower plate 2 , and is radiated into the processing chamber 7 .
  • the magnetic field generated by the solenoid coils 22 and 23 is supplied to the processing chamber 7 , electron cyclotron resonance (ECR) is generated by the interaction between the magnetic field and the microwave electric field, atoms or molecules of the processing gas are excited, ionized, and dissociated to generate the plasma 15 inside the processing chamber 7 .
  • ECR electron cyclotron resonance
  • radio-frequency power from the radio-frequency power supply 14 is supplied to the base material, a bias potential is formed above the upper surface of the wafer 4 , charged particles such as ions in the plasma 15 are attracted to the upper surface of the wafer 4 , and an etching process of a film layer to be processed having a film structure including a plurality of film layers including a film layer to be processed and a mask layer, formed in advance on the upper surface of the wafer 4 , proceeds along a pattern shape of the mask layer.
  • a detector (not illustrated) detects that the processing of the film layer to be processed has reached its end point, the supply of the radio-frequency power from the radio-frequency power supply 14 is stopped, and the plasma 15 is extinguished to stop the processing.
  • control unit determines that it is not necessary to proceed the etching process of the wafer 4 further, high-vacuum exhaust is performed. Further, the arm of the vacuum transport device enters the processing chamber 7 to deliver the processed wafer 4 after the static electricity is removed and the suction of the wafer 4 is released, and then, the wafer 4 is carried out of the vacuum transport chamber outside the processing chamber 7 along with a contraction of the arm.
  • the inner wall surface of the processing chamber 7 is a surface that faces the plasma 15 and is exposed to particles of the plasma 15 .
  • a ground electrode 40 which is a ring-shaped member that covers a surface of a lower part of the inner wall of the processing chamber 7 surrounding the discharge chamber and is disposed above and the upper surface of the stage 6 to surround the periphery thereof, is disposed for the purpose of providing a function as the ground electrode.
  • the ground electrode 40 includes a base made of a material having a conductivity and a coating film covering a surface of the base.
  • the base of the ground electrode is made of metal such as a stainless steel alloy and an aluminum alloy.
  • the ground electrode 40 When there is no coating film on a surface of the base, the ground electrode 40 serves as a source of generating corrosion and particles which cause contamination of the wafer 4 by being exposed to the plasma 15 at the place.
  • a coating film 42 made of a material having a high plasma resistance is disposed on the surface of the ground electrode 40 so as to cover the base in order to suppress the contamination. Due to the coating film 42 covering an inner wall material, it is possible to suppress damage by the plasma while maintaining the function as the electrode of the ground electrode 40 using the plasma.
  • the coating film 42 may be a laminated film.
  • a film integrally formed by spraying yttrium fluoride or a material containing the yttrium fluoride on the surface of the base set to have a surface roughness within a predetermined range using atmospheric plasma such that a number of particles of the deposited material are welded.
  • a member made of metal such as a stainless steel alloy and an aluminum alloy is used also for the base member 41 that has no function as a ground.
  • the surface of the base member 41 is also subjected to a process of improving a corrosion resistance against plasma or reducing wear, such as passivation treatment, spraying, PVD, and CVD, in order to suppress the corrosion, metal contamination and generation of particles by the exposure to the plasma 15 .
  • a cylindrical cover made of yttrium oxide or ceramics such as quartz may be disposed on the inner side of the inner wall surface of the base member 41 having a cylindrical shape between the base member 41 and the discharge chamber. Since such a cover is disposed between the base member 41 and the plasma 15 , the contact of the base member 41 with highly reactive particles in the plasma 15 and the collision of charged particles are blocked or reduced, and the wear of the base member 41 can be suppressed.
  • the coating film 42 of the present embodiment was formed in such a manner that particles of yttrium oxide or a material containing the yttrium oxide, as an underlayer, were sprayed onto the ground electrode 40 made of an aluminum alloy using atmospheric plasma to form a film having a thickness of about 100 ⁇ m, and particles of yttrium fluoride or a material containing the yttrium fluoride were sprayed onto an underlayer film made of the yttrium oxide using atmospheric plasma to form a film having a thickness of about 100 ⁇ m.
  • the temperature of the surface of the coating film was about 135° C. at the time when the formation of the upper layer film made of yttrium fluoride was completed. After the formation of the coating film 42 , a composition of the upper layer film made of yttrium fluoride was measured. As a result, a phase ratio of an orthorhombic crystal was 44% and an average crystallite size was 27 nm.
  • a ratio of the orthorhombic crystal of the coating film 42 made of yttrium fluoride or the material containing the yttrium fluoride was measured by X-ray diffraction.
  • the X-ray diffraction was performed for 2 ⁇ from 15° to 40° with an incident angle fixed at 1°. Results thereof are illustrated in FIG. 2 .
  • FIG. 2 is a graph illustrating an intensity of X-ray diffraction on the surface of the coating film 42 of the ground electrode 40 according to the embodiment illustrated in FIGS. 1A and 1B .
  • the coating film 42 contained yttrium fluoride and yttrium oxyfluoride.
  • YF 3 as a hexagonal crystal and Y—O—F (which is certainly a hexagonal crystal based on indexing, but is denoted by Y—O—F since detailed crystal structure analysis has not been performed), which are high-temperature phases
  • a phase ratio was obtained by the reference intensity ratio (RIR) method.
  • an average crystallite size of an upper layer made of yttrium fluoride of the coating film 42 was also measured by in-plane X-ray diffraction.
  • the average crystallite size was measured for 2 ⁇ from 10° to 100° with an incident angle fixed at 1.5°.
  • Each diffraction peak was indexed to obtain a full width half maximum and the average crystallite size was obtained by the Hall method.
  • the generation of particles was evaluated for the treated surface of the coating film 42 .
  • the phase ratio of the orthorhombic crystal of the coating film 42 in which the number of generated particles was zero was 64%, and the average crystallite size thereof was 27 nm.
  • the number of generated particles from the coating film 42 having the phase ratio of the orthorhombic crystal of 55% was 2.5.
  • FIG. 3 is a graph illustrating a change in the number of generated particles from the coating film with respect to different crystal phase ratios of the coating film of the ground electrode of the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B .
  • the number of generated particles is counted in such a manner that the ground electrode 40 is disposed in the plasma processing apparatus, and ceramic parts (not illustrated) inside the base member 41 are made of quartz so that it is possible to understand that particles containing yttrium was generated with the ground electrode 40 as a generation source.
  • the etching process described above was repeated, and particles remaining on the wafer were analyzed by SEM-EDX, and particles containing yttrium were counted.
  • FIG. 4 is a graph illustrating a change in the number of generated particles accompanying a change in the average crystallite size of the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B .
  • the coating film 42 having a large average crystallite size was subjected to surface treatment and a change in the average crystallite size of the coating film 42 was investigated by changing a surface treatment time in order to obtain a value of the average crystallite size which serves as a threshold at which the number of generated particles changes. Results thereof are illustrated in FIG. 5 .
  • FIG. 5 is a graph illustrating a change in the average crystallite size with respect to a change in time for treatment on the surface of the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B .
  • the average crystallite size decreases to a value of 50 nm or smaller as the time for the surface treatment becomes longer, and then, a rate of the decrease in the average crystallite size relative to the increase in processing time becomes gentle so that the average crystallite size gradually approaches a value between 45 and 50 nm in this example.
  • the inventors of the present invention have obtained a finding that it is possible to suppress the change in the crystal size even if a cumulative value of the time during the interaction of the surface of the coating film 42 increases by setting the average crystallite size of the coating film 42 to 50 nm or smaller since the average crystallite size decreases and gradually approaches to the value of 45 to 50 nm along with the time increase as above.
  • the coating film 42 formed by spraying and made of the material containing yttrium fluoride to cover the surface on the side facing the discharge chamber of the ground electrode 40 and in contact with the plasma 15 , is formed such that the phase ratio of the orthorhombic crystal is 60% or more and the average crystallite size is 50 nm or smaller as described above. In this manner, the generation of particles from the film on the upper layer of the coating film 42 made of the material containing yttrium fluoride is suppressed.
  • yttrium oxide as an underlayer was sprayed on the ground electrode 40 made of the aluminum alloy using atmospheric plasma to form a thickness of about 100 ⁇ m, and particles containing yttrium fluoride as a material were sprayed thereon using atmospheric plasma to form an upper layer film so as to have the thickness of about 100 ⁇ m.
  • the temperature of the surface of the upper layer film at the completion of such formation was 135° C.
  • heat may be spontaneously dissipated to cool the surface temperature up to about 67° C. after forming the upper layer film, and thereafter, a thin film may be formed by spraying particles containing yttrium fluoride using atmospheric plasma.
  • the upper layer film of the coating film 42 had the orthorhombic crystal phase ratio of 34% and the average crystallite size of 33 nm. Further, the upper layer film of the coating film 42 was subjected to surface treatment such that the average crystallite size of the coating film 42 became 37 nm and the orthorhombic crystal phase ratio became 68%. As a result of evaluating the number of generated particles from this coating film 42 , the number of generated particles was 0.1.
  • an X-ray used for the X-ray measurement is a Cu K ⁇ ray, and a maximum detection depth in an angular range where a diffracted ray is obtained is about 5 ⁇ m. From this example, it is suggested that the generation of particles can be suppressed by appropriately setting the state of the crystallite in a thickness range of several ⁇ m to 5 ⁇ m of the surface of the coating film 42 . When the material containing yttrium fluoride is sprayed using atmospheric plasma, a coating film is formed at 15 to 30 ⁇ m/pass.
  • FIG. 6 is a graph illustrating changes in an orthorhombic crystal phase ratio and an average crystallite size with respect to a change in temperature of the surface at the time of forming the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B .
  • the average crystallite size is indicated by a mark of a closed circle on the left axis
  • the orthorhombic crystal phase ratio is indicated by a mark of a black square on the right axis. It is understood that the orthorhombic crystal phase ratio increases as the surface temperature increases. On the other hand, it is understood that the average crystallite size increases before and after 130° C. with a value at around 130° C. as the minimum.
  • An underlayer film was formed by spraying yttrium oxide as an underlayer on the surface of the base of the ground electrode 40 made of the aluminum alloy using atmospheric plasma to have a thickness of about 100 ⁇ m, and an upper layer film was formed by spraying particles containing yttrium fluoride as the material thereon using atmospheric plasma. After confirming that the surface temperature when the thickness of the upper layer film was about 100 ⁇ m was about 280° C., the last one layer was formed by spraying using the atmospheric plasma to form the coating film 42 . As a result, the coating film 42 of the yttrium fluoride material having an orthorhombic crystal phase ratio of 61% and an average crystallite size of 41 nm was formed.
  • the plurality of wafers 4 were processed using the plasma processing apparatus including the ground electrode 40 and the generation of particles was evaluated until the cumulative processing time reached a predetermined value. As a result of exponential least squares fitting of temporal transition of the number of particles, the number of generated particles was 0.7.
  • yttrium oxide as an underlayer was sprayed on the ground electrode 40 made of the aluminum alloy using atmospheric plasma to form a thickness of about 100 ⁇ m, and then, a material containing yttrium fluoride was sprayed thereon using atmospheric plasma to form the upper layer film so as to have a thickness of about 100 ⁇ m.
  • the upper layer film was formed by spraying such that the surface temperature of the film during the formation did not exceed about 150° C.
  • the surface of the coating film 42 was subjected to surface treatment by heating using a halogen lamp.
  • a correlation between a sample temperature and a lamp output was acquired in advance by using another coating film of the same material in which a thermocouple was embedded, and the lamp was scanned so as to perform heating for a short time while controlling the output such that the temperature did not exceed 350° C. in the actual surface heating of the coating film.
  • the ground electrode 40 was used to evaluate the generation of particles for a predetermined processing time, and the number of generated particles was zero.
  • the halogen lamp was used in the embodiment, the same effect can be obtained by heating using an infrared lamp or laser light.
  • yttrium oxide as an underlayer was sprayed on the ground electrode 40 made of the aluminum alloy using atmospheric plasma to form a thickness of about 100 ⁇ m, and an yttrium fluoride-based material was sprayed thereon as the coating film 42 using atmospheric plasma to form a thickness of about 100 ⁇ m.
  • the film was formed such that the surface temperature did not exceed about 150° C. during the atmospheric plasma spraying.
  • an orthorhombic crystal phase ratio of the coating film 42 of the yttrium fluoride-based material was 32% and an average crystallite size was 31 nm.
  • the ground electrode 40 was placed in the vacuum chamber, and the surface of the coating film 42 was irradiated with electron beams.
  • the surface of the coating film 42 is charged with accumulation of negative charges when being irradiated with the electron beams. Therefore, the same place was irradiated with Ar ion beams using an Ar ion gun.
  • the Ar ion gun performed irradiation with an acceleration voltage of several tens eV in order to reduce irradiation damage.
  • the surface temperature was measured using an infrared thermometer, and the setting temperature was controlled at 340° C. so as not to exceed 350° C.
  • the coating film 42 could have an orthorhombic crystal phase ratio of 69% and an average crystallite size of 50 nm.
  • the ground electrode 40 was used to evaluate the generation of particles for a predetermined processing time, and the number of generated particles was zero.

Abstract

A plasma processing apparatus includes: a processing chamber disposed inside a vacuum container and in which plasma is formed; and a member which is a member forming an inner wall surface of the processing chamber and is disposed on a surface to be exposed to the plasma and has a coating film formed by spraying of yttrium fluoride or a material containing the yttrium fluoride. A ratio of an orthorhombic crystal of the yttrium fluoride or the material containing the yttrium fluoride forming the coating film relative to the entirety is 60% or more.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present application claims priority to Japanese Patent Application No. 2018-081089, filed Apr. 20, 2018. The contents of this application are incorporated herein by reference in their entirety.
  • BACKGROUND OF THE INVENTION 1. Field of the Invention
  • The present invention relates to a plasma processing apparatus or a member of a plasma processing chamber, which forms plasma inside a processing chamber inside a vacuum container to process a sample to be processed, such as a semiconductor wafer to be processed, disposed inside the processing chamber, and particularly to the plasma processing apparatus or the member of the plasma processing chamber provided with a protective coating film on a surface facing the plasma inside the processing chamber.
  • 2. Description of the Related Art
  • In a process of processing a semiconductor wafer to manufacture an electronic device or a magnetic memory, etching using plasma is applied for fine processing configured to form a circuit structure on the wafer surface. Such processing using the plasma etching is required to have higher precision and yield along with higher integration of devices.
  • In a plasma processing apparatus used for the plasma etching, a processing chamber is disposed inside a vacuum container, and an internal member of the processing chamber is typically made of metal such as aluminum, and stainless steel in terms of strength and cost. Further, a surface of the internal member of the processing chamber is exposed to plasma to be formed to be brought into contact with or face the plasma, and thus, it is general to dispose a coating film having a high plasma resistance on the surface of the member such that the surface of the member is not worn out by the plasma over a longer period of time or such that a change in quantity or property of mutual action between the plasma and the surface of the member is suppressed.
  • As an example of a technique of the processing chamber internal member using plasma with such a coating film having a plasma resistance, one disclosed in JP 4006596 B2 is conventionally known. In JP 4006596 B2, a coating film of yttrium oxide is illustrated as an example of the above coating film.
  • In general, it is known that a coating film using yttrium oxide can be formed in either vacuum or atmospheric atmosphere by a method such as plasma spraying, SPS spraying, explosion spraying, and reduced-pressure spraying. For example, an atmospheric plasma spraying method is a technique of introducing raw material powder having a predetermined particle diameter, for example, a diameter in the range of 10 to 60 μm, into a plasma flame together with a transport gas to form a molten or semi-molten state and spraying raw material particles in such a state onto a surface of a base member to be coated to form a film. Meanwhile, this spraying method has a problem of a height of a surface of the formed coating film, a problem that a variation of so-called unevenness is large, and a problem that pores are formed between grains of the coating film, which have been attached to each other in the molten or semi-molten state, cooled and solidified, and a gas in the plasma or product particles enter the pores to induce contamination and particles.
  • Conventionally, many solutions have been studied regarding such problems. For example, those disclosed in JP 2014-141390 A and JP 2016-27624 A are known. In these patent literatures, a so-called aerosol deposition method is disclosed. This technique forms a film by blowing raw material powder having a diameter of about several μm onto the surface of the substrate to be coated at speed close to the speed of sound to form a layered structure having microcrystals with a size of 8 to 50 nm as a coating film, and is known to have a feature that it is possible to reduce the unevenness of the surface more than the above atmospheric plasma spraying method.
  • When being exposed to plasma of a fluorine-based gas, the coating film made of yttrium oxide reacts with fluorine and the like in the plasma and the coating film is worn out. Therefore, a change of the coating film to yttrium fluoride has been studied. JP 2013-140950 A discloses a technique of forming a coating film made of yttrium fluoride by an atmospheric plasma spraying method.
  • Further, studies have proceeded regarding suppression of cracks, reduction of surface roughness, improvement of a breakdown voltage, and the like in film formation of an yttrium fluoride coating film. JP 2017-190475 A discloses a range of a value of a specific mixture ratio between yttrium fluoride granulated powder and yttrium oxide granulated powder which are sprayed materials capable of obtaining a sprayed coating film of an yttrium-based fluorinated compound that has a sufficient corrosion resistant performance against plasma and can effectively prevent damage to a substrate due to acid penetration during acid cleaning. Further, JP 2017-150085 A discloses a technique of supplying a slurry containing particles of yttrium fluoride having an average particle diameter in a specific range to a position separated downward from a nozzle of a spray gun in a direction along a central axis of the nozzle of the spray gun that releases a frame in a high-speed flame spraying method or the nozzle of the spray gun that releases a plasma jet in an atmospheric pressure plasma spraying method, or to a distal end position of the nozzle as a process of manufacturing a sprayed coating film made of yttrium fluoride which can suppress generation of particles.
  • SUMMARY OF THE INVENTION
  • However, there are problems in the above-described conventional techniques still due to insufficient consideration for the following points. That is, as the precision of processing required for the plasma processing apparatus used in the plasma etching increases, a size of a foreign matter generated during the processing inside the processing chamber disposed inside the vacuum container of the apparatus also decreases. In this manner, there is a demand for suppression of generation of fine particles having a smaller diameter as well.
  • In the above-described conventional techniques using the yttrium fluoride as the material, conditions for generation of a sprayed coating film capable of sufficiently suppressing the above-described corrosion and generation of fine particles are not sufficiently taken into consideration. Although JP 2014-141390 A and JP 2016-27624 A disclose conditions of the coating film disposed on a surface of a member forming an inner wall of the processing chamber to suppress the generation of fine particles, there is no consideration for conditions that need to be satisfied when the coating film is generated using the spraying method. Thus, in the conventional techniques, contamination of a sample to be processed occurs due to the generated particles so that a processing yield is impaired.
  • An object of the present invention is to provide a plasma processing apparatus, an internal member of the plasma processing apparatus, or a method of manufacturing the plasma processing apparatus and the internal member in which generation of particles is reduced to improve a processing yield.
  • The above object is achieved by a plasma processing apparatus including: a processing chamber disposed inside a vacuum container and in which plasma is formed; and a member which is a member forming an inner wall surface of the processing chamber and is disposed on a surface to be exposed to the plasma and has a coating film formed by spraying of yttrium fluoride or a material containing the yttrium fluoride, in which the ratio of an orthorhombic crystal of the yttrium fluoride or the material containing the yttrium fluoride forming the coating film relative to the entirety is 60% or more, or the member of the plasma processing chamber.
  • Further, the above object is achieved by a method of manufacturing the plasma processing apparatus or the member therefor in which the particles of the yttrium fluoride or the material containing the yttrium fluoride are sprayed using atmospheric plasma while maintaining a surface of the coating film at 280° C. or higher to form the coating film.
  • Further, the above object is achieved by a method of manufacturing the plasma processing apparatus or the member therefor in which particles of the yttrium fluoride or the material containing the yttrium fluoride are sprayed using atmospheric plasma to form the coating film, and then, surface treatment for heating a surface of the coating film to 280° C. or higher is performed.
  • In the plasma processing apparatus or the member therefor according to the present invention, it is possible to reduce generation of particles from the coating film on the surface of the member disposed inside the processing chamber.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a schematic outline of a configuration of a plasma processing apparatus according to an embodiment;
  • FIG. 1B is a vertical cross-sectional view schematically illustrating the outline of a configuration of a plasma processing apparatus according to an embodiment of the present invention;
  • FIG. 2 is a graph illustrating an intensity of X-ray diffraction with respect to a surface of a coating film of a ground electrode disposed in the plasma processing apparatus according to the example illustrated in FIGS. 1A and 1B;
  • FIG. 3 is a graph illustrating a change in the number of generated particles from the coating film with respect to different crystal phase ratios of the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B;
  • FIG. 4 is a graph illustrating a change in the number of generated particles accompanying a change in an average crystallite size of the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B;
  • FIG. 5 is a graph illustrating a change in the average crystallite size with respect to a change in time for treatment on the surface of the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B; and
  • FIG. 6 is a graph illustrating changes in an orthorhombic crystal phase ratio and an average crystallite size with respect to a change in temperature of the surface at the time of forming the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B.
  • DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • Hereinafter, an embodiment of the present invention will be described with reference to the drawings.
  • Embodiment
  • Hereinafter, an embodiment of the present invention will be described with reference to FIGS. 1A to 6.
  • FIG. 1A is a schematic cross-sectional view of a plasma processing apparatus. FIG. 1B is a vertical cross-sectional view schematically illustrating an outline of a configuration of the plasma processing apparatus according to the embodiment of the present invention.
  • The plasma processing apparatus of the present embodiment includes: a vacuum container having a cylindrical portion; a plasma formation unit disposed around the top or the periphery of the side of the cylindrical portion so as to surround the top or the periphery of the side of the cylindrical portion; and a vacuum exhaust unit including a vacuum pump disposed below the vacuum container and exhausting the interior of the vacuum container. A processing chamber 7, which is a space where plasma is formed, is disposed inside the vacuum container, and is configured to be capable of communicating with the vacuum exhaust unit.
  • An upper part of the processing chamber 7 forms a discharge chamber which is a space surrounded by an inner wall having a cylindrical shape and in which plasma 15 is formed.
  • Inside the processing chamber 7 below the discharge chamber where the plasma 15 is generated, a stage 6, which is a sample stage that allows a wafer 4 as a substrate to be processed to be placed on an upper surface thereof and held, is disposed.
  • The stage 6 of the present embodiment is a member having a cylindrical shape of which a center axis in the vertical direction is disposed to be concentric with the discharge chamber or at a position which is moderately approximate to such a concentric position to be regarded as the concentric position when seen from the above, a space is formed between a bottom surface of the processing chamber 7 in which an opening communicating with the vacuum exhaust unit is disposed and a bottom surface of the stage 6, and the stage 6 is held at an intermediate position between an upper end surface and a lower end surface in the vertical direction of the processing chamber 7. The space inside the processing chamber 7 below the stage 6 communicates with the discharge chamber through a gap between a side wall of the stage 6 and an inner wall surface of the processing chamber 7 surrounding the stage 6 to form an exhaust path through which a product generated on an upper surface of the wafer 4 and in the discharge chamber during the processing of the wafer 4 on the upper surface of the stage 6, the plasma inside the discharge chamber, and gas particles pass to be discharged to the outside of the processing chamber 7 by the vacuum exhaust unit.
  • On the stage 6 of the present embodiment, a heater (not illustrated) that has a base member, which is a metal member having a cylindrical shape, and a film made of a dielectric, disposed so as to cover an upper surface of the base member, disposed therein and a refrigerant flow path (not illustrated) disposed in multiple inside the base member concentrically or spirally around the central axis. Further, in a state where the wafer 4 is placed on the upper surface of the film made of the dielectric of the stage 6, a gas having heat conductivity such as He is supplied to the gap between a lower surface of the wafer 4 and an upper surface of the dielectric film. Therefore, a pipe through which a gas having heat conductivity flows is disposed inside the base member and the film made of the dielectric (not illustrated).
  • Further, the base member of the stage 6 is connected with a radio-frequency power supply 14, which supplies a radio-frequency power to form an electric field for attraction of charged particles in the plasma above the upper surface of the wafer 4 during the processing of the wafer 4 by the plasma, by a coaxial cable via an impedance matching unit 13. In addition, film-shaped electrodes to which DC power for generation of an electrostatic force to attract and hold the wafer 4 onto the upper surface of the dielectric film inside the dielectric film and the wafer 4 is supplied are disposed above the heater inside the dielectric film above the base member to be symmetric about the center axis at each of a plurality of regions in the radial direction from the center axis in the vertical direction of a substantially circular upper surface of the wafer 4 or the stage 6 such that different polarities can be imparted to the respective electrodes.
  • A window member 3, which is disposed to oppose the upper surface of the stage 6 and has a discoid shape made of a dielectric material such as quartz and ceramics that forms the upper part of the vacuum container to hermetically seal the inside and the outside of the processing chamber 7, is provided above the upper surface of the stage 6 of the processing chamber 7. Further, a shower plate 2, which is disposed with a gap 8 from a lower surface of the window member 3, includes a plurality of through-holes 9 at a central portion thereof, and has a discoid shape made of a dielectric material such as quartz, is provided at a position which locates below the window member 3 and forms a ceiling surface of the processing chamber 7.
  • The gap 8 is connected to the vacuum container so as to communicate with a processing gas supply pipe 50, and a valve 51 which opens or closes the inside is disposed at a predetermined location on the processing gas supply pipe 50.
  • A flow rate or speed of a gas for processing (processing gas) to be supplied to the inside of the processing chamber 7 is controlled by a gas flow rate control means (not illustrated) connected to one end side of the processing gas supply pipe 50. The processing gas flows into the gap 8 through the processing gas supply pipe 50 with the valve 51 opened, and then, diffuses inside the gap 8 and is supplied into the processing chamber 7 from above through the through-hole 9.
  • The vacuum exhaust unit, which discharges the gas and particles inside the processing chamber 7 via an exhaust port that is an opening for exhaust disposed immediately below the stage 6 on a bottom surface of the processing chamber 7 with substantially the same central axis in the vertical direction, is disposed below the vacuum container. The vacuum exhaust unit includes a pressure adjustment plate 16 which is a discoid valve which moves up and down above the exhaust port to increase or decreases the area of a flow path through which the gas flows into the exhaust port, and a turbo molecular pump 12 which is the vacuum pump. Further, in the vacuum exhaust unit, an outlet of the turbo molecular pump 12 is connected to a dry pump 11, which is a rough vacuum pump, via an exhaust pipe and communicates therewith, and a valve 18 is disposed on the exhaust pipe.
  • The pressure adjustment plate 16 of the present embodiment also serves as a valve for opening and closing the exhaust port. The vacuum container is provided with a pressure detector 75 which is a sensor configured to detect the pressure inside the processing chamber 7. A signal output from the pressure detector 75 is transmitted to a controller (not illustrated) to detect a value of the pressure, and the pressure adjustment plate 16 is driven to change a position in the vertical direction based on a command signal output from the control unit in accordance with the value so that the area of the flow path of the exhaust gas is increased or decreased. Between a valve 17 and a valve 19 connected to the exhaust pipe 10, the valve 17 is a slow-exhaust valve configured to slowly exhaust the processing chamber 7 from the atmospheric pressure to a vacuum using the dry pump 11, and the valve 19 is a main exhaust valve configured for high-speed exhaust using the dry pump 11.
  • A configuration for formation of an electric field or a magnetic field to be supplied to the processing chamber 7 in order to form plasma is disposed in the periphery surrounding the top and a side wall of the cylindrical portion of the upper part of the vacuum container forming the processing chamber 7. That is, a waveguide 21 which is a conduit through which an electric field of microwaves supplied into the processing chamber 7 propagates the inside is disposed above the window member 3, and a magnetron oscillator 20 that outputs the microwave electric field in an oscillating manner is disposed at one end portion of the waveguide 21. The waveguide 21 has a rectangular waveguide portion which has a rectangular vertical section and an axis extending in the horizontal direction, and has the magnetron oscillator 20 disposed at the one end portion, and a circular waveguide portion which is connected to the other end portion of the rectangular waveguide portion and has a central axis extending in the vertical direction and has a circular cross section. A lower end portion of the circular waveguide portion has a cylindrical shape whose diameter is enlarged and a cavity in which an electric field of a specific mode is intensified disposed therein. A plurality of stages of a solenoid coil 22 and a solenoid coil 23 each serving as a magnetic field generating means are provided so as to surround the top and the periphery of the cavity, and further, the periphery of the side of the processing chamber 7.
  • In such a plasma processing apparatus, the unprocessed wafer 4 is placed at a distal end portion of an arm of a vacuum transport device (not illustrated) such as a robot arm, disposed inside a transport chamber, is transported inside the transport chamber inside a vacuum transport container, which is another vacuum container (not illustrated) connected to the side wall of the vacuum container, into the processing chamber 7 and is delivered to the stage 6 to be placed on the upper surface of the stage 6. When the arm of the vacuum transport device exits the processing chamber 7, the inside of the processing chamber 7 is sealed, and the wafer 4 is held on the dielectric film by an electrostatic force generated as a voltage of a DC current is applied to the electrode for electrostatic suction inside the dielectric film. In this state, the gas having a heat transfer property such as He is supplied through the pipe disposed inside the stage 6 into the gap between the wafer 4 and the upper surface of the dielectric film forming the upper surface of the stage 6. As a refrigerant whose temperature is controlled within a predetermined range by a refrigerant temperature controller (not illustrated) is supplied to the internal refrigerant flow path, the transfer of heat between the temperature-controlled base material and the wafer 4 is promoted, and the temperature of the wafer 4 is adjusted to a value within a range appropriate for the start of processing.
  • The processing gas whose flow rate or speed has been controlled by the gas flow rate control means passes through the processing gas supply pipe 50 and is supplied from the gap 8 into the processing chamber 7 through the through-hole 9, the inside of the processing chamber 7 is exhausted through the exhaust port by the operation of the turbo molecular pump 12, and the pressure inside the processing chamber 7 is controlled to a value within a range appropriate for processing due to a balance therebetween. In this state, the microwave electric field oscillating from the magnetron oscillator 20 propagates inside the waveguide 21, passes through the window member 3 and the shower plate 2, and is radiated into the processing chamber 7. Further, the magnetic field generated by the solenoid coils 22 and 23 is supplied to the processing chamber 7, electron cyclotron resonance (ECR) is generated by the interaction between the magnetic field and the microwave electric field, atoms or molecules of the processing gas are excited, ionized, and dissociated to generate the plasma 15 inside the processing chamber 7.
  • When the plasma 15 is formed, radio-frequency power from the radio-frequency power supply 14 is supplied to the base material, a bias potential is formed above the upper surface of the wafer 4, charged particles such as ions in the plasma 15 are attracted to the upper surface of the wafer 4, and an etching process of a film layer to be processed having a film structure including a plurality of film layers including a film layer to be processed and a mask layer, formed in advance on the upper surface of the wafer 4, proceeds along a pattern shape of the mask layer. When a detector (not illustrated) detects that the processing of the film layer to be processed has reached its end point, the supply of the radio-frequency power from the radio-frequency power supply 14 is stopped, and the plasma 15 is extinguished to stop the processing.
  • When the control unit determines that it is not necessary to proceed the etching process of the wafer 4 further, high-vacuum exhaust is performed. Further, the arm of the vacuum transport device enters the processing chamber 7 to deliver the processed wafer 4 after the static electricity is removed and the suction of the wafer 4 is released, and then, the wafer 4 is carried out of the vacuum transport chamber outside the processing chamber 7 along with a contraction of the arm.
  • The inner wall surface of the processing chamber 7 is a surface that faces the plasma 15 and is exposed to particles of the plasma 15.
  • Meanwhile, it is necessary to dispose a member, which faces the plasma and functions as a ground electrode in contact with the plasma, inside the processing chamber 7 in order to stabilize a potential of the plasma 15 which is a dielectric.
  • In the plasma processing apparatus of the present embodiment, a ground electrode 40, which is a ring-shaped member that covers a surface of a lower part of the inner wall of the processing chamber 7 surrounding the discharge chamber and is disposed above and the upper surface of the stage 6 to surround the periphery thereof, is disposed for the purpose of providing a function as the ground electrode. The ground electrode 40 includes a base made of a material having a conductivity and a coating film covering a surface of the base. In the present embodiment, the base of the ground electrode is made of metal such as a stainless steel alloy and an aluminum alloy.
  • When there is no coating film on a surface of the base, the ground electrode 40 serves as a source of generating corrosion and particles which cause contamination of the wafer 4 by being exposed to the plasma 15 at the place. Thus, a coating film 42 made of a material having a high plasma resistance is disposed on the surface of the ground electrode 40 so as to cover the base in order to suppress the contamination. Due to the coating film 42 covering an inner wall material, it is possible to suppress damage by the plasma while maintaining the function as the electrode of the ground electrode 40 using the plasma.
  • Incidentally, the coating film 42 may be a laminated film. In the present embodiment, a film integrally formed by spraying yttrium fluoride or a material containing the yttrium fluoride on the surface of the base set to have a surface roughness within a predetermined range using atmospheric plasma such that a number of particles of the deposited material are welded.
  • On the other hand, a member made of metal such as a stainless steel alloy and an aluminum alloy is used also for the base member 41 that has no function as a ground. The surface of the base member 41 is also subjected to a process of improving a corrosion resistance against plasma or reducing wear, such as passivation treatment, spraying, PVD, and CVD, in order to suppress the corrosion, metal contamination and generation of particles by the exposure to the plasma 15.
  • In order to reduce the above-described interaction between the base member 41 and the plasma 15, a cylindrical cover (not illustrated) made of yttrium oxide or ceramics such as quartz may be disposed on the inner side of the inner wall surface of the base member 41 having a cylindrical shape between the base member 41 and the discharge chamber. Since such a cover is disposed between the base member 41 and the plasma 15, the contact of the base member 41 with highly reactive particles in the plasma 15 and the collision of charged particles are blocked or reduced, and the wear of the base member 41 can be suppressed.
  • The coating film 42 of the present embodiment was formed in such a manner that particles of yttrium oxide or a material containing the yttrium oxide, as an underlayer, were sprayed onto the ground electrode 40 made of an aluminum alloy using atmospheric plasma to form a film having a thickness of about 100 μm, and particles of yttrium fluoride or a material containing the yttrium fluoride were sprayed onto an underlayer film made of the yttrium oxide using atmospheric plasma to form a film having a thickness of about 100 μm.
  • The temperature of the surface of the coating film was about 135° C. at the time when the formation of the upper layer film made of yttrium fluoride was completed. After the formation of the coating film 42, a composition of the upper layer film made of yttrium fluoride was measured. As a result, a phase ratio of an orthorhombic crystal was 44% and an average crystallite size was 27 nm.
  • A ratio of the orthorhombic crystal of the coating film 42 made of yttrium fluoride or the material containing the yttrium fluoride was measured by X-ray diffraction. The X-ray diffraction was performed for 2θ from 15° to 40° with an incident angle fixed at 1°. Results thereof are illustrated in FIG. 2.
  • FIG. 2 is a graph illustrating an intensity of X-ray diffraction on the surface of the coating film 42 of the ground electrode 40 according to the embodiment illustrated in FIGS. 1A and 1B. As illustrated in FIG. 2, the coating film 42 contained yttrium fluoride and yttrium oxyfluoride.
  • For YF3 as an orthorhombic crystal and Y5O4F7 as an orthorhombic crystal, which are low-temperature phases, integrated intensities of diffracted X-rays were obtained from an YF3 orthorhombic (210) plane indicated by a reference sign 203 in the vicinity of 2θ=31° and a Y5O4F7 orthorhombic (0100) plane indicated by a reference sign 204 in the vicinity of 2θ=32.5°, respectively. In addition, for YF3 as a hexagonal crystal and Y—O—F (which is certainly a hexagonal crystal based on indexing, but is denoted by Y—O—F since detailed crystal structure analysis has not been performed), which are high-temperature phases, integrated intensities of diffracted X-rays were obtained from an YF3 hexagonal (001) plane indicated by a reference sign 201 in the vicinity of 2θ=21° and a Y—O—F hexagonal (111) plane indicated by a reference sign 202 in the vicinity of 2θ=29°, respectively. Using the obtained integrated intensity, a phase ratio was obtained by the reference intensity ratio (RIR) method.
  • Further, an average crystallite size of an upper layer made of yttrium fluoride of the coating film 42 was also measured by in-plane X-ray diffraction. The average crystallite size was measured for 2θ from 10° to 100° with an incident angle fixed at 1.5°. Each diffraction peak was indexed to obtain a full width half maximum and the average crystallite size was obtained by the Hall method.
  • Further, the generation of particles was evaluated for the treated surface of the coating film 42. As a result, the phase ratio of the orthorhombic crystal of the coating film 42 in which the number of generated particles was zero was 64%, and the average crystallite size thereof was 27 nm. In an evaluation of the generation of particles on the surface treated with another kind of surface treatment, the number of generated particles from the coating film 42 having the phase ratio of the orthorhombic crystal of 55% was 2.5.
  • Next, the number of generated particles was evaluated for each of a plurality of types of the coating films 42 in which the orthorhombic crystal ratio of the film layer made of yttrium fluoride is made to differ by varying condition at the time of spraying or varying by performing different kinds of surface treatment. Results thereof are illustrated in FIG. 3. FIG. 3 is a graph illustrating a change in the number of generated particles from the coating film with respect to different crystal phase ratios of the coating film of the ground electrode of the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B.
  • The number of generated particles is counted in such a manner that the ground electrode 40 is disposed in the plasma processing apparatus, and ceramic parts (not illustrated) inside the base member 41 are made of quartz so that it is possible to understand that particles containing yttrium was generated with the ground electrode 40 as a generation source. The etching process described above was repeated, and particles remaining on the wafer were analyzed by SEM-EDX, and particles containing yttrium were counted.
  • As illustrated in FIG. 3, it has been found out from the evaluations that the number of generated particles gradually approaches zero after the phase ratio of the orthorhombic crystal in the film made of yttrium fluoride formed by the spraying method exceeds about 60%. The inventors have thus obtained a finding that it is possible to suppress the generation of particles from the film by forming the film using the spraying method such that the phase ratio of the orthorhombic crystal in the film made of yttrium fluoride becomes 60% or more.
  • In addition, the number of generated particles was compared for the coating films 42 as inner wall materials having different average crystallite sizes. Results thereof are illustrated in FIG. 4. FIG. 4 is a graph illustrating a change in the number of generated particles accompanying a change in the average crystallite size of the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B.
  • As illustrated in FIG. 4, it has been found out that the generation of particles is also reduced as the average crystallite size decreases. That is, a finding that it is possible to further suppress the number of generated particles as the crystallite size of the coating film 42 becomes smaller has been obtained. Therefore, the coating film 42 having a large average crystallite size was subjected to surface treatment and a change in the average crystallite size of the coating film 42 was investigated by changing a surface treatment time in order to obtain a value of the average crystallite size which serves as a threshold at which the number of generated particles changes. Results thereof are illustrated in FIG. 5.
  • FIG. 5 is a graph illustrating a change in the average crystallite size with respect to a change in time for treatment on the surface of the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B. As illustrated in FIG. 5, it has been found out that the average crystallite size decreases to a value of 50 nm or smaller as the time for the surface treatment becomes longer, and then, a rate of the decrease in the average crystallite size relative to the increase in processing time becomes gentle so that the average crystallite size gradually approaches a value between 45 and 50 nm in this example.
  • Based on the above results, the inventors of the present invention have obtained a finding that it is possible to suppress the change in the crystal size even if a cumulative value of the time during the interaction of the surface of the coating film 42 increases by setting the average crystallite size of the coating film 42 to 50 nm or smaller since the average crystallite size decreases and gradually approaches to the value of 45 to 50 nm along with the time increase as above. In the present embodiment, the coating film 42, formed by spraying and made of the material containing yttrium fluoride to cover the surface on the side facing the discharge chamber of the ground electrode 40 and in contact with the plasma 15, is formed such that the phase ratio of the orthorhombic crystal is 60% or more and the average crystallite size is 50 nm or smaller as described above. In this manner, the generation of particles from the film on the upper layer of the coating film 42 made of the material containing yttrium fluoride is suppressed.
  • In the above example, yttrium oxide as an underlayer was sprayed on the ground electrode 40 made of the aluminum alloy using atmospheric plasma to form a thickness of about 100 μm, and particles containing yttrium fluoride as a material were sprayed thereon using atmospheric plasma to form an upper layer film so as to have the thickness of about 100 μm. The temperature of the surface of the upper layer film at the completion of such formation was 135° C. As another example of the formation of the coating film 42 according to the present embodiment, heat may be spontaneously dissipated to cool the surface temperature up to about 67° C. after forming the upper layer film, and thereafter, a thin film may be formed by spraying particles containing yttrium fluoride using atmospheric plasma.
  • In this example, the upper layer film of the coating film 42 had the orthorhombic crystal phase ratio of 34% and the average crystallite size of 33 nm. Further, the upper layer film of the coating film 42 was subjected to surface treatment such that the average crystallite size of the coating film 42 became 37 nm and the orthorhombic crystal phase ratio became 68%. As a result of evaluating the number of generated particles from this coating film 42, the number of generated particles was 0.1.
  • In this evaluation, an X-ray used for the X-ray measurement is a Cu Kα ray, and a maximum detection depth in an angular range where a diffracted ray is obtained is about 5 μm. From this example, it is suggested that the generation of particles can be suppressed by appropriately setting the state of the crystallite in a thickness range of several μm to 5 μm of the surface of the coating film 42. When the material containing yttrium fluoride is sprayed using atmospheric plasma, a coating film is formed at 15 to 30 μm/pass.
  • Therefore, a correlation between the orthorhombic crystal phase ratio and the average crystallite size of the film made of the material containing yttrium fluoride was studied focusing on the temperature of the surface of the formed film when spraying the material containing yttrium fluoride with atmospheric plasma. Results thereof are illustrated in FIG. 6. FIG. 6 is a graph illustrating changes in an orthorhombic crystal phase ratio and an average crystallite size with respect to a change in temperature of the surface at the time of forming the coating film of the ground electrode disposed in the plasma processing apparatus according to the embodiment illustrated in FIGS. 1A and 1B.
  • In FIG. 6, the average crystallite size is indicated by a mark of a closed circle on the left axis, the orthorhombic crystal phase ratio is indicated by a mark of a black square on the right axis. It is understood that the orthorhombic crystal phase ratio increases as the surface temperature increases. On the other hand, it is understood that the average crystallite size increases before and after 130° C. with a value at around 130° C. as the minimum.
  • This result indicates that there is a range where both the orthorhombic crystal phase ratio and the average crystallite size increase along with an increase of a value of the surface temperature at the time of forming the film by spraying the material made of yttrium fluoride using atmospheric plasma, and it is possible to define a lower limit and an upper limit of the temperature at which the film of the coating film 42 made of yttrium fluoride capable of suppressing the generation of particles can be formed using the orthorhombic crystal phase ratio and the average crystallite size, respectively. In the example illustrated in FIG. 6 of the present embodiment, 280° C. or higher was set as the range of the temperature at which the orthorhombic crystal phase ratio is 60% or more, and 350° C. or lower was set as the range of the temperature at which the average crystallite size is 50 nm or smaller.
  • An underlayer film was formed by spraying yttrium oxide as an underlayer on the surface of the base of the ground electrode 40 made of the aluminum alloy using atmospheric plasma to have a thickness of about 100 μm, and an upper layer film was formed by spraying particles containing yttrium fluoride as the material thereon using atmospheric plasma. After confirming that the surface temperature when the thickness of the upper layer film was about 100 μm was about 280° C., the last one layer was formed by spraying using the atmospheric plasma to form the coating film 42. As a result, the coating film 42 of the yttrium fluoride material having an orthorhombic crystal phase ratio of 61% and an average crystallite size of 41 nm was formed. The plurality of wafers 4 were processed using the plasma processing apparatus including the ground electrode 40 and the generation of particles was evaluated until the cumulative processing time reached a predetermined value. As a result of exponential least squares fitting of temporal transition of the number of particles, the number of generated particles was 0.7.
  • Further, in another example, yttrium oxide as an underlayer was sprayed on the ground electrode 40 made of the aluminum alloy using atmospheric plasma to form a thickness of about 100 μm, and then, a material containing yttrium fluoride was sprayed thereon using atmospheric plasma to form the upper layer film so as to have a thickness of about 100 μm. The upper layer film was formed by spraying such that the surface temperature of the film during the formation did not exceed about 150° C.
  • Next, the surface of the coating film 42 was subjected to surface treatment by heating using a halogen lamp. A correlation between a sample temperature and a lamp output was acquired in advance by using another coating film of the same material in which a thermocouple was embedded, and the lamp was scanned so as to perform heating for a short time while controlling the output such that the temperature did not exceed 350° C. in the actual surface heating of the coating film.
  • Due to light heating using two halogen lamps (with an output of 0.45 kW) and rapid cooling by cold air blowing under conditions that the air temperature at a focal position was about 600° C. and the sample temperature was 341° C., an orthorhombic crystal phase ratio of the resulting coating film 42 was 67%, and an average crystallite size was 45 nm. The ground electrode 40 was used to evaluate the generation of particles for a predetermined processing time, and the number of generated particles was zero. Although the halogen lamp was used in the embodiment, the same effect can be obtained by heating using an infrared lamp or laser light.
  • In still another embodiment, yttrium oxide as an underlayer was sprayed on the ground electrode 40 made of the aluminum alloy using atmospheric plasma to form a thickness of about 100 μm, and an yttrium fluoride-based material was sprayed thereon as the coating film 42 using atmospheric plasma to form a thickness of about 100 μm. The film was formed such that the surface temperature did not exceed about 150° C. during the atmospheric plasma spraying. As a result of chemical treatment of the surface of the resulting coating film 42, an orthorhombic crystal phase ratio of the coating film 42 of the yttrium fluoride-based material was 32% and an average crystallite size was 31 nm.
  • Therefore, surface heating by electron/ion beams was carried out. The ground electrode 40 was placed in the vacuum chamber, and the surface of the coating film 42 was irradiated with electron beams.
  • Since the inner wall material is ceramics, the surface of the coating film 42 is charged with accumulation of negative charges when being irradiated with the electron beams. Therefore, the same place was irradiated with Ar ion beams using an Ar ion gun. The Ar ion gun performed irradiation with an acceleration voltage of several tens eV in order to reduce irradiation damage. The surface temperature was measured using an infrared thermometer, and the setting temperature was controlled at 340° C. so as not to exceed 350° C.
  • By such additional heating, the coating film 42 could have an orthorhombic crystal phase ratio of 69% and an average crystallite size of 50 nm. The ground electrode 40 was used to evaluate the generation of particles for a predetermined processing time, and the number of generated particles was zero.

Claims (8)

What is claimed is:
1. A plasma processing apparatus comprising:
a processing chamber disposed inside a vacuum container and in which plasma is formed; and
a member which is a member forming an inner wall surface of the processing chamber and is disposed on a surface to be exposed to the plasma and has a coating film formed by spraying of yttrium fluoride or a material containing the yttrium fluoride,
wherein a ratio of an orthorhombic crystal of the yttrium fluoride or the material containing the yttrium fluoride forming the coating film relative to entirety is 60% or more.
2. The plasma processing apparatus according to claim 1, wherein
a size of the crystal is 50 nm or smaller.
3. A method of manufacturing a plasma processing apparatus, which comprises: a processing chamber disposed inside a vacuum container and in which plasma is formed; and a member which is a member forming an inner wall surface of the processing chamber and is disposed on a surface to be exposed to the plasma and has a coating film formed by spraying of yttrium fluoride or a material containing the yttrium fluoride, the method comprising:
spraying particles of the yttrium fluoride or the material containing the yttrium fluoride using atmospheric plasma while maintaining a surface of the coating film at 280° C. or higher to form the coating film.
4. The method of manufacturing the plasma processing apparatus according to claim 3, wherein
the particles of the yttrium fluoride or the material containing the yttrium fluoride are sprayed using atmospheric plasma while maintaining a surface of the coating film at 350° C. or lower to form the coating film.
5. A member of a plasma processing chamber, which comprises a processing chamber disposed inside a vacuum container and in which plasma is formed, forming an inner wall surface of the processing chamber of the plasma processing apparatus in which a sample disposed inside the processing chamber is processed using plasma generated inside the processing chamber, the member comprising
a coating film disposed on a surface to be exposed to the plasma,
wherein the coating film is formed by spraying of yttrium fluoride or a material containing the yttrium fluoride such that a ratio of an orthorhombic crystal of the yttrium fluoride or the material containing the yttrium fluoride forming the coating film relative to entirety is 60% or more.
6. The member of the plasma processing chamber according to claim 5, wherein
a size of the crystal is 50 nm or smaller.
7. A method of manufacturing a member of a plasma processing chamber, the member forming an inner wall surface of a processing chamber disposed inside a vacuum container and in which plasma is formed, disposed to a surface to be exposed to the plasma, and having a coating film formed by spraying of yttrium fluoride or a material containing the yttrium fluoride, the method comprising:
spraying particles of the yttrium fluoride or the material containing the yttrium fluoride using atmospheric plasma while maintaining a surface of the coating film at 280° C. or higher to form the coating film.
8. The method of manufacturing the member of a plasma processing chamber according to claim 7, wherein
the particles of the yttrium fluoride or the material containing the yttrium fluoride are sprayed using atmospheric plasma while maintaining a surface of the coating film at 350° C. or lower to form the coating film.
US16/357,971 2018-04-20 2019-03-19 Plasma processing apparatus and member of plasma processing chamber Abandoned US20190326101A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US18/115,124 US20230207279A1 (en) 2018-04-20 2023-02-28 Plasma processing apparatus and member of plasma processing chamber

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-081089 2018-04-20
JP2018081089A JP7122854B2 (en) 2018-04-20 2018-04-20 Plasma processing apparatus and member for plasma processing apparatus, or method for manufacturing plasma processing apparatus and method for manufacturing member for plasma processing apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/115,124 Division US20230207279A1 (en) 2018-04-20 2023-02-28 Plasma processing apparatus and member of plasma processing chamber

Publications (1)

Publication Number Publication Date
US20190326101A1 true US20190326101A1 (en) 2019-10-24

Family

ID=68236575

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/357,971 Abandoned US20190326101A1 (en) 2018-04-20 2019-03-19 Plasma processing apparatus and member of plasma processing chamber
US18/115,124 Pending US20230207279A1 (en) 2018-04-20 2023-02-28 Plasma processing apparatus and member of plasma processing chamber

Family Applications After (1)

Application Number Title Priority Date Filing Date
US18/115,124 Pending US20230207279A1 (en) 2018-04-20 2023-02-28 Plasma processing apparatus and member of plasma processing chamber

Country Status (5)

Country Link
US (2) US20190326101A1 (en)
JP (1) JP7122854B2 (en)
KR (1) KR102268823B1 (en)
CN (1) CN110391123B (en)
TW (1) TWI778245B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757852B (en) * 2019-12-23 2022-03-11 日商日立全球先端科技股份有限公司 Manufacturing method of parts of plasma processing apparatus and inspection method of parts

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021124996A1 (en) 2019-12-18 2021-06-24 信越化学工業株式会社 Yttrium-fluoride-based sprayed film, spraying member, and method for producing yttrium-fluoride-based sprayed film
KR102225604B1 (en) * 2019-12-18 2021-03-10 피에스케이 주식회사 A substrate processing apparatus
WO2022009340A1 (en) * 2020-07-08 2022-01-13 株式会社日立ハイテク Cover member for plasma processing device, plasma processing, and membrane production method
CN112742658B (en) * 2021-02-03 2022-03-25 宝丰县五星石墨有限公司 Graphite product electrode dipping device
KR102349212B1 (en) * 2021-04-28 2022-01-07 권순영 Method of forming coating layer with the different composition
KR20230005107A (en) * 2021-06-28 2023-01-09 주식회사 히타치하이테크 How to regenerate inner wall members
WO2024047746A1 (en) * 2022-08-30 2024-03-07 株式会社日立ハイテク Plasma processing device, internal member of plasma processing device, and method for manufacturing internal member of plasma processing device
WO2024053257A1 (en) * 2022-09-09 2024-03-14 日本イットリウム株式会社 Material for film formation and method for producing coating film

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170283313A1 (en) * 2016-03-29 2017-10-05 Applied Materials, Inc. Fluoride glazes from fluorine ion treatment
US20170323772A1 (en) * 2016-05-03 2017-11-09 Applied Materials, Inc. Protective metal oxy-fluoride coatings

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS636596A (en) 1986-06-26 1988-01-12 富士通株式会社 Driving of matrix display panel
JP3894313B2 (en) * 2002-12-19 2007-03-22 信越化学工業株式会社 Fluoride-containing film, coating member, and method for forming fluoride-containing film
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
JP6034156B2 (en) 2011-12-05 2016-11-30 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP5578383B2 (en) 2012-12-28 2014-08-27 Toto株式会社 Plasma resistant material
JP5888458B2 (en) 2014-06-26 2016-03-22 Toto株式会社 Plasma-resistant member and manufacturing method thereof
CN105428195B (en) * 2014-09-17 2018-07-17 东京毅力科创株式会社 The component of plasma processing apparatus and the manufacturing method of component
JP2016065302A (en) * 2014-09-17 2016-04-28 東京エレクトロン株式会社 Component for plasma treatment apparatus and manufacturing method of the component
JP6443380B2 (en) 2016-04-12 2018-12-26 信越化学工業株式会社 Yttrium-based fluoride sprayed coating and corrosion resistant coating containing the sprayed coating
JP6929718B2 (en) * 2016-09-21 2021-09-01 日本特殊陶業株式会社 Yttrium fluoride-based sprayed film and its manufacturing method, and base material with sprayed film and its manufacturing method
TWM563652U (en) * 2016-10-13 2018-07-11 美商應用材料股份有限公司 Chamber components for use in plasma processing apparatuses and apparatuses comprising the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170283313A1 (en) * 2016-03-29 2017-10-05 Applied Materials, Inc. Fluoride glazes from fluorine ion treatment
US20170323772A1 (en) * 2016-05-03 2017-11-09 Applied Materials, Inc. Protective metal oxy-fluoride coatings

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757852B (en) * 2019-12-23 2022-03-11 日商日立全球先端科技股份有限公司 Manufacturing method of parts of plasma processing apparatus and inspection method of parts

Also Published As

Publication number Publication date
US20230207279A1 (en) 2023-06-29
KR102268823B1 (en) 2021-06-25
JP2019192701A (en) 2019-10-31
CN110391123A (en) 2019-10-29
TW201943870A (en) 2019-11-16
KR20190122540A (en) 2019-10-30
JP7122854B2 (en) 2022-08-22
TWI778245B (en) 2022-09-21
CN110391123B (en) 2022-04-08

Similar Documents

Publication Publication Date Title
US20230207279A1 (en) Plasma processing apparatus and member of plasma processing chamber
EP0784861B1 (en) Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
US6933508B2 (en) Method of surface texturizing
JP2008526026A (en) Method and structure for reducing byproduct deposition in plasma processing systems
TWI599669B (en) Film forming apparatus and film forming method
KR20190086699A (en) Plasma discharge ignition method for reducing surface particles
JP2018107313A (en) Gas supply device, plasma processing device, and manufacturing method of gas supply device
US20190214235A1 (en) Plasma processing apparatus
TW202037737A (en) Plasma processing device, internal member for plasma processing device, and method for manufacturing said internal member
JP6397680B2 (en) Plasma processing apparatus and method of operating plasma processing apparatus
WO2022009340A1 (en) Cover member for plasma processing device, plasma processing, and membrane production method
JP7286851B2 (en) OPERATING METHOD OF PLASMA PROCESSING APPARATUS AND MEMBER FOR PLASMA PROCESSING APPARATUS
WO2023228232A1 (en) Method for reproducing inner wall member
WO2023275958A1 (en) Method for regenerating inner wall member
CN113302721B (en) Method for manufacturing component of plasma processing apparatus and method for inspecting component
TW202410741A (en) Plasma processing device, internal components of plasma processing device, and method of manufacturing internal components of plasma processing device
WO2024047746A1 (en) Plasma processing device, internal member of plasma processing device, and method for manufacturing internal member of plasma processing device
CN117957641A (en) Plasma processing apparatus, internal component of plasma processing apparatus, and method for manufacturing internal component of plasma processing apparatus
TW202329191A (en) Transformer coupled plasma source design for thin dielectric film deposition
JP2004296753A (en) Plasma exposure component and its surface treatment method as well as plasma processing device

Legal Events

Date Code Title Description
AS Assignment

Owner name: HITACHI HIGH-TECHNOLOGIES CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:UEDA, KAZUHIRO;IKENAGA, KAZUYUKI;TAMURA, TOMOYUKI;AND OTHERS;REEL/FRAME:048673/0487

Effective date: 20181214

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: HITACHI HIGH-TECH CORPORATION, JAPAN

Free format text: CHANGE OF NAME;ASSIGNOR:HITACHI HIGH-TECHNOLOGIES CORPORATION;REEL/FRAME:052225/0894

Effective date: 20200214

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION