US20190051526A1 - Method of manufacturing integrated circuit device - Google Patents

Method of manufacturing integrated circuit device Download PDF

Info

Publication number
US20190051526A1
US20190051526A1 US15/891,391 US201815891391A US2019051526A1 US 20190051526 A1 US20190051526 A1 US 20190051526A1 US 201815891391 A US201815891391 A US 201815891391A US 2019051526 A1 US2019051526 A1 US 2019051526A1
Authority
US
United States
Prior art keywords
film
carbon
silicon
mask
organic anti
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/891,391
Other versions
US10224204B1 (en
Inventor
Dong-Hoon KHANG
Dong-woo Kang
Moon-han Park
Ji-Ho Yoo
Chong-Kwang Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, CHONG-KWANG, KANG, DONG-WOO, KHANG, DONG-HOON, PARK, MOON-HAN, YOO, Ji-Ho
Publication of US20190051526A1 publication Critical patent/US20190051526A1/en
Application granted granted Critical
Publication of US10224204B1 publication Critical patent/US10224204B1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Definitions

  • the inventive concept relates to a method of manufacturing an integrated circuit device, and more particularly, to a method of manufacturing an integrated circuit device having fine line-width patterns.
  • the unit features or patterns of integrated circuit devices having a fine critical dimension (CD) in compliance with such reduced design rules are those formed by an ion implantation process through an ion implantation mask having openings of dimensions corresponding to the CD of the unit features or patterns.
  • a photoresist pattern is used as the ion implantation mask.
  • the use of a photoresist pattern as an ion implantation mask makes it is difficult to ensure the dimensional accuracy of the patterns or unit features to be formed when manufacturing a miniaturized and highly integrated circuit device.
  • the inventive concept provides a method of manufacturing an integrated circuit device, the method including forming a carbon-containing film on a substrate, forming a silicon-containing organic anti-reflective film on the carbon-containing film, whereby a stacked mask structure constituted by the carbon-containing film and the silicon-containing organic anti-reflective film is formed on the substrate, etching the silicon-containing organic anti-reflective film to thereby form a silicon-containing organic anti-reflective pattern that exposes a select portion of the carbon-containing film, etching the carbon-containing film using the silicon-containing organic anti-reflective pattern as an etch mask to form a composite mask comprising a carbon-containing mask pattern defining openings therethrough and a profile control liner covering side surfaces of the carbon-containing mask pattern that delimit the openings, and implanting ions as an impurity into the substrate through a plurality of spaces defined by the composite mask.
  • the inventive concept also provides a method of manufacturing an integrated circuit device, the method including forming a stacked mask structure on a plurality of active areas of a substrate, the stacked mask structure comprising a carbon-containing film and a silicon-containing organic anti-reflective film, forming a silicon-containing organic anti-reflective pattern by etching the silicon-containing organic anti-reflective film, forming a composite mask comprising a carbon-containing mask pattern defining openings therethrough and a profile control liner covering side surfaces of the carbon-containing mask pattern that delimit the openings, wherein the composite mask is formed by etching the carbon-containing film using the silicon-containing organic anti-reflective pattern as an etch mask, implanting ions as an impurity into some of the plurality of active areas using the composite mask as an ion implantation mask, and removing the silicon-containing organic anti-reflective pattern and the composite mask.
  • the inventive concept still further provides a method of manufacturing an integrated circuit device, the method including forming fin-type active areas extending parallel to each other in a first horizontal direction, wherein the fin-type active areas are formed by etching a portion of a substrate, forming an insulating film filling spaces between adjacent ones of the fin-type active areas, forming a stacked mask structure on the insulating film and the plurality of fin-type active areas, wherein the stacked mask structure comprises a carbon-containing film and a silicon-containing organic anti-reflective film, forming a silicon-containing organic anti-reflective pattern by etching the silicon-containing organic anti-reflective film, forming a composite mask comprising a carbon-containing mask pattern defining openings therethrough and a profile control liner covering side surfaces of the carbon-containing mask pattern that delimit the openings, wherein the composite mask is formed by etching the carbon-containing film using the silicon-containing organic anti-reflective pattern as an etch mask, and forming a well in the plurality
  • FIG. 1 shows a flowchart illustrating examples of a method of manufacturing an integrated circuit device according to the inventive concept
  • FIGS. 2A, 2B, 2C, 2D, 2E and 2F are cross-sectional views of an integrated circuit device during the course of a process sequence in its manufacture and together illustrate examples of a method of manufacturing an integrated circuit device according to the inventive concept;
  • FIGS. 3A, 3B, 3C, 3D, 3E, 3F, 3G, 3H, 3I, 3J, 3K, 3L, 3M, 3N, 3O, 3P, 3Q and 3R are cross-sectional views of an integrated circuit device during the course of a process sequence in its manufacture and together illustrate examples of a method of manufacturing an integrated circuit device according to the inventive concept;
  • FIG. 4A is a circuit diagram of an example integrated circuit device that may be manufactured by a method of manufacturing an integrated circuit device according to the inventive concept.
  • FIG. 4B is a plan view of main elements of an example integrated circuit device that may be manufactured by a method of manufacturing an integrated circuit device according to the inventive concept.
  • FIG. 1 shows a flowchart illustrating a method of manufacturing an integrated circuit device according to the inventive concept.
  • FIGS. 2A to 2F are cross-sectional views illustrating, in accordance with a process sequence, a method of manufacturing an integrated circuit device according to the inventive concept.
  • a stacked mask structure MS including a carbon-containing film 122 and a silicon-containing organic anti-reflective film 124 is formed on a substrate 110 .
  • organic as will be understood by those skilled in the art refers to organic compounds as traditionally defined.
  • the substrate 110 may include a semiconductor substrate.
  • the substrate 110 includes a semiconductor, such as silicon (Si) or germanium (Ge).
  • the substrate 110 includes a compound semiconductor, such as SiGe, SiC, GaAs, InAs, or InP.
  • the substrate 110 has a silicon-on-insulator (SOI) structure.
  • SOI silicon-on-insulator
  • the substrate 110 may include a conductive region, for example, a well doped with an impurity, or a structure doped with an impurity.
  • the substrate 110 has various device isolation structures, such as a shallow trench isolation (STI) structure.
  • STI shallow trench isolation
  • the carbon-containing film 122 may include a spin-on hardmask (SOH) film or an amorphous carbon layer (ACL).
  • SOH film may include an organic compound having a relatively high carbon content of about 85 wt % to about 99 wt % based on the total weight of the organic compound.
  • the organic compound may include a hydrocarbon compound having an aromatic ring, such as phenyl, benzene, or naphthalene, or a derivative of the hydrocarbon compound.
  • any description of a characteristic of a compound or process parameter for forming an element in terms of a numerical value preceded by the term “about” is intended to encompass the numerical value and slight variations only from the numerical value as the result of inherent characteristics of a process used to form the compound or element.
  • the above description of the organic compound as having a relatively high carbon content of about 85 wt % to about 99 wt % will encompasses compounds whose carbon content is 85 wt % to 99 wt %, as well as compounds whose carbon content is slightly less than 85 wt % and compounds whose carbon content is slightly greater than 99 wt % as a result of variations inherent in a typical process specified to provide a particular carbon content of 85 wt % or 99 wt % or close thereto.
  • the carbon-containing film 122 may be formed by using a spin coating process or a chemical vapor deposition (CVD) process.
  • an organic compound layer is formed on the substrate 110 by a spin-coating process.
  • the organic compound layer may include a hydrocarbon compound having an aromatic ring, such as phenyl, benzene, or naphthalene, or a derivative of the hydrocarbon compound.
  • the organic compound layer may have a relatively high carbon content of about 85 wt % to 99 wt % based on the total weight of the organic compound layer.
  • the organic compound layer is cured by first-baking for about 60 seconds at a temperature of about 150° C.
  • the carbon-containing film 122 may have a thickness of about 400 nm to about 800 nm.
  • the silicon-containing organic anti-reflective film 124 may include a cross-linked polymer having a silicon content of about 10 wt % to about 50 wt %.
  • the silicon-containing organic anti-reflective film 124 may be a commercially available product (e.g., Sepr-Shb Aseries SiARC manufactured by Shin Etsu Chemical Co., Ltd.).
  • the silicon-containing organic anti-reflective film 124 may have a thickness of about 50 nm to about 100 nm. In some examples, the thickness of the carbon-containing film 122 of the stacked mask structure MS is about 5 to 10 times the thickness of the silicon-containing organic anti-reflective film 124 .
  • a photoresist pattern PR is formed on the stacked mask structure MS (see FIG. 2A ), and the silicon-containing organic anti-reflective film 124 is etched by using the photoresist pattern PR as an etch mask, thereby forming a silicon-containing organic anti-reflective pattern 124 P.
  • the photoresist pattern PR includes a positive photoresist.
  • the photoresist pattern PR may include a chemically amplified photoresist that includes a resin having an acid-labile group and a photo-acid generator (PAG).
  • PAG photo-acid generator
  • the exposure wavelength of i-line (365 nm), KrF excimer laser (248 nm), ArF excimer laser (193 nm), or F 2 excimer laser (157 nm) may be used.
  • an immersion lithography process is used when the exposure wavelength of 193 nm is used.
  • a process gas containing a C x F y H z containing gas may be used to etch the silicon-containing organic anti-reflective film 124 .
  • the C x F y H z containing gas may be a gas containing carbon (C) and fluorine (F), or a gas containing C, F, and hydrogen (H).
  • the process gas may include CF 4 , C 3 F 6 , C 4 F 6 , C 4 F 8 , C 5 F 8 , CHF 3 , CH 2 F 2 , or a combination thereof.
  • the process gas further includes an inert gas, such as argon (Ar).
  • the carbon-containing film 122 is etched by using the silicon-containing organic anti-reflective pattern 124 P as an etch mask to form a composite mask (referred to hereinafter as composite mask pattern 122 X) including a carbon-containing mask pattern 122 P and a profile control liner 122 Q covering sides surfaces of the carbon-containing mask pattern 122 P that define openings therethrough that expose select portions of the underlying structure, e.g., the substrate 110 .
  • composite mask pattern 122 X a composite mask including a carbon-containing mask pattern 122 P and a profile control liner 122 Q covering sides surfaces of the carbon-containing mask pattern 122 P that define openings therethrough that expose select portions of the underlying structure, e.g., the substrate 110 .
  • the photoresist pattern PR (see FIG. 2B ) on the silicon-containing organic anti-reflective pattern 124 P is consumed due to an etching atmosphere in the process chamber during the process P 16 of etching of the carbon-containing film 122 .
  • the photoresist pattern PR on the silicon-containing organic anti-reflective pattern 124 P is removed to expose a top surface of the silicon-containing organic anti-reflective pattern 124 P.
  • the carbon-containing film 122 may be plasma-etched by an etch gas consisting of or including sulfur-containing gas.
  • the sulfur-containing gas may be COS, CS 2 , SO 2 , or a combination thereof.
  • the etch gas for plasma-etching the carbon-containing film 122 includes, in addition to sulfur-containing gas, at least one component selected from O 2 , CO 2 , H 2 , and an inert gas.
  • the etch gas for plasma-etching the carbon-containing film 122 may include sulfur-containing gas and O 2 .
  • sulfur or sulfur-containing byproducts derived from the sulfur-containing gas may be adsorbed or chemically bonded to exposed side walls of the carbon-containing mask pattern 122 P to form the profile control liner 122 Q including sulfur.
  • the carbon-containing mask pattern 122 P obtained during or after the etching of the carbon-containing film 122 may not experience a physical deformation, such as thinning, undercut, bowing, or lifting.
  • the profile control liner 122 Q may provide inner side wall surfaces defining a plurality of spaces S 1 in the composite mask pattern 122 X. Theses surfaces and hence, the side of each of the spaces S 1 defined by the profile control liner 122 Q, may extend substantially perpendicular to a main surface 110 M of the substrate 110 .
  • the processing accuracy in the substrate 110 may be strictly controlled to a very fine level on the order of several nm.
  • the sulfur-containing gas of the etch gas for plasma-etching the carbon-containing film 122 may be included in an amount of about 35 vol % to about 50 vol % based on the total volume of the etch gas.
  • a flow rate of the O 2 may be the same or greater than the flow rate of the sulfur-containing gas during the plasma-etching of the carbon-containing film 122 .
  • the ratio of the flow rate of the COS to the flow rate of the O 2 is between about 1:1 and about 1:2.
  • the carbon-containing film 122 may be plasma-etched by an etch gas including COS supplied at a flow rate of about 40 sccm and O 2 supplied at a flow rate of about 60 sccm.
  • the profile control liner 122 Q may not have a desired (side-wall) profile.
  • the etch gas for plasma-etching the carbon-containing film 122 contains sulfur-containing gas and O 2 and the flow rate of O 2 gas exceeds twice the flow rate of the sulfur-containing gas, it is likely that at least a portion of the side wall surfaces of the carbon-containing mask pattern 122 P will not be covered by the profile control liner 122 Q.
  • the portion of the side wall surfaces that is not covered by the profile control liner 122 Q may allow the carbon-containing mask pattern 122 P to be consumed or subjected to a physical deformation, e.g., bowing, and thus, the composite mask pattern 122 X including the carbon-containing mask pattern 122 P may not have a desired vertical (side-wall) profile.
  • the etch gas for plasma-etching the carbon-containing film 122 contains sulfur-containing gas and O 2 and the flow rate of the sulfur-containing gas is greater than the flow rate of the O 2 , excess etch byproducts may be generated during the plasma-etching of the carbon-containing film 122 , and thus, the etch speed of the carbon-containing film 122 may be too low, or the etching may terminate before the thickness of the carbon-containing film 122 being etched reaches a target etch level.
  • the composite mask pattern 122 X has a width of at least 100 nm in a horizontal direction, for example, an X direction and/or a Y direction.
  • the ratio of the height to the width that is, the aspect ratio of the composite mask pattern 122 X is at least 4, e.g., from about 4 to about 10, but the aspect ratio is not limited thereto.
  • ions 130 constituting an impurity may be implanted into the substrate 110 through the spaces S 1 defined by the composite mask pattern 122 X to form a plurality of wells 112 in the substrate 110 .
  • the wells 112 may each include an impurity region containing the impurity ions 130 .
  • the impurity ions 130 may be an n-type dopant or a p-type dopant.
  • the n-type dopant may include a Group V element, such as phosphorus (P), arsenic (As) or antimony (Sb), and the p-type dopant may include a Group III element, such as boron (B).
  • the inventive concept is not limited to these examples as the type of impurity ions 130 can vary depending on the material constituting the substrate 110 .
  • the composite mask pattern 122 X having a (side wall) profile that extends substantially vertically, is used as the ion implantation mask during implantation of the impurity ions 130 into the substrate 110 , the location of each of the wells 112 in the substrate 110 may be strictly controlled.
  • process P 20 the silicon-containing organic anti-reflective pattern 124 P is removed from the resultant structure shown in and described with reference to FIG. 2D .
  • a wet etching process using a first etchant may be performed to remove the silicon-containing organic anti-reflective pattern 124 P.
  • the first etchant may include H 2 SO 4 .
  • the first etchant may be a mixture including H 2 SO 4 , H 2 O 2 , and deionized water (DIW).
  • DIW deionized water
  • H 2 SO 4 (purity 98%) and H 2 O 2 (purity 30%) in the first etchant are included at a volume ratio of about 4:1, but the volume ratio is not limited thereto.
  • the composite mask pattern 122 X is removed from the resultant structure shown in and described with reference to FIG. 2E .
  • a wet etching process using a second etchant having a composition that is different from that of the first etchant may be performed to remove the composite mask pattern 122 X.
  • the second etchant may be a mixture including NH 4 OH, H 2 O 2 , and DIW.
  • NH 4 OH (purity 28%), H 2 O 2 (purity 30%), and DIW in the second etchant are included at a volume ratio of about 1:1:5, but the volume ratio is not limited thereto.
  • the composite mask pattern 122 X providing a side wall profile that extends substantially vertically is used as an ion implantation mask. Therefore, the location and dimensional accuracy of the wells 112 may be precisely controlled.
  • FIGS. 3A to 3R illustrate other examples of a method of manufacturing an integrated circuit device according to the inventive concept.
  • Like reference numerals in FIGS. 3A to 3R and FIGS. 2A to 2F denote like elements, which will not be described again in detail for the sake of brevity.
  • a plurality of pad oxide film patterns 212 and a plurality of mask patterns 214 are formed on a substrate 110 .
  • Each of the pad oxide film patterns 212 and the mask patterns 214 may be elongated in and extend parallel to each other in a direction (Y direction) on the substrate 110 .
  • the pad oxide film patterns 212 may include an oxide film obtained by thermally oxidizing the surface of the substrate 110 .
  • the mask patterns 214 may each include a silicon nitride film, a silicon oxynitride film, a spin on glass (SOG) film, a photoresist film, or a combination thereof, but the inventive concept is not limited thereto.
  • a portion of the substrate 110 is etched by using the mask patterns 214 as an etch mask, thereby forming a plurality of shallow trenches ST. Due to the forming of the shallow trenches ST, a plurality of fin-type active areas FA that project upward from the substrate 110 in a vertical direction (Z direction) and that extend longitudinally in a horizontal direction (Y direction) are obtained. Each of the shallow trenches ST may have a depth D 1 (as taken between the bottoms of the shallow trenches ST and the top surfaces of the fin-type active areas FA).
  • a first isolation insulating film 216 filling each of the shallow trenches ST in between the fin-type active areas FA may be formed.
  • the first isolation insulating film 216 includes an insulating liner, a stressor liner, and a buried insulating film that sequentially cover side walls of each of the fin-type active areas FA.
  • the insulating liner may be obtained by oxidizing the surfaces of the fin-type active areas FA.
  • the insulating liner may include a silicon oxide film formed by using a thermal oxidation process.
  • the insulating liner may have a thickness of about 10 ⁇ to about 100 ⁇ .
  • the stressor liner may conformally cover the insulating liner and may have a uniform thickness.
  • the stressor liner may include SiN, SiON, SiBN, SiC, SiC:H, SiCN, SiCN:H, SiOCN, SiOCN:H, SiOC, SiO 2 , polysilicon, or a combination thereof.
  • the stressor liner may have a thickness of about 10 ⁇ to about 100 ⁇ .
  • the stressor liner may be formed by plasma enhanced chemical vapor deposition (PECVD), high density plasma CVD (HDP CVD), inductively coupled plasma CVD (ICP CVD), or capacitor coupled plasma CVD (CCP CVD).
  • PECVD plasma enhanced chemical vapor deposition
  • HDP CVD high density plasma CVD
  • ICP CVD inductively coupled plasma CVD
  • CCP CVD capacitor coupled plasma CVD
  • the buried insulating film may include fluoride silicate glass (FSG), undoped silicate glass (USG), boro-phospho-silicate glass (BPSG), phospho-silicate glass (PSG), flowable oxide (FOX), plasma enhanced tetra-ethyl-ortho-silicate (PE-TEOS), or tonen silazene (TOSZ), but the inventive concept is not limited thereto.
  • FSG fluoride silicate glass
  • USG undoped silicate glass
  • BPSG boro-phospho-silicate glass
  • PSG phospho-silicate glass
  • FOX flowable oxide
  • PE-TEOS plasma enhanced tetra-ethyl-ortho-silicate
  • TOSZ tonen silazene
  • the buried insulating film may be formed in such a way that an oxide film filling the inside of each of the shallow trenches ST is formed, and then, the oxide film may be annealed. Subsequently,
  • each of the mask patterns 214 and the top surface of the first isolation insulating film 216 may constitute a planarized surface. In some examples, the top surface of each of the mask patterns 214 and the top surface of the first isolation insulating film 216 are coplanar.
  • some of the fin-type active areas FA and their surrounding films are removed to form a plurality of deep trenches DT in the substrate 110 .
  • Each of the deep trenches DT may have a depth D 2 (as taken between the bottoms of the deep trenches DT and the top surfaces of the fin-type active areas FA).
  • the depth D 2 may be in the range of about 50 nm to about 150 nm, but is not limited thereto.
  • the depth D 2 of the deep trenches DT may be greater than the depth D 1 of the shallow trenches ST.
  • the substrate 110 is divided into a plurality of device areas defined by the deep trenches DT.
  • the device areas may be required to have different threshold voltages.
  • some of the device areas may be NMOS transistor areas, and other device areas may be PMOS transistor areas.
  • a photoresist pattern exposing a portion of the resultant structure is formed, and the exposed portion is dry-etched by using the photoresist pattern as an etch mask.
  • a second isolation insulating film 218 filling each of the deep trenches DT is formed.
  • the first isolation insulating film 216 and the second isolation insulating film 218 may constitute a device isolation film 220 .
  • the second isolation insulating film 218 may be formed by using a coating process or a deposition process. In some examples, the second isolation insulating film 218 includes USG, but the inventive concept is not limited thereto. A portion of the second isolation insulating film 218 may contact the first isolation insulating film 216 .
  • the second isolation insulating film 218 an insulating film filling each of the deep trenches DT is formed, and then, a top surface of the insulating film is planarized to expose the mask patterns 214 .
  • a portion of the mask patterns 214 and a portion of the first isolation insulating film 216 may be consumed, leading to smaller thicknesses of the mask patterns 214 and the first isolation insulating film 216 .
  • the top surface of each of the mask patterns 214 , the top surface of the first isolation insulating film 216 , and the top surface of the second isolation insulating film 218 may constitute a planarized surface.
  • the top surface of each of the mask patterns 214 , the top surface of the first isolation insulating film 216 , and the top surface of the second isolation insulating film 218 are coplanar.
  • the pad oxide film patterns 212 and the mask patterns 214 are removed from the resultant structure shown in and described in connection with FIG. 3E to expose top surfaces of the fin-type active areas FA.
  • the removing of the pad oxide film patterns 212 and the mask patterns 214 may lead to the forming of a plurality of holes 220 H in the device isolation film 220 , wherein the holes 220 H expose top surfaces of the fin-type active areas FA.
  • the holes 220 H may be elongated in the longitudinal direction of each of the fin-type active areas FA, that is, the Y direction.
  • a first stacked mask structure MS 1 including a carbon-containing film 232 and a silicon-containing organic anti-reflective film 234 is formed on the fin-type active areas FA and the device isolation film 220 .
  • the carbon-containing film 232 may include an SOH film or an ACL.
  • the silicon-containing organic anti-reflective film 234 may include a cross-linked polymer having a silicon content of about 10 wt % to about 50 wt %.
  • the carbon-containing film 232 and the silicon-containing organic anti-reflective film 234 may be formed by using the same method used to form the carbon-containing film 122 and the silicon-containing organic anti-reflective film 124 in the process P 12 explained in connection with FIG. 1 and FIG. 2A .
  • the carbon-containing film 232 may contact the upper surface of the device isolation film 220 and the top surfaces of the fin-type active areas FA.
  • the carbon-containing film 232 may fill the holes 220 H, and, accordingly, the carbon-containing film 232 may include a plurality of protrusions 232 R contacting the upper surfaces of the fin-type active areas FA.
  • a photoresist pattern PR 1 is formed on the first stacked mask structure MS 1 (see FIG. 3G ), and the silicon-containing organic anti-reflective film 234 is etched using the photoresist pattern PR 1 as an etch mask, thereby forming a silicon-containing organic anti-reflective pattern 234 P.
  • the photoresist pattern PR 1 may have an opening OP 1 exposing the carbon-containing film 232 on any one kind of the device areas of the substrate 110 .
  • the opening OP 1 of the photoresist pattern PR 1 exposes portions of the carbon-containing film 232 covering an NMOS transistor area of the substrate 110 .
  • the opening OP 1 of the photoresist pattern PR 1 exposes portions of the carbon-containing film 232 covering a PMOS transistor area of the substrate 110 .
  • the photoresist pattern PR 1 is substantially the same as the photoresist pattern PR described in connection with FIG. 2B .
  • a method for forming the silicon-containing organic anti-reflective pattern 234 P is the same as that for forming the silicon-containing organic anti-reflective pattern 124 P described in connection with FIG. 2B .
  • the carbon-containing film 232 is etched using the silicon-containing organic anti-reflective pattern 234 P as an etch mask to form a composite mask pattern 232 X including a carbon-containing mask pattern 232 P and a profile control liner 232 Q covering side walls of the carbon-containing mask pattern 232 P.
  • the composite mask pattern 232 X may be formed by the method used to form the composite mask pattern 122 X in process P 16 explained in connection with FIG. 1 and FIG. 2C .
  • the photoresist pattern PR 1 (see FIG. 3H ) on the silicon-containing organic anti-reflective pattern 234 P may be consumed and removed during the etching of the carbon-containing film 232 .
  • the photoresist pattern PR 1 on the silicon-containing organic anti-reflective pattern 234 P is removed to expose a top surface of the silicon-containing organic anti-reflective pattern 234 P, and then, the carbon-containing film 232 is etched to form a carbon-containing mask pattern 232 P.
  • the composite mask pattern 232 X has a width of at least 100 nm in a horizontal direction(s), for example, an X direction and/or a Y direction.
  • the ratio of the height to the width that is, the aspect ratio of the composite mask pattern 232 X may be at least 4, and preferable from about 4 to about 10, but the aspect ratio is not limited thereto.
  • the composite mask pattern 232 X may define a plurality of spaces S 2 whose widths are limited by the profile control liner 232 Q.
  • the spaces S 2 may expose top surfaces of some of the fin-type active areas FA and the top surface of the device isolation film 220 .
  • an impurity (ions) 236 is implanted into the substrate 110 through the spaces S 2 defined by the composite mask pattern 232 X to form a plurality of first wells 238 in the substrate 110 .
  • the ion implantation process for forming the first wells 238 is substantially similar to that of forming the wells 112 in the process P 18 described in connection with FIG. 1 and FIG. 2D .
  • the first wells 238 provide an active area of the NMOS transistor area.
  • the impurity 236 is a p-type dopant
  • the first wells 238 are each a p-type well, i.e., a well containing a p-type dopant.
  • the first wells 238 provide an active area of the PMOS transistor area.
  • the impurity 236 is an n-type dopant
  • the first wells 238 are each an n-type well, i.e., a well containing an n-type dopant.
  • the silicon-containing organic anti-reflective pattern 234 P and the composite mask pattern 232 X are removed from the structure illustrated in FIG. 3J .
  • the silicon-containing organic anti-reflective pattern 234 P and the composite mask pattern 232 X may be removed by a method substantially the same as that for removing the silicon-containing organic anti-reflective pattern 124 P and the composite mask pattern 122 X in process P 20 and process P 22 explained in connection with FIG. 1 , and FIGS. 2E and 2F .
  • the top surface of the device isolation film 220 and the top surface of each of the fin-type active areas FA on the substrate 110 may be exposed again.
  • a second stacked mask structure MS 2 including a carbon-containing film 242 and a silicon-containing organic anti-reflective film 244 is formed on the fin-type active areas FA and the device isolation film 220 .
  • the carbon-containing film 242 and the silicon-containing organic anti-reflective film 244 may be formed by a method substantially the same as that used to form the carbon-containing film 122 and the silicon-containing organic anti-reflective film 124 in process P 12 explained in connection with FIG. 1 , and FIG. 2A .
  • the carbon-containing film 242 may contact the upper surface of the device isolation film 220 and the top surfaces of the fin-type active areas FA.
  • the carbon-containing film 242 may fill the holes 220 H, and, accordingly, the carbon-containing film 242 may include a plurality of protrusions 242 R contacting the upper surfaces of the fin-type active areas FA.
  • a photoresist pattern PR 2 is formed on the second stacked mask structure MS 2 (see FIG. 3I ), and the silicon-containing organic anti-reflective film 244 is etched using the photoresist pattern PR 2 as an etch mask, thereby forming a silicon-containing organic anti-reflective pattern 244 P.
  • the photoresist pattern PR 2 may have an opening OP 2 .
  • portions of the carbon-containing film 242 covering the PMOS transistor area of the substrate 110 are exposed through the opening OP 2 .
  • portions of the carbon-containing film 242 covering the NMOS transistor area of the substrate 110 are exposed through the opening OP 2 .
  • the photoresist pattern PR 2 is substantially the same as the photoresist pattern PR described in connection with FIG. 2B .
  • a method for forming the silicon-containing organic anti-reflective pattern 244 P is substantially the same as that for forming the silicon-containing organic anti-reflective pattern 124 P described in connection with FIG. 2B .
  • the carbon-containing film 242 (see FIG. 3M ) is etched using the silicon-containing organic anti-reflective pattern 244 P as an etch mask to form a composite mask pattern 242 X including a carbon-containing mask pattern 242 P and a profile control liner 242 Q covering side walls of the carbon-containing mask pattern 242 P.
  • the composite mask pattern 242 X may be formed by a method substantially the same as that used to form the composite mask pattern 122 X explained in connection with the process P 16 of FIG. 1 and FIG. 2C .
  • the photoresist pattern PR 2 (see FIG. 3M ) on the silicon-containing organic anti-reflective pattern 244 P may be consumed and removed during the etching of the carbon-containing film 242 .
  • the photoresist pattern PR 2 on the silicon-containing organic anti-reflective pattern 244 P is removed to expose a top surface of the silicon-containing organic anti-reflective pattern 244 P, and then, the carbon-containing film 242 is etched to form a carbon-containing mask pattern 242 P.
  • the composite mask pattern 242 X may define a plurality of spaces S 3 whose widths are limited by the profile control liner 242 Q.
  • the spaces S 3 may expose top surfaces of some of the fin-type active areas FA and the top surface of the device isolation film 220 .
  • an impurity (ions) 246 is implanted into the substrate 110 through the spaces S 3 defined by the composite mask pattern 242 X to form a second well 248 in the substrate 110 .
  • ions impurity
  • the ion implantation process for forming the second well 248 is substantially similar to that described to form the wells 112 in process P 18 explained in connection with FIG. 1 , and FIG. 2D .
  • the second well 248 when the first wells 238 each provide an active area of the NMOS transistor area, the second well 248 provides an active area of the PMOS transistor area.
  • the impurity 236 may be an n-type dopant
  • the second well 248 is an n-type well, i.e., a well containing an n-type dopant.
  • the first wells 238 each provide an active area of the PMOS transistor area
  • the second well 248 when the first wells 238 each provide an active area of the PMOS transistor area, the second well 248 provides an active area of the NMOS transistor area.
  • the second well 248 is a p-type well, i.e., a well containing a p-type dopant.
  • the silicon-containing organic anti-reflective pattern 244 P and the composite mask pattern 242 X are removed from the structure illustrated in FIG. 3O .
  • the silicon-containing organic anti-reflective pattern 244 P and the composite mask pattern 242 X may be removed by a method substantially the same as that used to remove the silicon-containing organic anti-reflective pattern 124 P and the composite mask pattern 122 X described in connection with the process P 20 and process P 22 of FIG. 1 , and FIGS. 2E and 2F .
  • the top surface of the device isolation film 220 and the top surface of each of the fin-type active areas FA may be exposed again.
  • a recess process is performed to remove a portion of the device isolation film 220 to expose a top portion of each of the fin-type active areas FA.
  • the recess process may be performed by a dry etching, a wet etching, or a combination of dry etching and wet etching.
  • the top portion of each of the fin-type active areas FA is exposed to an etching environment and/or a cleaning environment after the etching. Accordingly, the top portion of each of the fin-type active areas FA may be partially consumed from its outer surface, thereby having a smaller width as illustrated in FIG. 3Q compared to that before the partial consumption thereof.
  • an ion implantation process is performed to implant an impurity for threshold voltage adjustment on the top portion of each of the fin-type active areas FA exposed above the device isolation film 220 .
  • an ion implantation mask having a configuration similar to the combination of the composite mask pattern 232 X and the silicon-containing organic anti-reflective pattern 234 P illustrated in FIG. 3J , is used to ion-implant the impurity for threshold voltage adjustment on the top portion of each of the fin-type active areas FA in the first wells 238 .
  • an ion implantation mask having a configuration similar to the combination of the composite mask pattern 242 X and the silicon-containing organic anti-reflective pattern 244 P illustrated in FIG.
  • boron (B) ions are implanted as an impurity into an area where the NMOS transistor is formed, and phosphorous (P) ions, arsenic (As) ions, or antimony (Sb) ions are implanted as an impurity into an area where the PMOS transistor is formed.
  • source/drain areas are formed on the fin-type active areas FA, and then, a plurality of gate dielectric layers 262 , a plurality of gate lines 264 , and a plurality of insulating capping films 266 may be formed on the fin-type active areas FA.
  • a gate cut insulating film 268 may be formed between two neighboring ones of the gate lines 264 .
  • the gate cut insulating film 268 may be formed before the gate dielectric layers 262 , the gate lines 264 , and the insulating capping films 266 are formed.
  • the gate dielectric layers 262 may include a silicon oxide layer, a high-k dielectric layer, or a combination thereof.
  • the high-k dielectric film is a film of material whose dielectric constant is greater than that of a silicon oxide film.
  • the high-k dielectric film may include a metal oxide or a metal oxynitride.
  • an interface film (not shown) is located between the fin-type active areas FA and the gate dielectric layers 262 .
  • the interface film may include an oxide film, a nitride film, or an oxynitride film.
  • the gate lines 264 may have a structure in which a metal nitride film, a metal film, a conductive capping film, and a gap-fill metal film are sequentially stacked.
  • the metal nitride film and the metal film may each include at least one metal selected from the group consisting of Ti, Ta, W, Ru, Nb, Mo, and Hf.
  • the gap-fill metal film may include a W film or an Al film.
  • the gate lines 264 may each include a work function metal-containing film.
  • the work function metal-containing film may include at least one metal selected from the group consisting of Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, and Pd.
  • each of the gate lines 264 may have a stack structure of TiAlC/TiN/W, a stack structure of TiN/TaN/TiAlC/TiN/W, or a stack structure of TiN/TaN/TiN/TiAlC/TiN/W.
  • the insulating capping film 266 and the gate cut insulating film 268 may each include a nitride film, but the inventive concept is not limited thereto.
  • a gate-last process (also referred to as a replacement poly-gate process) is used to form the gate dielectric layers 262 , the gate lines 264 , and the insulating capping films 266 .
  • the inventive concept is not limited thereto.
  • the method of manufacturing an integrated circuit device described with reference to FIGS. 3A to 3R when the ion implantation process is performed to form the first wells 238 and the second well 248 , each having a very fine CD, due to the use of the composite mask patterns 232 X and 242 X providing a vertical side wall profile, which extends substantially vertically, the location and dimensional accuracy of the first wells 238 and the second well 248 may be precisely controlled. Accordingly, the method facilitates the down-scaling of integrated circuits having wells formed by an ion implantation process.
  • inventive concept examples of methods of manufacturing an integrated circuit device according to the inventive concept have been described hereinabove with reference to FIGS. 1 to 3R .
  • inventive concept is not limited to these examples, and an integrated circuit device having various other structures may be manufactured within the scope of inventive concept.
  • a method of manufacturing an integrated circuit device including a FinFET whose channel has a three-dimensional structure has been described with reference to FIGS. 3A to 3R .
  • the inventive concept is not limited to the above example. Rather, the method of manufacturing an integrated circuit device according to the inventive concept may be similarly used to manufacture an integrated circuit device including a planar MOSFET, for example.
  • FIG. 4A shows a circuit diagram of an integrated circuit device 300 as an example that may be manufactured using a method of manufacturing an integrated circuit device according to the inventive concept.
  • the circuit diagram shown in FIG. 4A is of a 6T SRAM cell including six transistors.
  • FIG. 4B is a top view of the integrated circuit device 300 having circuitry corresponding to that illustrated in FIG. 4A .
  • the integrated circuit device 300 includes a pair of inverters INV 1 and INV 2 connected in parallel between a power supply node Vcc and a ground node Vss, and a first pass transistor PS 1 connected to an output node of the inverter INV 1 and a second pass transistor PS 2 connected to an output node of the inverter INV 2 .
  • the first pass transistor PS 1 and the second pass transistor PS 2 may be connected to a bit line BL and a complementary bit line/BL, respectively.
  • a gate of the first pass transistor PS 1 and a gate of the second pass transistor PS 2 may each be connected to a word line WL.
  • the first inverter INV 1 may include a first pull-up transistor PU 1 and a first pull-down transistor PD 1 , which are connected in series
  • a second inverter INV 2 may include a second pull-up transistor PU 2 and a second pull-down transistor PD 2 , which are connected in series.
  • the first pull-up transistor PU 1 and the second pull-up transistor PU 2 may be PMOS transistors
  • the first pull-down transistor PD 1 and the second pull-down transistor PD 2 may be NMOS transistors.
  • An input node of the first inverter INV 1 is connected to an output node of the second inverter INV 2 , and an input node of the second inverter INV 2 is connected to an output node of the first inverter INV 1 , so that the first inverter INV 1 and the second inverter INV 2 constitute one latch circuit.
  • the integrated circuit device 300 includes an SRAM array 310 including a plurality of SRAM cells 310 A, 310 B, 310 C, and 310 D arranged in a matrix on a substrate.
  • FIG. 4B illustrates four SRAM cells 310 A, 310 B, 310 C, and 310 D, wherein each memory cell includes six FinFETs.
  • Each of the SRAM cells 310 A, 310 B, 310 C, and 310 D may have the circuit configuration illustrated in FIG. 4A .
  • Each of the SRAM cells 310 A, 310 B, 310 C, and 310 D includes a plurality of fin-type active areas FA which protrude from the substrate, e.g., the substrate 110 illustrated in FIGS. 2A to 3R , and which extend in parallel to each other in a direction (Y direction).
  • a plurality of gate lines GL may cover top portions of the fin-type active areas FA and may extend across the fin-type active areas FA.
  • intervals between the fin-type active areas FA may be constant or may vary depending on their locations
  • the first pull-up transistor PU 1 , the first pull-down transistor PD 1 , the first pass transistor PS 1 , the second pull-up transistor PU 2 , and the second pull-up transistor PU 2 may each be implemented as a FinFET device in which the gate lines GL cross the fin-type active areas FA.
  • a transistor is formed at each of six intersections of the fin-type active areas FA and the gate lines GL, and the transistors may include the first pass transistor PS 1 , the second pass transistor PS 2 , the first pull-down transistor PD 1 , the second pull-down transistor PD 2 , the first pull-up transistor PU 1 , and the second pull-up transistor PU 2 .
  • the first pull-up transistor PU 1 and the second pull-up transistor PU 2 may each include a PMOS transistor, and the first pull-down transistor PD 1 , the second pull-down transistor PD 2 , the first pass transistor PS 1 , and the second pass transistor PS 2 may each include an NMOS transistor.
  • the integrated circuit device 300 may be manufactured by any of the methods described in connection with FIGS. 1 to 3R .

Abstract

An integrated circuit device is manufactured by a method including forming a stacked mask structure including a carbon-containing film and a silicon-containing organic anti-reflective film is on a substrate, forming a silicon-containing organic anti-reflective pattern by etching the silicon-containing organic anti-reflective film, and forming a composite mask pattern including a carbon-containing mask pattern and a profile control liner lining interior surfaces of the carbon-containing mask pattern by etching the carbon-containing film while using the silicon-containing organic anti-reflective pattern as an etch mask. Ions are implanted into the substrate through a plurality of spaces defined by the composite mask pattern.

Description

    PRIORITY STATEMENT
  • This application claims the benefit of Korean Patent Application No. 10-2017-0101714, filed on Aug. 10, 2017, in the Korean Intellectual Property Office, the disclosure of which is hereby incorporated by reference in its entirety.
  • BACKGROUND
  • The inventive concept relates to a method of manufacturing an integrated circuit device, and more particularly, to a method of manufacturing an integrated circuit device having fine line-width patterns.
  • In connection with the current trend of down-scaling integrated circuit devices, smaller design rules are required if the devices are to remain highly integrated. Among the unit features or patterns of integrated circuit devices having a fine critical dimension (CD) in compliance with such reduced design rules are those formed by an ion implantation process through an ion implantation mask having openings of dimensions corresponding to the CD of the unit features or patterns. Conventionally, a photoresist pattern is used as the ion implantation mask. However, there are limits to the resolution of the photolithography process by which such a mask can be formed. Therefore, the use of a photoresist pattern as an ion implantation mask makes it is difficult to ensure the dimensional accuracy of the patterns or unit features to be formed when manufacturing a miniaturized and highly integrated circuit device.
  • SUMMARY
  • The inventive concept provides a method of manufacturing an integrated circuit device, the method including forming a carbon-containing film on a substrate, forming a silicon-containing organic anti-reflective film on the carbon-containing film, whereby a stacked mask structure constituted by the carbon-containing film and the silicon-containing organic anti-reflective film is formed on the substrate, etching the silicon-containing organic anti-reflective film to thereby form a silicon-containing organic anti-reflective pattern that exposes a select portion of the carbon-containing film, etching the carbon-containing film using the silicon-containing organic anti-reflective pattern as an etch mask to form a composite mask comprising a carbon-containing mask pattern defining openings therethrough and a profile control liner covering side surfaces of the carbon-containing mask pattern that delimit the openings, and implanting ions as an impurity into the substrate through a plurality of spaces defined by the composite mask.
  • The inventive concept also provides a method of manufacturing an integrated circuit device, the method including forming a stacked mask structure on a plurality of active areas of a substrate, the stacked mask structure comprising a carbon-containing film and a silicon-containing organic anti-reflective film, forming a silicon-containing organic anti-reflective pattern by etching the silicon-containing organic anti-reflective film, forming a composite mask comprising a carbon-containing mask pattern defining openings therethrough and a profile control liner covering side surfaces of the carbon-containing mask pattern that delimit the openings, wherein the composite mask is formed by etching the carbon-containing film using the silicon-containing organic anti-reflective pattern as an etch mask, implanting ions as an impurity into some of the plurality of active areas using the composite mask as an ion implantation mask, and removing the silicon-containing organic anti-reflective pattern and the composite mask.
  • The inventive concept still further provides a method of manufacturing an integrated circuit device, the method including forming fin-type active areas extending parallel to each other in a first horizontal direction, wherein the fin-type active areas are formed by etching a portion of a substrate, forming an insulating film filling spaces between adjacent ones of the fin-type active areas, forming a stacked mask structure on the insulating film and the plurality of fin-type active areas, wherein the stacked mask structure comprises a carbon-containing film and a silicon-containing organic anti-reflective film, forming a silicon-containing organic anti-reflective pattern by etching the silicon-containing organic anti-reflective film, forming a composite mask comprising a carbon-containing mask pattern defining openings therethrough and a profile control liner covering side surfaces of the carbon-containing mask pattern that delimit the openings, wherein the composite mask is formed by etching the carbon-containing film using the silicon-containing organic anti-reflective pattern as an etch mask, and forming a well in the plurality of fin-type active areas by implanting ions as an impurity ion into some of the plurality of fin-type active areas using the composite mask as an ion implantation mask.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The inventive concept will be more clearly understood from the following detailed description of examples thereof taken in conjunction with the accompanying drawings in which:
  • FIG. 1 shows a flowchart illustrating examples of a method of manufacturing an integrated circuit device according to the inventive concept;
  • FIGS. 2A, 2B, 2C, 2D, 2E and 2F are cross-sectional views of an integrated circuit device during the course of a process sequence in its manufacture and together illustrate examples of a method of manufacturing an integrated circuit device according to the inventive concept;
  • FIGS. 3A, 3B, 3C, 3D, 3E, 3F, 3G, 3H, 3I, 3J, 3K, 3L, 3M, 3N, 3O, 3P, 3Q and 3R are cross-sectional views of an integrated circuit device during the course of a process sequence in its manufacture and together illustrate examples of a method of manufacturing an integrated circuit device according to the inventive concept;
  • FIG. 4A is a circuit diagram of an example integrated circuit device that may be manufactured by a method of manufacturing an integrated circuit device according to the inventive concept; and
  • FIG. 4B is a plan view of main elements of an example integrated circuit device that may be manufactured by a method of manufacturing an integrated circuit device according to the inventive concept.
  • DETAILED DESCRIPTION
  • Hereinafter, examples of the inventive concept will be described in detail with reference to the accompanying drawings. The same reference numerals are used for the same elements in the drawings, and a duplicate description thereof will be omitted.
  • FIG. 1 shows a flowchart illustrating a method of manufacturing an integrated circuit device according to the inventive concept.
  • FIGS. 2A to 2F are cross-sectional views illustrating, in accordance with a process sequence, a method of manufacturing an integrated circuit device according to the inventive concept.
  • Referring to FIGS. 1 and 2A, in process P12, a stacked mask structure MS including a carbon-containing film 122 and a silicon-containing organic anti-reflective film 124 is formed on a substrate 110. Here, the term “organic” as will be understood by those skilled in the art refers to organic compounds as traditionally defined.
  • The substrate 110 may include a semiconductor substrate. In some examples, the substrate 110 includes a semiconductor, such as silicon (Si) or germanium (Ge). In some examples, the substrate 110 includes a compound semiconductor, such as SiGe, SiC, GaAs, InAs, or InP. In some examples, the substrate 110 has a silicon-on-insulator (SOI) structure. The substrate 110 may include a conductive region, for example, a well doped with an impurity, or a structure doped with an impurity. In some examples, the substrate 110 has various device isolation structures, such as a shallow trench isolation (STI) structure.
  • The carbon-containing film 122 may include a spin-on hardmask (SOH) film or an amorphous carbon layer (ACL). The SOH film may include an organic compound having a relatively high carbon content of about 85 wt % to about 99 wt % based on the total weight of the organic compound. The organic compound may include a hydrocarbon compound having an aromatic ring, such as phenyl, benzene, or naphthalene, or a derivative of the hydrocarbon compound. Moreover, here and throughout the description that follows, any description of a characteristic of a compound or process parameter for forming an element in terms of a numerical value preceded by the term “about” is intended to encompass the numerical value and slight variations only from the numerical value as the result of inherent characteristics of a process used to form the compound or element. Thus, for example, the above description of the organic compound as having a relatively high carbon content of about 85 wt % to about 99 wt % will encompasses compounds whose carbon content is 85 wt % to 99 wt %, as well as compounds whose carbon content is slightly less than 85 wt % and compounds whose carbon content is slightly greater than 99 wt % as a result of variations inherent in a typical process specified to provide a particular carbon content of 85 wt % or 99 wt % or close thereto.
  • The carbon-containing film 122 may be formed by using a spin coating process or a chemical vapor deposition (CVD) process. In some examples, to form the carbon-containing film 122, an organic compound layer is formed on the substrate 110 by a spin-coating process. The organic compound layer may include a hydrocarbon compound having an aromatic ring, such as phenyl, benzene, or naphthalene, or a derivative of the hydrocarbon compound. The organic compound layer may have a relatively high carbon content of about 85 wt % to 99 wt % based on the total weight of the organic compound layer. The organic compound layer is cured by first-baking for about 60 seconds at a temperature of about 150° C. to about 350° C., and then, second-baking for about 30 seconds to about 300 seconds at a temperature of about 300° C. to about 550° C., thereby forming the carbon-containing film 122. The carbon-containing film 122 may have a thickness of about 400 nm to about 800 nm.
  • The silicon-containing organic anti-reflective film 124 may include a cross-linked polymer having a silicon content of about 10 wt % to about 50 wt %. The silicon-containing organic anti-reflective film 124 may be a commercially available product (e.g., Sepr-Shb Aseries SiARC manufactured by Shin Etsu Chemical Co., Ltd.). The silicon-containing organic anti-reflective film 124 may have a thickness of about 50 nm to about 100 nm. In some examples, the thickness of the carbon-containing film 122 of the stacked mask structure MS is about 5 to 10 times the thickness of the silicon-containing organic anti-reflective film 124.
  • Referring to FIGS. 1 and 2B, in process P14, a photoresist pattern PR is formed on the stacked mask structure MS (see FIG. 2A), and the silicon-containing organic anti-reflective film 124 is etched by using the photoresist pattern PR as an etch mask, thereby forming a silicon-containing organic anti-reflective pattern 124P.
  • In some examples, the photoresist pattern PR includes a positive photoresist. For example, the photoresist pattern PR may include a chemically amplified photoresist that includes a resin having an acid-labile group and a photo-acid generator (PAG). In an exposure process for forming the photoresist pattern PR, the exposure wavelength of i-line (365 nm), KrF excimer laser (248 nm), ArF excimer laser (193 nm), or F2 excimer laser (157 nm) may be used. In some examples, when the exposure wavelength of 193 nm is used, an immersion lithography process is used.
  • To form the silicon-containing organic anti-reflective pattern 124P, a process gas containing a CxFyHz containing gas (where x and y are each an integer of 1 to 10 and z is an integer of 0 to 10) may be used to etch the silicon-containing organic anti-reflective film 124. Thus, the CxFyHz containing gas may be a gas containing carbon (C) and fluorine (F), or a gas containing C, F, and hydrogen (H). For example, the process gas may include CF4, C3F6, C4F6, C4F8 , C5F8, CHF3, CH2F2, or a combination thereof. In some examples, the process gas further includes an inert gas, such as argon (Ar).
  • Referring to FIGS. 1 and 2C, in process P16, the carbon-containing film 122 is etched by using the silicon-containing organic anti-reflective pattern 124P as an etch mask to form a composite mask (referred to hereinafter as composite mask pattern 122X) including a carbon-containing mask pattern 122P and a profile control liner 122Q covering sides surfaces of the carbon-containing mask pattern 122P that define openings therethrough that expose select portions of the underlying structure, e.g., the substrate 110.
  • In some examples, the photoresist pattern PR (see FIG. 2B) on the silicon-containing organic anti-reflective pattern 124P is consumed due to an etching atmosphere in the process chamber during the process P16 of etching of the carbon-containing film 122. In some examples, prior to the process P16, the photoresist pattern PR on the silicon-containing organic anti-reflective pattern 124P is removed to expose a top surface of the silicon-containing organic anti-reflective pattern 124P.
  • In order to form the composite mask pattern 122X, the carbon-containing film 122 may be plasma-etched by an etch gas consisting of or including sulfur-containing gas. The sulfur-containing gas may be COS, CS2, SO2, or a combination thereof. In some examples, the etch gas for plasma-etching the carbon-containing film 122 includes, in addition to sulfur-containing gas, at least one component selected from O2, CO2, H2, and an inert gas. For example, the etch gas for plasma-etching the carbon-containing film 122 may include sulfur-containing gas and O2.
  • During the phase of the process in which the carbon-containing film 122 is plasma-etched to form the carbon-containing mask pattern 122P, sulfur or sulfur-containing byproducts derived from the sulfur-containing gas may be adsorbed or chemically bonded to exposed side walls of the carbon-containing mask pattern 122P to form the profile control liner 122Q including sulfur. Thus, by obtaining the composite mask pattern 122X in which the side wall surfaces of the carbon-containing mask pattern 122P, that delimit the openings therethrough, are protected by the profile control liner 122Q, the carbon-containing mask pattern 122P obtained during or after the etching of the carbon-containing film 122 may not experience a physical deformation, such as thinning, undercut, bowing, or lifting. In addition, after the composite mask pattern 122X is formed, the profile control liner 122Q may provide inner side wall surfaces defining a plurality of spaces S1 in the composite mask pattern 122X. Theses surfaces and hence, the side of each of the spaces S1 defined by the profile control liner 122Q, may extend substantially perpendicular to a main surface 110M of the substrate 110. By processing the substrate 110 using the composite mask pattern 122X having such a vertical side wall profile, the processing accuracy in the substrate 110 may be strictly controlled to a very fine level on the order of several nm.
  • The sulfur-containing gas of the etch gas for plasma-etching the carbon-containing film 122 may be included in an amount of about 35 vol % to about 50 vol % based on the total volume of the etch gas. For example, when the etch gas for plasma-etching the carbon-containing film 122 includes a sulfur-containing gas and O2, a flow rate of the O2 may be the same or greater than the flow rate of the sulfur-containing gas during the plasma-etching of the carbon-containing film 122. In some examples, when the etch gas for plasma-etching the carbon-containing film 122 includes COS and an O2, the ratio of the flow rate of the COS to the flow rate of the O2 is between about 1:1 and about 1:2. For example, the carbon-containing film 122 may be plasma-etched by an etch gas including COS supplied at a flow rate of about 40 sccm and O2 supplied at a flow rate of about 60 sccm.
  • During the plasma-etching of the carbon-containing film 122, if the flow rate of the sulfur-containing gas were too low, sulfur or sulfur-containing byproducts derived from the sulfur-containing gas during the plasma-etching of the carbon-containing film 122 are not provided in a sufficient amount to the exposed side wall surfaces of the carbon-containing mask pattern 122P, and thus, the profile control liner 122Q may not have a desired (side-wall) profile. For example, when the etch gas for plasma-etching the carbon-containing film 122 contains sulfur-containing gas and O2 and the flow rate of O2 gas exceeds twice the flow rate of the sulfur-containing gas, it is likely that at least a portion of the side wall surfaces of the carbon-containing mask pattern 122P will not be covered by the profile control liner 122Q. In this case, the portion of the side wall surfaces that is not covered by the profile control liner 122Q may allow the carbon-containing mask pattern 122P to be consumed or subjected to a physical deformation, e.g., bowing, and thus, the composite mask pattern 122X including the carbon-containing mask pattern 122P may not have a desired vertical (side-wall) profile.
  • When the etch gas for plasma-etching the carbon-containing film 122 contains sulfur-containing gas and O2 and the flow rate of the sulfur-containing gas is greater than the flow rate of the O2, excess etch byproducts may be generated during the plasma-etching of the carbon-containing film 122, and thus, the etch speed of the carbon-containing film 122 may be too low, or the etching may terminate before the thickness of the carbon-containing film 122 being etched reaches a target etch level.
  • In some examples, the composite mask pattern 122X has a width of at least 100 nm in a horizontal direction, for example, an X direction and/or a Y direction. In some examples, the ratio of the height to the width, that is, the aspect ratio of the composite mask pattern 122X is at least 4, e.g., from about 4 to about 10, but the aspect ratio is not limited thereto.
  • Referring to FIGS. 1 and 2D, in process P18, ions 130 constituting an impurity (referred to hereinafter as impurity ions) may be implanted into the substrate 110 through the spaces S1 defined by the composite mask pattern 122X to form a plurality of wells 112 in the substrate 110. The wells 112 may each include an impurity region containing the impurity ions 130.
  • The impurity ions 130 may be an n-type dopant or a p-type dopant. When the substrate 110 includes a Group IV semiconductor such as Si, the n-type dopant may include a Group V element, such as phosphorus (P), arsenic (As) or antimony (Sb), and the p-type dopant may include a Group III element, such as boron (B). However, the inventive concept is not limited to these examples as the type of impurity ions 130 can vary depending on the material constituting the substrate 110.
  • Because the composite mask pattern 122X, having a (side wall) profile that extends substantially vertically, is used as the ion implantation mask during implantation of the impurity ions 130 into the substrate 110, the location of each of the wells 112 in the substrate 110 may be strictly controlled.
  • Referring to FIGS. 1 and 2E, in process P20, the silicon-containing organic anti-reflective pattern 124P is removed from the resultant structure shown in and described with reference to FIG. 2D.
  • A wet etching process using a first etchant may be performed to remove the silicon-containing organic anti-reflective pattern 124P. The first etchant may include H2SO4. For example, the first etchant may be a mixture including H2SO4, H2O2, and deionized water (DIW). In some examples, H2SO4 (purity 98%) and H2O2 (purity 30%) in the first etchant are included at a volume ratio of about 4:1, but the volume ratio is not limited thereto.
  • Referring to FIGS. 1 and 2F, in process P22, the composite mask pattern 122X is removed from the resultant structure shown in and described with reference to FIG. 2E.
  • A wet etching process using a second etchant having a composition that is different from that of the first etchant may be performed to remove the composite mask pattern 122X. The second etchant may be a mixture including NH4OH, H2O2, and DIW. In some examples, NH4OH (purity 28%), H2O2 (purity 30%), and DIW in the second etchant are included at a volume ratio of about 1:1:5, but the volume ratio is not limited thereto.
  • According to a method of manufacturing an integrated circuit device as described with reference to FIGS. 1 and 2A to 2F, when performing the ion implantation process for forming the wells 112 required to have a very fine critical dimension (CD) due to the down-scaling, the composite mask pattern 122X providing a side wall profile that extends substantially vertically is used as an ion implantation mask. Therefore, the location and dimensional accuracy of the wells 112 may be precisely controlled.
  • FIGS. 3A to 3R illustrate other examples of a method of manufacturing an integrated circuit device according to the inventive concept. Like reference numerals in FIGS. 3A to 3R and FIGS. 2A to 2F denote like elements, which will not be described again in detail for the sake of brevity.
  • Referring to FIG. 3A, a plurality of pad oxide film patterns 212 and a plurality of mask patterns 214 are formed on a substrate 110.
  • Each of the pad oxide film patterns 212 and the mask patterns 214 may be elongated in and extend parallel to each other in a direction (Y direction) on the substrate 110. In some examples, the pad oxide film patterns 212 may include an oxide film obtained by thermally oxidizing the surface of the substrate 110. The mask patterns 214 may each include a silicon nitride film, a silicon oxynitride film, a spin on glass (SOG) film, a photoresist film, or a combination thereof, but the inventive concept is not limited thereto.
  • Referring to FIG. 3B, a portion of the substrate 110 is etched by using the mask patterns 214 as an etch mask, thereby forming a plurality of shallow trenches ST. Due to the forming of the shallow trenches ST, a plurality of fin-type active areas FA that project upward from the substrate 110 in a vertical direction (Z direction) and that extend longitudinally in a horizontal direction (Y direction) are obtained. Each of the shallow trenches ST may have a depth D1 (as taken between the bottoms of the shallow trenches ST and the top surfaces of the fin-type active areas FA).
  • Referring to FIG. 3C, a first isolation insulating film 216 filling each of the shallow trenches ST in between the fin-type active areas FA may be formed.
  • In some examples, the first isolation insulating film 216 includes an insulating liner, a stressor liner, and a buried insulating film that sequentially cover side walls of each of the fin-type active areas FA. The insulating liner may be obtained by oxidizing the surfaces of the fin-type active areas FA. For example, the insulating liner may include a silicon oxide film formed by using a thermal oxidation process. The insulating liner may have a thickness of about 10 Å to about 100 Å. The stressor liner may conformally cover the insulating liner and may have a uniform thickness. The stressor liner may include SiN, SiON, SiBN, SiC, SiC:H, SiCN, SiCN:H, SiOCN, SiOCN:H, SiOC, SiO2, polysilicon, or a combination thereof. The stressor liner may have a thickness of about 10 Å to about 100 Å. The stressor liner may be formed by plasma enhanced chemical vapor deposition (PECVD), high density plasma CVD (HDP CVD), inductively coupled plasma CVD (ICP CVD), or capacitor coupled plasma CVD (CCP CVD). The buried insulating film may include fluoride silicate glass (FSG), undoped silicate glass (USG), boro-phospho-silicate glass (BPSG), phospho-silicate glass (PSG), flowable oxide (FOX), plasma enhanced tetra-ethyl-ortho-silicate (PE-TEOS), or tonen silazene (TOSZ), but the inventive concept is not limited thereto. The buried insulating film may be formed in such a way that an oxide film filling the inside of each of the shallow trenches ST is formed, and then, the oxide film may be annealed. Subsequently, a top portion of the oxide film may be removed to expose a top surface of each of the mask patterns 214. The buried insulating film may be formed by flowable chemical vapor deposition (FCVD) or spin coating.
  • The top surface of each of the mask patterns 214 and the top surface of the first isolation insulating film 216 may constitute a planarized surface. In some examples, the top surface of each of the mask patterns 214 and the top surface of the first isolation insulating film 216 are coplanar.
  • Referring to FIG. 3D, some of the fin-type active areas FA and their surrounding films are removed to form a plurality of deep trenches DT in the substrate 110.
  • Each of the deep trenches DT may have a depth D2 (as taken between the bottoms of the deep trenches DT and the top surfaces of the fin-type active areas FA). For example, the depth D2 may be in the range of about 50 nm to about 150 nm, but is not limited thereto. The depth D2 of the deep trenches DT may be greater than the depth D1 of the shallow trenches ST.
  • In some examples, the substrate 110 is divided into a plurality of device areas defined by the deep trenches DT. The device areas may be required to have different threshold voltages. For example, some of the device areas may be NMOS transistor areas, and other device areas may be PMOS transistor areas.
  • To form the deep trenches DT, in the resultant structure shown in and described in connection with FIG. 3C a photoresist pattern exposing a portion of the resultant structure is formed, and the exposed portion is dry-etched by using the photoresist pattern as an etch mask.
  • Referring to FIG. 3E, a second isolation insulating film 218 filling each of the deep trenches DT is formed. The first isolation insulating film 216 and the second isolation insulating film 218 may constitute a device isolation film 220.
  • The second isolation insulating film 218 may be formed by using a coating process or a deposition process. In some examples, the second isolation insulating film 218 includes USG, but the inventive concept is not limited thereto. A portion of the second isolation insulating film 218 may contact the first isolation insulating film 216.
  • In some examples, to form the second isolation insulating film 218, an insulating film filling each of the deep trenches DT is formed, and then, a top surface of the insulating film is planarized to expose the mask patterns 214. In this regard, a portion of the mask patterns 214 and a portion of the first isolation insulating film 216 may be consumed, leading to smaller thicknesses of the mask patterns 214 and the first isolation insulating film 216.
  • After the second isolation insulating film 218 is formed, the top surface of each of the mask patterns 214, the top surface of the first isolation insulating film 216, and the top surface of the second isolation insulating film 218 may constitute a planarized surface. In some examples, the top surface of each of the mask patterns 214, the top surface of the first isolation insulating film 216, and the top surface of the second isolation insulating film 218 are coplanar.
  • Referring to FIG. 3F, the pad oxide film patterns 212 and the mask patterns 214 are removed from the resultant structure shown in and described in connection with FIG. 3E to expose top surfaces of the fin-type active areas FA.
  • The removing of the pad oxide film patterns 212 and the mask patterns 214 may lead to the forming of a plurality of holes 220H in the device isolation film 220, wherein the holes 220H expose top surfaces of the fin-type active areas FA. The holes 220H may be elongated in the longitudinal direction of each of the fin-type active areas FA, that is, the Y direction.
  • Referring to FIG. 3G, a first stacked mask structure MS1 including a carbon-containing film 232 and a silicon-containing organic anti-reflective film 234 is formed on the fin-type active areas FA and the device isolation film 220.
  • The carbon-containing film 232 may include an SOH film or an ACL. The silicon-containing organic anti-reflective film 234 may include a cross-linked polymer having a silicon content of about 10 wt % to about 50 wt %. The carbon-containing film 232 and the silicon-containing organic anti-reflective film 234 may be formed by using the same method used to form the carbon-containing film 122 and the silicon-containing organic anti-reflective film 124 in the process P12 explained in connection with FIG. 1 and FIG. 2A.
  • The carbon-containing film 232 may contact the upper surface of the device isolation film 220 and the top surfaces of the fin-type active areas FA. The carbon-containing film 232 may fill the holes 220H, and, accordingly, the carbon-containing film 232 may include a plurality of protrusions 232R contacting the upper surfaces of the fin-type active areas FA.
  • Referring to FIG. 3H, a photoresist pattern PR1 is formed on the first stacked mask structure MS1 (see FIG. 3G), and the silicon-containing organic anti-reflective film 234 is etched using the photoresist pattern PR1 as an etch mask, thereby forming a silicon-containing organic anti-reflective pattern 234P.
  • The photoresist pattern PR1 may have an opening OP1 exposing the carbon-containing film 232 on any one kind of the device areas of the substrate 110. In some examples, the opening OP1 of the photoresist pattern PR1 exposes portions of the carbon-containing film 232 covering an NMOS transistor area of the substrate 110. In some examples, the opening OP1 of the photoresist pattern PR1 exposes portions of the carbon-containing film 232 covering a PMOS transistor area of the substrate 110. The photoresist pattern PR1 is substantially the same as the photoresist pattern PR described in connection with FIG. 2B. A method for forming the silicon-containing organic anti-reflective pattern 234P is the same as that for forming the silicon-containing organic anti-reflective pattern 124P described in connection with FIG. 2B.
  • Referring to FIG. 31, the carbon-containing film 232 is etched using the silicon-containing organic anti-reflective pattern 234P as an etch mask to form a composite mask pattern 232X including a carbon-containing mask pattern 232P and a profile control liner 232Q covering side walls of the carbon-containing mask pattern 232P.
  • The composite mask pattern 232X may be formed by the method used to form the composite mask pattern 122X in process P16 explained in connection with FIG. 1 and FIG. 2C. The photoresist pattern PR1 (see FIG. 3H) on the silicon-containing organic anti-reflective pattern 234P may be consumed and removed during the etching of the carbon-containing film 232. In some examples, the photoresist pattern PR1 on the silicon-containing organic anti-reflective pattern 234P is removed to expose a top surface of the silicon-containing organic anti-reflective pattern 234P, and then, the carbon-containing film 232 is etched to form a carbon-containing mask pattern 232P.
  • In some examples, the composite mask pattern 232X has a width of at least 100 nm in a horizontal direction(s), for example, an X direction and/or a Y direction. In some examples, the ratio of the height to the width, that is, the aspect ratio of the composite mask pattern 232X may be at least 4, and preferable from about 4 to about 10, but the aspect ratio is not limited thereto.
  • The composite mask pattern 232X may define a plurality of spaces S2 whose widths are limited by the profile control liner 232Q. The spaces S2 may expose top surfaces of some of the fin-type active areas FA and the top surface of the device isolation film 220.
  • Referring to FIG. 3J, an impurity (ions) 236 is implanted into the substrate 110 through the spaces S2 defined by the composite mask pattern 232X to form a plurality of first wells 238 in the substrate 110.
  • The ion implantation process for forming the first wells 238 is substantially similar to that of forming the wells 112 in the process P18 described in connection with FIG. 1 and FIG. 2D.
  • In some examples, the first wells 238 provide an active area of the NMOS transistor area. In this case, the impurity 236 is a p-type dopant, and the first wells 238 are each a p-type well, i.e., a well containing a p-type dopant. In some examples, the first wells 238 provide an active area of the PMOS transistor area. In this case, the impurity 236 is an n-type dopant, and the first wells 238 are each an n-type well, i.e., a well containing an n-type dopant.
  • Referring to FIG. 3K, the silicon-containing organic anti-reflective pattern 234P and the composite mask pattern 232X are removed from the structure illustrated in FIG. 3J.
  • The silicon-containing organic anti-reflective pattern 234P and the composite mask pattern 232X may be removed by a method substantially the same as that for removing the silicon-containing organic anti-reflective pattern 124P and the composite mask pattern 122X in process P20 and process P22 explained in connection with FIG. 1, and FIGS. 2E and 2F.
  • Once the silicon-containing organic anti-reflective pattern 234P and the composite mask pattern 232X are removed, the top surface of the device isolation film 220 and the top surface of each of the fin-type active areas FA on the substrate 110 may be exposed again.
  • Referring to FIG. 31, a second stacked mask structure MS2 including a carbon-containing film 242 and a silicon-containing organic anti-reflective film 244 is formed on the fin-type active areas FA and the device isolation film 220.
  • The carbon-containing film 242 and the silicon-containing organic anti-reflective film 244 may be formed by a method substantially the same as that used to form the carbon-containing film 122 and the silicon-containing organic anti-reflective film 124 in process P12 explained in connection with FIG. 1, and FIG. 2A. The carbon-containing film 242 may contact the upper surface of the device isolation film 220 and the top surfaces of the fin-type active areas FA. The carbon-containing film 242 may fill the holes 220H, and, accordingly, the carbon-containing film 242 may include a plurality of protrusions 242R contacting the upper surfaces of the fin-type active areas FA.
  • Referring to FIG. 3M, a photoresist pattern PR2 is formed on the second stacked mask structure MS2 (see FIG. 3I), and the silicon-containing organic anti-reflective film 244 is etched using the photoresist pattern PR2 as an etch mask, thereby forming a silicon-containing organic anti-reflective pattern 244P.
  • The photoresist pattern PR2 may have an opening OP2. In some examples, when the first wells 238 formed in the substrate 110 provide the active area of the NMOS transistor area, portions of the carbon-containing film 242 covering the PMOS transistor area of the substrate 110 are exposed through the opening OP2. In some examples, when the first wells 238 formed in the substrate 110 provide the active area of the PMOS transistor area, portions of the carbon-containing film 242 covering the NMOS transistor area of the substrate 110 are exposed through the opening OP2. The photoresist pattern PR2 is substantially the same as the photoresist pattern PR described in connection with FIG. 2B. A method for forming the silicon-containing organic anti-reflective pattern 244P is substantially the same as that for forming the silicon-containing organic anti-reflective pattern 124P described in connection with FIG. 2B.
  • Referring to FIG. 3N, the carbon-containing film 242 (see FIG. 3M) is etched using the silicon-containing organic anti-reflective pattern 244P as an etch mask to form a composite mask pattern 242X including a carbon-containing mask pattern 242P and a profile control liner 242Q covering side walls of the carbon-containing mask pattern 242P.
  • The composite mask pattern 242X may be formed by a method substantially the same as that used to form the composite mask pattern 122X explained in connection with the process P16 of FIG. 1 and FIG. 2C. The photoresist pattern PR2 (see FIG. 3M) on the silicon-containing organic anti-reflective pattern 244P may be consumed and removed during the etching of the carbon-containing film 242. In some examples, the photoresist pattern PR2 on the silicon-containing organic anti-reflective pattern 244P is removed to expose a top surface of the silicon-containing organic anti-reflective pattern 244P, and then, the carbon-containing film 242 is etched to form a carbon-containing mask pattern 242P. The composite mask pattern 242X may define a plurality of spaces S3 whose widths are limited by the profile control liner 242Q. The spaces S3 may expose top surfaces of some of the fin-type active areas FA and the top surface of the device isolation film 220.
  • Referring to FIG. 3O, an impurity (ions) 246 is implanted into the substrate 110 through the spaces S3 defined by the composite mask pattern 242X to form a second well 248 in the substrate 110. According to the present example, there is one second well. However, in other examples, there are two or more second wells in the substrate 110.
  • The ion implantation process for forming the second well 248 is substantially similar to that described to form the wells 112 in process P18 explained in connection with FIG. 1, and FIG. 2D.
  • In some examples, when the first wells 238 each provide an active area of the NMOS transistor area, the second well 248 provides an active area of the PMOS transistor area. In this case, the impurity 236 may be an n-type dopant, and the second well 248 is an n-type well, i.e., a well containing an n-type dopant. In some examples, when the first wells 238 each provide an active area of the PMOS transistor area, the second well 248 provides an active area of the NMOS transistor area. In this case, the second well 248 is a p-type well, i.e., a well containing a p-type dopant.
  • Referring to FIG. 3P, the silicon-containing organic anti-reflective pattern 244P and the composite mask pattern 242X are removed from the structure illustrated in FIG. 3O.
  • The silicon-containing organic anti-reflective pattern 244P and the composite mask pattern 242X may be removed by a method substantially the same as that used to remove the silicon-containing organic anti-reflective pattern 124P and the composite mask pattern 122X described in connection with the process P20 and process P22 of FIG. 1, and FIGS. 2E and 2F.
  • Once the silicon-containing organic anti-reflective pattern 244P and the composite mask pattern 242X are removed, the top surface of the device isolation film 220 and the top surface of each of the fin-type active areas FA may be exposed again.
  • Referring to FIG. 3Q, a recess process is performed to remove a portion of the device isolation film 220 to expose a top portion of each of the fin-type active areas FA.
  • The recess process may be performed by a dry etching, a wet etching, or a combination of dry etching and wet etching. During the recess process, the top portion of each of the fin-type active areas FA is exposed to an etching environment and/or a cleaning environment after the etching. Accordingly, the top portion of each of the fin-type active areas FA may be partially consumed from its outer surface, thereby having a smaller width as illustrated in FIG. 3Q compared to that before the partial consumption thereof.
  • In some examples, an ion implantation process is performed to implant an impurity for threshold voltage adjustment on the top portion of each of the fin-type active areas FA exposed above the device isolation film 220. At this time, an ion implantation mask, having a configuration similar to the combination of the composite mask pattern 232X and the silicon-containing organic anti-reflective pattern 234P illustrated in FIG. 3J, is used to ion-implant the impurity for threshold voltage adjustment on the top portion of each of the fin-type active areas FA in the first wells 238. In one or more examples, an ion implantation mask, having a configuration similar to the combination of the composite mask pattern 242X and the silicon-containing organic anti-reflective pattern 244P illustrated in FIG. 3N, is used to ion-implant the impurity for threshold voltage adjustment on the top portion of each of the fin-type active areas FA in the second well 248. Regarding the first wells 238 and the second well 248, boron (B) ions are implanted as an impurity into an area where the NMOS transistor is formed, and phosphorous (P) ions, arsenic (As) ions, or antimony (Sb) ions are implanted as an impurity into an area where the PMOS transistor is formed.
  • Referring to FIG. 3R, source/drain areas (not shown) are formed on the fin-type active areas FA, and then, a plurality of gate dielectric layers 262, a plurality of gate lines 264, and a plurality of insulating capping films 266 may be formed on the fin-type active areas FA. A gate cut insulating film 268 may be formed between two neighboring ones of the gate lines 264. The gate cut insulating film 268 may be formed before the gate dielectric layers 262, the gate lines 264, and the insulating capping films 266 are formed.
  • The gate dielectric layers 262 may include a silicon oxide layer, a high-k dielectric layer, or a combination thereof. The high-k dielectric film is a film of material whose dielectric constant is greater than that of a silicon oxide film. The high-k dielectric film may include a metal oxide or a metal oxynitride. In some examples, an interface film (not shown) is located between the fin-type active areas FA and the gate dielectric layers 262. The interface film may include an oxide film, a nitride film, or an oxynitride film.
  • The gate lines 264 may have a structure in which a metal nitride film, a metal film, a conductive capping film, and a gap-fill metal film are sequentially stacked. The metal nitride film and the metal film may each include at least one metal selected from the group consisting of Ti, Ta, W, Ru, Nb, Mo, and Hf. The gap-fill metal film may include a W film or an Al film. The gate lines 264 may each include a work function metal-containing film. The work function metal-containing film may include at least one metal selected from the group consisting of Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, and Pd. As examples, each of the gate lines 264 may have a stack structure of TiAlC/TiN/W, a stack structure of TiN/TaN/TiAlC/TiN/W, or a stack structure of TiN/TaN/TiN/TiAlC/TiN/W.
  • The insulating capping film 266 and the gate cut insulating film 268 may each include a nitride film, but the inventive concept is not limited thereto.
  • In some examples, a gate-last process (also referred to as a replacement poly-gate process) is used to form the gate dielectric layers 262, the gate lines 264, and the insulating capping films 266. However, the inventive concept is not limited thereto.
  • According to the method of manufacturing an integrated circuit device described with reference to FIGS. 3A to 3R, when the ion implantation process is performed to form the first wells 238 and the second well 248, each having a very fine CD, due to the use of the composite mask patterns 232X and 242X providing a vertical side wall profile, which extends substantially vertically, the location and dimensional accuracy of the first wells 238 and the second well 248 may be precisely controlled. Accordingly, the method facilitates the down-scaling of integrated circuits having wells formed by an ion implantation process.
  • Examples of methods of manufacturing an integrated circuit device according to the inventive concept have been described hereinabove with reference to FIGS. 1 to 3R. However, the inventive concept is not limited to these examples, and an integrated circuit device having various other structures may be manufactured within the scope of inventive concept. For example a method of manufacturing an integrated circuit device including a FinFET whose channel has a three-dimensional structure has been described with reference to FIGS. 3A to 3R. However, the inventive concept is not limited to the above example. Rather, the method of manufacturing an integrated circuit device according to the inventive concept may be similarly used to manufacture an integrated circuit device including a planar MOSFET, for example.
  • FIG. 4A shows a circuit diagram of an integrated circuit device 300 as an example that may be manufactured using a method of manufacturing an integrated circuit device according to the inventive concept. The circuit diagram shown in FIG. 4A is of a 6T SRAM cell including six transistors. FIG. 4B is a top view of the integrated circuit device 300 having circuitry corresponding to that illustrated in FIG. 4A.
  • Referring to FIG. 4A, the integrated circuit device 300 includes a pair of inverters INV1 and INV2 connected in parallel between a power supply node Vcc and a ground node Vss, and a first pass transistor PS1 connected to an output node of the inverter INV1 and a second pass transistor PS2 connected to an output node of the inverter INV2. The first pass transistor PS1 and the second pass transistor PS2 may be connected to a bit line BL and a complementary bit line/BL, respectively. A gate of the first pass transistor PS1 and a gate of the second pass transistor PS2 may each be connected to a word line WL.
  • The first inverter INV1 may include a first pull-up transistor PU1 and a first pull-down transistor PD1, which are connected in series, and a second inverter INV2 may include a second pull-up transistor PU2 and a second pull-down transistor PD2, which are connected in series. The first pull-up transistor PU1 and the second pull-up transistor PU2 may be PMOS transistors, and the first pull-down transistor PD1 and the second pull-down transistor PD2 may be NMOS transistors.
  • An input node of the first inverter INV1 is connected to an output node of the second inverter INV2, and an input node of the second inverter INV2 is connected to an output node of the first inverter INV1, so that the first inverter INV1 and the second inverter INV2 constitute one latch circuit.
  • Referring to FIG. 4B, the integrated circuit device 300 includes an SRAM array 310 including a plurality of SRAM cells 310A, 310B, 310C, and 310D arranged in a matrix on a substrate. FIG. 4B illustrates four SRAM cells 310A, 310B, 310C, and 310D, wherein each memory cell includes six FinFETs. Each of the SRAM cells 310A, 310B, 310C, and 310D may have the circuit configuration illustrated in FIG. 4A.
  • Each of the SRAM cells 310A, 310B, 310C, and 310D includes a plurality of fin-type active areas FA which protrude from the substrate, e.g., the substrate 110 illustrated in FIGS. 2A to 3R, and which extend in parallel to each other in a direction (Y direction).
  • In each of the SRAM cells 310A, 310B, 310C and 310D, a plurality of gate lines GL may cover top portions of the fin-type active areas FA and may extend across the fin-type active areas FA. In each of the SRAM cells 310A, 310B, 310C, 310D, intervals between the fin-type active areas FA may be constant or may vary depending on their locations
  • In each of the SRAM cells 310A, 310B, 310C, and 310D, the first pull-up transistor PU1, the first pull-down transistor PD1, the first pass transistor PS1, the second pull-up transistor PU2, and the second pull-up transistor PU2 may each be implemented as a FinFET device in which the gate lines GL cross the fin-type active areas FA.
  • For example, in the SRAM cell 410A, a transistor is formed at each of six intersections of the fin-type active areas FA and the gate lines GL, and the transistors may include the first pass transistor PS1, the second pass transistor PS2, the first pull-down transistor PD1, the second pull-down transistor PD2, the first pull-up transistor PU1, and the second pull-up transistor PU2.
  • The first pull-up transistor PU1 and the second pull-up transistor PU2 may each include a PMOS transistor, and the first pull-down transistor PD1, the second pull-down transistor PD2, the first pass transistor PS1, and the second pass transistor PS2 may each include an NMOS transistor.
  • The integrated circuit device 300 may be manufactured by any of the methods described in connection with FIGS. 1 to 3R.
  • Although the inventive concept has been particularly shown and described with reference to examples thereof, it will be understood that various changes in form and details may be made to the disclosed examples without departing from the spirit and scope of the following claims.

Claims (20)

What is claimed is:
1. A method of manufacturing an integrated circuit device, the method comprising:
forming a carbon-containing film on a substrate;
forming a silicon-containing organic anti-reflective film on the carbon-containing film, whereby a stacked mask structure constituted by the carbon-containing film and the silicon-containing organic anti-reflective film is formed on the substrate;
etching the silicon-containing organic anti-reflective film to thereby form a silicon-containing organic anti-reflective pattern that exposes a select portion of the carbon-containing film;
etching the carbon-containing film using the silicon-containing organic anti-reflective pattern as an etch mask to form a composite mask comprising a carbon-containing mask pattern defining openings therethrough and a profile control liner covering side surfaces of the carbon-containing mask pattern that delimit the openings; and
implanting ions as an impurity into the substrate through a plurality of spaces defined by the composite mask.
2. The method of claim 1, wherein the carbon-containing film comprises an organic compound having a carbon content of about 85 wt % to about 99 wt % based on a total weight of the organic compound, and
the silicon-containing organic anti-reflective film comprises a cross-linked polymer having a silicon content of about 10 wt % to about 50 wt %.
3. The method of claim 1, wherein the forming of the silicon-containing organic anti-reflective pattern comprises plasma etching the silicon-containing organic anti-reflective film with a gas containing CxFyHz (where x and y are each an integer of 1 to 10 and z is an integer of 0 to 10).
4. The method of claim 1, wherein the forming of the composite mask comprises plasma-etching the carbon-containing film with an etch gas comprising a sulfur-containing gas, and
the profile control liner comprises sulfur.
5. The method of claim 4, wherein the sulfur-containing gas comprises COS, CS2, SO2, or a combination thereof.
6. The method of claim 4, wherein the etch gas further comprises O2.
7. The method of claim 4, wherein the etch gas further comprises O2, and during the plasma-etching of the carbon-containing film, the sulfur-containing gas is supplied at a first flow rate and the O2 is supplied at a second flow rate that is equal to or greater than the first flow rate.
8. The method of claim 1, wherein the composite mask has inner side wall surfaces defining the plurality of spaces, and the inner side wall surfaces are substantially perpendicular to a main surface of the substrate.
9. The method of claim 1, further comprising removing the silicon-containing organic anti-reflective pattern using a first etchant comprising H2SO4, after the ions have been implanted into the substrate, and
removing the composite mask using a second etchant having a composition different from that of the first etchant.
10. A method of manufacturing an integrated circuit device, the method comprising:
forming a stacked mask structure on a plurality of active areas of a substrate, the stacked mask structure comprising a carbon-containing film and a silicon-containing organic anti-reflective film;
forming a silicon-containing organic anti-reflective pattern by etching the silicon-containing organic anti-reflective film;
forming a composite mask comprising a carbon-containing mask pattern defining openings therethrough and a profile control liner covering side surfaces of the carbon-containing mask pattern that delimit the openings, wherein the composite mask is formed by etching the carbon-containing film using the silicon-containing organic anti-reflective pattern as an etch mask;
implanting ions as an impurity into some of the plurality of active areas using the composite mask as an ion implantation mask; and
removing the silicon-containing organic anti-reflective pattern and the composite mask.
11. The method of claim 10, wherein the substrate has a PMOS transistor area and an NMOS transistor area,
the composite mask is formed to cover one of the PMOS transistor area and the NMOS transistor area but not the other of the PMOS transistor area and the NMOS transistor area; and
the ions are implanted into the other of the PMOS transistor area and the NMOS transistor area.
12. The method of claim 10, wherein the carbon-containing film and the silicon-containing organic anti-reflective film are each formed by spin coating.
13. The method of claim 10, wherein a thickness of the carbon-containing film of the stacked mask structure is about 5 times to about 10 times a thickness of the silicon-containing organic anti-reflective film.
14. The method of claim 10, wherein the silicon-containing organic anti-reflective pattern and the composite mask are formed by a dry etching process using plasma, and
the silicon-containing organic anti-reflective pattern and the composite mask are removed by a wet etching process.
15. The method of claim 10, wherein the forming of the composite mask comprises plasma-etching the carbon-containing film using an etch gas comprising a sulfur-containing gas, and
the profile control liner comprises sulfur derived from the sulfur-containing gas.
16. A method of manufacturing an integrated circuit device, the method comprising:
forming fin-type active areas extending parallel to each other in a first horizontal direction, wherein the fin-type active areas are formed by etching a portion of a substrate;
forming an insulating film filling spaces between adjacent ones of the fin-type active areas;
forming a stacked mask structure on the insulating film and the plurality of fin-type active areas, wherein the stacked mask structure comprises a carbon-containing film and a silicon-containing organic anti-reflective film;
forming a silicon-containing organic anti-reflective pattern by etching the silicon-containing organic anti-reflective film;
forming a composite mask comprising a carbon-containing mask pattern defining openings therethrough and a profile control liner covering side surfaces of the carbon-containing mask pattern that delimit the openings, wherein the composite mask is formed by etching the carbon-containing film using the silicon-containing organic anti-reflective pattern as an etch mask, and
forming a well in the plurality of fin-type active areas by implanting ions as an impurity ion into some of the plurality of fin-type active areas using the composite mask as an ion implantation mask.
17. The method of claim 16, wherein the forming of the composite mask comprises forming a carbon-containing mask pattern by plasma-etching the carbon-containing film using an etching gas comprising a sulfur-containing gas, and
the profile control liner includes sulfur derived from the sulfur-containing gas during the plasma-etching of the carbon-containing film.
18. The method of claim 16, wherein the composite mask is formed by etching the carbon-containing film with an etch gas containing a sulfur-containing gas and O2, and the sulfur-containing gas of the etch gas is included in an amount of about 35 vol % to about 50 vol % based on a total volume of the etch gas.
19. The method of claim 16, further comprising removing the silicon-containing organic anti-reflective pattern and the composite mask after the well has been formed;
removing a portion of the insulating film so as to form a device isolation film covering side wall surfaces of each of the fin-type active areas and allow a top portion of each of the fin-type active areas to protrude above the device isolation film;
forming a gate dielectric film covering a top surface and side walls of each of the fin-type active areas; and
forming a gate line on the gate dielectric film, the gate line covering a top portion of each of the fin-type active areas.
20. The method of claim 19, wherein the removing of the silicon-containing organic anti-reflective pattern and the composite mask comprises:
removing the silicon-containing organic anti-reflective pattern by performing a first wet etch using a first etchant, and
removing the composite mask by performing a second wet etch using a second etchant that is of a different composition from the first etchant.
US15/891,391 2017-08-10 2018-02-08 Method of manufacturing integrated circuit device Active US10224204B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2017-0101714 2017-08-10
KR1020170101714A KR102372892B1 (en) 2017-08-10 2017-08-10 method of manufacturing integrated circuit device

Publications (2)

Publication Number Publication Date
US20190051526A1 true US20190051526A1 (en) 2019-02-14
US10224204B1 US10224204B1 (en) 2019-03-05

Family

ID=65275805

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/891,391 Active US10224204B1 (en) 2017-08-10 2018-02-08 Method of manufacturing integrated circuit device

Country Status (3)

Country Link
US (1) US10224204B1 (en)
KR (1) KR102372892B1 (en)
CN (1) CN109390218B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180358233A1 (en) * 2017-06-08 2018-12-13 Tokyo Electron Limited Method of plasma etching of silicon-containing organic film using sulfur-based chemistry
US10629492B2 (en) * 2018-04-27 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having a dielectric gate and methods thereof
US20220013682A1 (en) * 2020-07-09 2022-01-13 Imec Vzw Method for Fabricating an Avalanche Photodiode Device
EP4213194A1 (en) * 2022-01-03 2023-07-19 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110265290B (en) * 2019-06-27 2020-06-30 英特尔半导体(大连)有限公司 Method for enhancing semiconductor etching capability

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142451A (en) * 1993-11-12 1995-06-02 Sony Corp Etching method for compound semiconductor
WO2007044446A1 (en) * 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
KR100780944B1 (en) 2005-10-12 2007-12-03 삼성전자주식회사 Method for etching carbon-containing layer and method for manufacturing semiconductor device
US20080194107A1 (en) 2007-02-08 2008-08-14 Nec Electronics Corporation Method of manufacturing semiconductor device
US7781332B2 (en) * 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US8158524B2 (en) 2007-09-27 2012-04-17 Lam Research Corporation Line width roughness control with arc layer open
US8703605B2 (en) * 2007-12-18 2014-04-22 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
JP2010041028A (en) * 2008-07-11 2010-02-18 Tokyo Electron Ltd Substrate processing method
US8202803B2 (en) * 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
JP5606060B2 (en) 2009-12-24 2014-10-15 東京エレクトロン株式会社 Etching method and etching processing apparatus
JP5674375B2 (en) 2010-08-03 2015-02-25 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP5395012B2 (en) 2010-08-23 2014-01-22 信越化学工業株式会社 Resist underlayer film material, resist underlayer film forming method, pattern forming method, fullerene derivative
KR101908980B1 (en) 2012-04-23 2018-10-17 삼성전자주식회사 Field effect transistor
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
JP6135600B2 (en) 2013-06-11 2017-05-31 信越化学工業株式会社 Underlayer film material and pattern forming method
JP6373150B2 (en) * 2014-06-16 2018-08-15 東京エレクトロン株式会社 Substrate processing system and substrate processing method
US10242493B2 (en) 2014-06-30 2019-03-26 Intel Corporation Method and apparatus for filtered coarse pixel shading
KR102287344B1 (en) 2014-07-25 2021-08-06 삼성전자주식회사 Hardmask composition and method of forming patterning using the hardmask composition
US9559192B1 (en) 2015-11-18 2017-01-31 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
KR102432464B1 (en) 2015-11-18 2022-08-16 삼성전자주식회사 FinFET AND METHOD FOR FORMING Fin OF THE FinFET

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180358233A1 (en) * 2017-06-08 2018-12-13 Tokyo Electron Limited Method of plasma etching of silicon-containing organic film using sulfur-based chemistry
US10529589B2 (en) * 2017-06-08 2020-01-07 Tokyo Electron Limited Method of plasma etching of silicon-containing organic film using sulfur-based chemistry
US10629492B2 (en) * 2018-04-27 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having a dielectric gate and methods thereof
US20220013682A1 (en) * 2020-07-09 2022-01-13 Imec Vzw Method for Fabricating an Avalanche Photodiode Device
US11600735B2 (en) * 2020-07-09 2023-03-07 Imec Vzw Method for fabricating an avalanche photodiode device
EP4213194A1 (en) * 2022-01-03 2023-07-19 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same

Also Published As

Publication number Publication date
CN109390218B (en) 2023-06-06
CN109390218A (en) 2019-02-26
KR102372892B1 (en) 2022-03-10
US10224204B1 (en) 2019-03-05
KR20190017227A (en) 2019-02-20

Similar Documents

Publication Publication Date Title
US10224204B1 (en) Method of manufacturing integrated circuit device
KR101949605B1 (en) Implantations for forming source/drain regions of different transistors
CN110416081B (en) Selective recessing of source/drain regions of NFET/PFET
US9196543B2 (en) Structure and method for finFET device
US8878308B2 (en) Multi-fin device by self-aligned castle fin formation
KR101435712B1 (en) Structure and method for finfet integrated with capacitor
US8524570B2 (en) Method and apparatus for improving gate contact
US10396205B2 (en) Integrated circuit device
US7960286B2 (en) Narrow channel width effect modification in a shallow trench isolation device
KR100539265B1 (en) Fabricating method of MOSFET having recessed channel
EP3080835A1 (en) Design and integration of finfet device
US20220246465A1 (en) Finfet circuit devices with well isolation
TW201839818A (en) Manufacturing method of ic devices
TW202147520A (en) Semiconductor device and methods for forming the same
TW202213801A (en) Memory device
US10755932B2 (en) Method of manufacturing integrated circuit device
TW202139356A (en) Semiconductor structure and method forming the same
US7851328B2 (en) STI stress modulation with additional implantation and natural pad sin mask
TWI732335B (en) Integrated circuit device and fabricating method thereof
KR100983514B1 (en) Method for fabrication of semiconductor device
TW202240912A (en) Semiconductor device
TWI756018B (en) Semiconductor devices and semiconductor methods
CN108376683B (en) Method for manufacturing source electrode and semiconductor device
KR102623749B1 (en) Gapfill structure and manufacturing methods thereof
KR100732269B1 (en) Semiconductor device and method for fabricating the same

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KHANG, DONG-HOON;KANG, DONG-WOO;PARK, MOON-HAN;AND OTHERS;REEL/FRAME:045052/0283

Effective date: 20180106

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4