TWI732335B - Integrated circuit device and fabricating method thereof - Google Patents

Integrated circuit device and fabricating method thereof Download PDF

Info

Publication number
TWI732335B
TWI732335B TW108140385A TW108140385A TWI732335B TW I732335 B TWI732335 B TW I732335B TW 108140385 A TW108140385 A TW 108140385A TW 108140385 A TW108140385 A TW 108140385A TW I732335 B TWI732335 B TW I732335B
Authority
TW
Taiwan
Prior art keywords
fin
well region
substrate
well
integrated circuit
Prior art date
Application number
TW108140385A
Other languages
Chinese (zh)
Other versions
TW202038331A (en
Inventor
楊智詮
楊昌達
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/450,278 external-priority patent/US11264268B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202038331A publication Critical patent/TW202038331A/en
Application granted granted Critical
Publication of TWI732335B publication Critical patent/TWI732335B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Abstract

A integrated circuit device fabricating method includes receiving a semiconductor structure that includes a substrate including a first well region having a first dopant type and a second well region having a second dopant type that is opposite to the first dopant type; and fins extending above the substrate. The method further includes forming a patterned etch mask on the structure, wherein the patterned etch mask provides an opening that is directly above a first fin of the fins, wherein the first fin is directly above the first well region. The method further includes etching the semiconductor structure through the patterned etch mask, wherein the etching removes the first fin and forms a recess in the substrate that spans from the first well region into the second well region; and forming a dielectric material between remaining portions of the fins and within the recess.

Description

積體電路裝置及其製造方法Integrated circuit device and manufacturing method thereof

本揭露係關於一種積體電路裝置,特別是具有井隔離特徵的積體電路裝置。The present disclosure relates to an integrated circuit device, particularly an integrated circuit device with well isolation characteristics.

半導體積體電路(integrated circuit;IC)工業快速成長。在IC發展過程中,當幾何尺寸(例如:用製程可作出之最小部件)下降時,功能密度(例如:每一晶片區域的相連元件數量)通常都會增加。此微縮過程藉由增加生產效率及降低相關成本提供了優勢。然而,這種微縮亦伴隨著增加包括這些積體電路的裝置的設計和製造的複雜性。製造的並行發展已使越來越複雜的設計得以精確且可靠地製造。The semiconductor integrated circuit (IC) industry is growing rapidly. In the IC development process, when the geometric size (for example: the smallest part that can be made by the process) decreases, the functional density (for example: the number of connected components per chip area) usually increases. This miniaturization process provides advantages by increasing production efficiency and reducing related costs. However, this scaling is also accompanied by increased complexity in the design and manufacture of devices including these integrated circuits. Parallel developments in manufacturing have allowed increasingly complex designs to be manufactured accurately and reliably.

舉例來說,在製造的進步已實現了三維設計,例如鰭式場效應電晶體(Fin-like Field Effect Transistor;FinFET)。與平面FET相比,FinFET提供了減少的短通道效應、減少的漏電、以及更高的電流。由於這些優勢,FinFET已被用於進一步微縮IC。然而,可進一步改善現有FinFET製程的某些領域。舉例來說,在FinFET互補式金屬氧化物半導體(Complementary Metal-Oxide-Semiconductor;CMOS)設計中,由於相鄰N井和P井之間的漏電,可能發生閂鎖(latch-up)。For example, advancements in manufacturing have achieved three-dimensional designs, such as Fin-like Field Effect Transistor (FinFET). Compared with planar FETs, FinFETs provide reduced short-channel effects, reduced leakage, and higher current. Because of these advantages, FinFETs have been used to further scale down ICs. However, some areas of the existing FinFET manufacturing process can be further improved. For example, in the FinFET Complementary Metal-Oxide-Semiconductor (CMOS) design, latch-up may occur due to the leakage between adjacent N-wells and P-wells.

本揭露提供一種積體電路裝置之製造方法。積體電路裝置之製造方法包括接收半導體結構,半導體結構包括基板,基板包括具有第一摻雜物類型的第一井區和具有第二摻雜物類型的第二井區,第二摻雜物類型相反於第一摻雜物類型;以及複數鰭片,在基板上方延伸。積體電路裝置之製造方法更包括在半導體結構上形成圖案化蝕刻罩幕,其中圖案化蝕刻罩幕提供在鰭片的第一鰭片正上方的開口,其中第一鰭片在第一井區正上方;透過圖案化蝕刻罩幕蝕刻半導體結構,其中蝕刻步驟移除第一鰭片,並且在基板中形成從第一井區跨到第二井區中的凹陷;以及在鰭片的複數剩餘部分之間和凹陷內形成介電材料。The present disclosure provides a method for manufacturing an integrated circuit device. The manufacturing method of an integrated circuit device includes receiving a semiconductor structure. The semiconductor structure includes a substrate. The substrate includes a first well region with a first dopant type and a second well region with a second dopant type. The second dopant The type is opposite to the first dopant type; and a plurality of fins extending above the substrate. The manufacturing method of the integrated circuit device further includes forming a patterned etching mask on the semiconductor structure, wherein the patterned etching mask provides an opening directly above the first fin of the fin, wherein the first fin is in the first well region Directly above; the semiconductor structure is etched through the patterned etching mask, wherein the etching step removes the first fin and forms a recess in the substrate that spans from the first well region to the second well region; and in the plural remaining fins A dielectric material is formed between the parts and in the recess.

本揭露提供一種積體電路裝置之製造方法。積體電路裝置之製造方法包括接收半導體結構,半導體結構包括:基板,包括N井區和與N井區鄰接的P井區;以及複數鰭片結構,在基板上方延伸。積體電路裝置之製造方法更包括在基板的上表面上方和鰭片結構的頂部和複數側壁上方形成介電襯墊;在半導體結構上方形成圖案化蝕刻罩幕,圖案化蝕刻罩幕具有開口,其中鰭片結構的第一鰭片結構豎立在開口中,其中第一鰭片結構在N井區正上方;透過開口蝕刻第一鰭片結構和基板,其中蝕刻步驟在基板中形成跨越N井區和P井區之間的邊界的凹陷;以及在鰭片結構的複數剩餘部分之間和凹陷內形成介電材料。The present disclosure provides a method for manufacturing an integrated circuit device. The manufacturing method of the integrated circuit device includes receiving a semiconductor structure. The semiconductor structure includes: a substrate including an N-well region and a P-well region adjacent to the N-well region; and a plurality of fin structures extending above the substrate. The manufacturing method of the integrated circuit device further includes forming a dielectric liner above the upper surface of the substrate, the top of the fin structure and the plurality of side walls; forming a patterned etching mask above the semiconductor structure, and the patterned etching mask has openings, The first fin structure of the fin structure is erected in the opening, wherein the first fin structure is directly above the N-well region; the first fin structure and the substrate are etched through the opening, and the etching step forms the cross-N-well region in the substrate And the depression of the boundary between the P-well region and the P-well region; and the formation of a dielectric material between and within the plurality of remaining parts of the fin structure.

本揭露提供一種積體電路裝置。積體電路裝置包括基板,包括具有第一摻雜類型的第一井區和具有第二摻雜類型的第二井區,第二摻雜物類型與第一摻雜物類型不同;複數鰭片,從基板延伸;介電材料,設置在鰭片之間,使得鰭片在介電材料的頂表面上方延伸;以及井隔離特徵,包括延伸到基板中的介電材料的部分,其中井隔離特徵的底表面在井隔離特徵和鰭片的第一鰭片之間延伸的基板的頂表面下方。The present disclosure provides an integrated circuit device. The integrated circuit device includes a substrate, including a first well region with a first doping type and a second well region with a second doping type, the second dopant type is different from the first dopant type; a plurality of fins , Extending from the substrate; a dielectric material disposed between the fins so that the fins extend above the top surface of the dielectric material; and a well isolation feature, including a portion of the dielectric material extending into the substrate, wherein the well isolation feature The bottom surface of the fin is below the top surface of the substrate extending between the well isolation feature and the first fin of the fin.

本揭露提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。舉例來說,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。此外,在隨後的本揭露中的形成連接到另一個特徵的特徵,及/或形成與另一個特徵耦合的特徵可以包括特徵以直接接觸形成的實施例,並且還可以包括可以形成額外特徵插入特徵的實施例,使得特徵可以不直接接觸。This disclosure provides many different embodiments or examples to implement different features of the case. The following disclosure describes specific examples of each component and its arrangement to simplify the description. Of course, these specific examples are not meant to be limiting. For example, if this disclosure describes that a first feature is formed on or above a second feature, it means that it may include an embodiment in which the first feature is in direct contact with the second feature, or it may include There are embodiments in which additional features are formed between the first feature and the second feature, and the first feature and the second feature may not be in direct contact. In addition, forming a feature connected to another feature and/or forming a feature coupled with another feature in the subsequent disclosure may include embodiments in which the feature is formed in direct contact, and may also include an insertion feature that can form additional features The embodiment, so that the feature can not be in direct contact.

另外,空間相關用詞,例如“下方”、“上方”、“水平”、“垂直”、“上面”、“在…之上”、“下面”、“在…之下”、“上”、“下”、 “頂部”、“底部”等以及其衍生物(例如:“水平地”,“向下”,“向上”等),用於使本揭露的一個特徵與另一個特徵的關係變得容易。這些空間相關用詞意欲包含具有特徵的裝置之不同方位。另外,以下本揭露不同實施例可能重複使用相同的參考符號或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。此外,當數字或數字範圍以“約”、“近似”等描述時,該術語旨在涵蓋包括所述數量的合理範圍內的數量,例如+/-10%內的數值或本技術領域中具有通常知識者理解的其他數值。舉例來說,術語“約5nm”包括4.5nm至5.5nm的尺寸範圍。In addition, space-related terms, such as "below", "above", "horizontal", "vertical", "above", "above", "below", "below", "above", "Down", "top", "bottom", etc. and their derivatives (for example: "horizontally", "downward", "upward", etc.) are used to change the relationship between one feature of the present disclosure and another feature Easy. These spatially related terms are intended to encompass the different orientations of characteristic devices. In addition, the same reference symbols or signs may be used repeatedly in different embodiments of the present disclosure below. These repetitions are for the purpose of simplification and clarity, and are not used to limit the specific relationship between the different embodiments and/or structures discussed. In addition, when a number or a range of numbers is described in terms of "about", "approximately", etc., the term is intended to encompass quantities within a reasonable range that include the stated quantity, such as a value within +/-10% or those in the art Other values usually understood by knowledgeable persons. For example, the term "about 5 nm" includes the size range of 4.5 nm to 5.5 nm.

隨著裝置持續微縮,在IC上的相反摻雜井區之間的漏電流成為一個問題,因為它可能觸發電路中的閂鎖。對N型金屬氧化物半導體(N-type Metal-Oxide-Semiconductor;NMOS)和P型金屬氧化物半導體(P-type Metal-Oxide-Semiconductor;PMOS)電晶體(包括NMOS FinFET和PMOS FinFET)緊密放置的當今SRAM設計而言,這尤其值得關注。第12圖說明了閂鎖的示例。第12圖在右側顯示了包括具有CMOS電路的1位元靜態隨機存取記憶體(Static Random Access Memory;SRAM)單元的半導體裝置100的佈局圖,並且在左側顯示了表示1位元SRAM單元的CMOS電路的本徵雙極性電晶體(intrinsic bipolar transistor)的電路圖。當兩個雙極性電晶體之一者變為正向偏壓時(由於流過井或基板的漏電流,如“N+/NWà”和“P+/PWà”所示),它為另一個電晶體的基極供電。這種正回饋(positive feedback)會增加電流,直到電路故障或燒毀為止。這稱為“閂鎖”。本揭露之目的為藉由提供將不同摻雜物類型的井區分開的井隔離特徵來防止閂鎖。舉例來說,可在N型摻雜井區和P型摻雜井區之間提供井隔離特徵,以大幅減小兩個井區之間的漏電流。As devices continue to shrink, leakage current between oppositely doped wells on the IC becomes a problem because it can trigger a latch-up in the circuit. Place N-type Metal-Oxide-Semiconductor (NMOS) and P-type Metal-Oxide-Semiconductor (PMOS) transistors (including NMOS FinFET and PMOS FinFET) closely This is especially worthy of attention in terms of today’s SRAM design. Figure 12 illustrates an example of a latch. Figure 12 shows the layout of a semiconductor device 100 including a 1-bit Static Random Access Memory (SRAM) cell with a CMOS circuit on the right, and shows the layout of a 1-bit SRAM cell on the left. The circuit diagram of the intrinsic bipolar transistor of the CMOS circuit. When one of the two bipolar transistors becomes forward biased (due to the leakage current flowing through the well or the substrate, as shown by "N+/NWà" and "P+/PWà"), it is the other transistor The base is powered. This positive feedback increases the current until the circuit fails or burns out. This is called "latch". The purpose of the present disclosure is to prevent latch-up by providing well isolation features that separate well regions of different dopant types. For example, a well isolation feature can be provided between the N-type doped well region and the P-type doped well region to greatly reduce the leakage current between the two well regions.

本揭露之一些實施例參照第1圖至第12圖來描述。第1圖是根據本揭露實施例之製造具有井隔離特徵的半導體裝置的方法10的流程圖。方法10僅為示例,並且並不旨在限制本揭露之申請專利範圍中具體記載的內容。可在方法10之前、之間和之後提供額外操作,並且對於該方法的額外實施例,可以替換、移除或移動所描述的一些操作。下面結合第2圖至第11圖描述方法10,其顯示了根據方法10的在製程期間的半導體裝置100的各種示意圖和剖面圖。此外,第12圖顯示了根據本揭露製造的示例IC示意圖和佈局圖。Some embodiments of the present disclosure are described with reference to FIGS. 1-12. FIG. 1 is a flowchart of a method 10 for manufacturing a semiconductor device with well isolation characteristics according to an embodiment of the disclosure. Method 10 is only an example, and is not intended to limit the content specifically recorded in the patent application scope of this disclosure. Additional operations may be provided before, during, and after method 10, and for additional embodiments of the method, some of the operations described may be replaced, removed, or moved. The method 10 is described below with reference to FIGS. 2 to 11, which shows various schematic diagrams and cross-sectional views of the semiconductor device 100 during the manufacturing process according to the method 10. In addition, FIG. 12 shows a schematic diagram and layout diagram of an example IC manufactured according to the present disclosure.

參照第1圖,在操作12中,方法10接收具有基板的半導體結構(或工件)100,半導體結構具有井區和從基板延伸的半導體鰭片。半導體結構100的示例在第2圖中顯示。Referring to Figure 1, in operation 12, the method 10 receives a semiconductor structure (or workpiece) 100 having a substrate, the semiconductor structure having a well region and semiconductor fins extending from the substrate. An example of the semiconductor structure 100 is shown in FIG. 2.

參照第2圖,半導體結構100包括基版102,基版102代表可在其上形成電路裝置的任何結構。在各種實施例中,基板102包括元素(單元素)半導體,例如晶體結構的矽或鍺;化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,例如矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)及/或磷砷化鎵銦(GaInAsP);非半導體材料,例如鈉鈣玻璃、熔融氧化矽、熔融石英及/或氟化鈣(CaF2 );及/或其組合。Referring to FIG. 2, the semiconductor structure 100 includes a substrate 102, which represents any structure on which a circuit device can be formed. In various embodiments, the substrate 102 includes elemental (single element) semiconductors, such as silicon or germanium with a crystal structure; compound semiconductors, such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or antimony Indium; alloy semiconductors, such as silicon germanium (SiGe), gallium arsenide phosphorous (GaAsP), aluminum indium arsenide (AlInAs), aluminum gallium arsenide (AlGaAs), gallium indium arsenide (GaInAs), gallium indium phosphide ( GaInP) and/or gallium indium arsenide (GaInAsP); non-semiconductor materials, such as soda lime glass, fused silica, fused silica, and/or calcium fluoride (CaF 2 ); and/or combinations thereof.

基板102可以在組成上均勻或可包括各種材料層。這些材料層可具有相似或不同的組成,並且在各種實施例中,一些基板層具有不均勻的組成以引起裝置應變(device strain),並從而調整裝置效能。分層基板(layered substrate)的示例包括絕緣體上矽(silicon-on-insulator;SOI)基板。在一些實施例中,基板102的一個材料層可包括絕緣體、例如半導體氧化物、半導體氮化物、半導體氧氮化物、半導體碳化物及/或其他合適絕緣體材料;以及基板102的另一個材料層包括半導體材料。在一些實施例中,基板102是塊體半導體基板(bulk semiconductor substrate),例如塊體矽晶圓。The substrate 102 may be uniform in composition or may include various material layers. These material layers may have similar or different compositions, and in various embodiments, some substrate layers have non-uniform compositions to cause device strain and thereby adjust device performance. Examples of layered substrates include silicon-on-insulator (SOI) substrates. In some embodiments, one material layer of the substrate 102 may include an insulator, such as semiconductor oxide, semiconductor nitride, semiconductor oxynitride, semiconductor carbide, and/or other suitable insulator materials; and another material layer of the substrate 102 includes Semiconductor material. In some embodiments, the substrate 102 is a bulk semiconductor substrate, such as a bulk silicon wafer.

可以在基板102上形成摻雜區(例如井(well))。在這方面,基板102的一些部分可被摻雜具有P型摻雜物,例如硼、二氟化硼(BF2 )或銦,而基板102的其他部分可被摻雜具有N型摻雜物,例如磷或砷;及/或包括其組合的其他合適摻雜物。在所示的實施例中,井區104A具有第一摻雜物類型(例如:N型)、井區104B具有與第一摻雜物類型相反的第二摻雜物類型(例如:P型)、以及井區104C具有第一摻雜物類型。因此,可以在這些井區104之間的界面形成PN接面(pn junction)。本揭露發明者已觀察到這些PN接面會引起漏電流和閂鎖問題,尤其是在裝置的幾何尺寸非常小的SRAM設計中。同樣地,三個井區104A至104C僅為示例。在各種實施例中,半導體結構100可包括兩個或更多個相反摻雜的井區。A doped region (for example, a well) may be formed on the substrate 102. In this regard, some parts of the substrate 102 may be doped with P-type dopants, such as boron, boron difluoride (BF 2 ) or indium, and other parts of the substrate 102 may be doped with N-type dopants. , Such as phosphorus or arsenic; and/or other suitable dopants including combinations thereof. In the illustrated embodiment, the well region 104A has a first dopant type (for example: N-type), and the well region 104B has a second dopant type (for example: P-type) that is opposite to the first dopant type. , And the well region 104C has the first dopant type. Therefore, a pn junction (pn junction) can be formed at the interface between these well regions 104. The inventors of the present disclosure have observed that these PN junctions can cause leakage current and latch-up problems, especially in SRAM designs with very small device geometry. Likewise, the three well areas 104A to 104C are only examples. In various embodiments, the semiconductor structure 100 may include two or more oppositely doped well regions.

在一些實施例中,將要形成在基板102上的裝置會延伸出基板102。舉例來說,可以在設置在基板102上的鰭片(或鰭片結構)106上形成FinFET及/或其他非平面裝置。鰭片106代表在基板102上用於形成FinFET裝置和用於形成其他凸起(raised)的主動和被動裝置的任何凸起特徵。鰭片106的組成可以與基板102相似或不同。舉例來說,在一些實施例中,基板102可主要包括矽,而鰭片106包括一或多個主要是鍺或矽鍺(SiGe)半導體的材料層。在一些實施例中,基板102包括矽鍺半導體,並且鰭片106包括具有與基板102不同矽鍺比率的矽鍺半導體。在一些實施例中,鰭片106和基板102兩者都主要包括矽。第2圖僅顯示了六個鰭片106a、106b、106c、106d、106e以及106f。在各種實施例中,半導體結構100可包括任何數量的鰭片106。在下面的討論中,“鰭片106”是指鰭106a至106f中的任何一者或圖式中未顯示的另一鰭片,並且“多個鰭片106”是指鰭片106a至106f中的任何兩者或更多者或圖式中未顯示的其他鰭片。鰭片106沿著“Y”方向縱長定向(oriented lengthwise)並且沿著“X”方向彼此間隔。井區104亦沿著“Y”方向縱長定向。In some embodiments, the device to be formed on the substrate 102 extends out of the substrate 102. For example, FinFETs and/or other non-planar devices can be formed on fins (or fin structures) 106 disposed on the substrate 102. The fin 106 represents any raised feature on the substrate 102 used to form FinFET devices and other raised active and passive devices. The composition of the fin 106 may be similar to or different from that of the substrate 102. For example, in some embodiments, the substrate 102 may mainly include silicon, and the fin 106 includes one or more material layers mainly of germanium or silicon germanium (SiGe) semiconductor. In some embodiments, the substrate 102 includes a silicon germanium semiconductor, and the fin 106 includes a silicon germanium semiconductor having a different silicon germanium ratio than the substrate 102. In some embodiments, both the fin 106 and the substrate 102 mainly comprise silicon. Figure 2 shows only six fins 106a, 106b, 106c, 106d, 106e, and 106f. In various embodiments, the semiconductor structure 100 may include any number of fins 106. In the following discussion, "fin 106" refers to any one of the fins 106a to 106f or another fin not shown in the drawings, and "a plurality of fins 106" refers to one of the fins 106a to 106f Any two or more of the fins or other fins not shown in the diagram. The fins 106 are oriented lengthwise along the "Y" direction and are spaced apart from each other along the "X" direction. The well area 104 is also oriented longitudinally along the "Y" direction.

鰭片106的部分可以與它們從其延伸的基板102的部分不同地摻雜。在一些實施例中,每個鰭片106具有半導體區108(亦稱為底部108),其包含與從其延伸的井區104相同的摻雜物類型,並且具有包含相反的摻雜物類型的半導體區110(亦稱為頂部110)。在特定實施例中,井區104A和104C是N型摻雜的(即,N井)、鰭片106a、106b、106e以及106f的半導體區108亦為N型摻雜的、以及鰭片106a、106b、106e以及106f的半導體區110是P型摻雜的;井區104B是P型摻雜的(即,P井)、鰭片106c和106d的半導體區108亦為P型摻雜的、以及鰭片106c和106d的半導體區110是n型摻雜的。The portions of the fins 106 may be doped differently from the portions of the substrate 102 from which they extend. In some embodiments, each fin 106 has a semiconductor region 108 (also referred to as a bottom 108), which contains the same dopant type as the well region 104 extending therefrom, and has an opposite dopant type. The semiconductor region 110 (also referred to as the top 110). In a specific embodiment, the well regions 104A and 104C are N-type doped (ie, N-well), the semiconductor regions 108 of the fins 106a, 106b, 106e, and 106f are also N-type doped, and the fins 106a, The semiconductor regions 110 of 106b, 106e, and 106f are P-type doped; the well region 104B is P-type doped (ie, P-well), the semiconductor regions 108 of the fins 106c and 106d are also P-type doped, and The semiconductor regions 110 of the fins 106c and 106d are n-type doped.

鰭片106可藉由蝕刻基板102的一部分、藉由在基板102上沉積各種材料層和蝕刻這些材料層、及/或藉由其他合適技術來形成。舉例來說,可使用一或多種微影製程來圖案化鰭片106,微影製程包括雙重圖案化或多重圖案化製程。通常來說,雙重圖案化或多重圖案化製程將微影和自我對準製程結合,從而允許產生具有間距小於使用單一、直接的微影製程可獲得的間距的圖案。舉例來說,在一個實施例中,在基板102和一或多個硬罩幕層(即,由鰭片頂部硬罩幕圖案112和114形成的材料層)上方形成犧牲層。使用微影製程將犧牲層圖案化。使用自我對準製程在圖案化的犧牲層旁邊形成間隔物。接著移除犧牲層,並且使用剩餘的間隔物藉由移除未被間隔物覆蓋的材料來圖案化基板102和硬罩幕層。剩餘的材料成為本實施例中包括鰭片頂部硬罩幕圖案112和114的鰭片106。The fin 106 may be formed by etching a portion of the substrate 102, by depositing various material layers on the substrate 102 and etching these material layers, and/or by other suitable techniques. For example, one or more lithography processes may be used to pattern the fins 106, and the lithography processes include double patterning or multiple patterning processes. Generally speaking, double patterning or multiple patterning processes combine lithography and self-alignment processes to allow the production of patterns with pitches that are smaller than those obtainable using a single, direct lithography process. For example, in one embodiment, a sacrificial layer is formed over the substrate 102 and one or more hard mask layers (ie, the material layer formed by the fin top hard mask patterns 112 and 114). The sacrificial layer is patterned using a photolithography process. A self-aligned process is used to form spacers beside the patterned sacrificial layer. The sacrificial layer is then removed, and the remaining spacers are used to pattern the substrate 102 and the hard mask layer by removing the material not covered by the spacers. The remaining material becomes the fin 106 including the hard mask patterns 112 and 114 on the top of the fin in this embodiment.

鰭片頂部硬罩幕圖案112和114可用於控制定義鰭片106的蝕刻製程,並且可以在後續製程期間保護鰭片106。因此,鰭頂硬罩幕圖案112和114可被選擇,以具有與鰭片106的其他部分的(多種)材料和彼此之間不同的蝕刻選擇性。鰭片頂部硬罩幕圖案112和114可包括介電材料,例如半導體氧化物、半導體氮化物、半導體氧氮化物、半導體碳化物、半導體碳氮化物、半導體氧碳氮化物及/或金屬氧化物。The fin top hard mask patterns 112 and 114 can be used to control the etching process that defines the fin 106, and can protect the fin 106 during subsequent processes. Therefore, the fin top hard mask patterns 112 and 114 can be selected to have different etch selectivities from the material(s) of other parts of the fin 106 and each other. The fin top hard mask patterns 112 and 114 may include dielectric materials, such as semiconductor oxide, semiconductor nitride, semiconductor oxynitride, semiconductor carbide, semiconductor carbonitride, semiconductor oxycarbonitride, and/or metal oxide .

在一些實施例中,鰭片106以重複圖案佈置以簡化圖案化製程,並且可以後續移除不屬於最終電路設計的那些鰭片106,這將在後面討論。In some embodiments, the fins 106 are arranged in a repeating pattern to simplify the patterning process, and those fins 106 that are not part of the final circuit design can be subsequently removed, which will be discussed later.

參照第1圖,在操作14中,方法10在半導體結構上形成介電襯墊層116。參照第3圖,介電襯墊層116形成在基板102的頂表面102U上方和在鰭片106的頂部和側壁上。在本實施例中,介電襯墊層116以大抵順應性(substantially conformal)的方式形成(即,其厚度大抵均勻)。介電襯墊層116可包括氮化矽(例如:Si3N 4),並且可以使用化學氣相沉積(chemical vapor deposition;CVD)(例如低壓CVD(low-pressure CVD;LPCVD)或電漿輔助CVD(plasma-enhanced CVD;PECVD)、原子層沉積(atomic layer deposition;ALD)、或其他合適的方法)來沉積介電襯墊層116。在各種實施例中,介電襯墊層116可具有約1nm至約5nm的厚度。操作14是可選的,並且在一些實施例中可以省略。Referring to FIG. 1, in operation 14, method 10 forms a dielectric liner layer 116 on the semiconductor structure. Referring to FIG. 3, a dielectric liner layer 116 is formed above the top surface 102U of the substrate 102 and on the top and sidewalls of the fin 106. In this embodiment, the dielectric liner layer 116 is formed in a substantially conformal manner (that is, its thickness is substantially uniform). The dielectric liner layer 116 may include silicon nitride (e.g., Si3N4), and may use chemical vapor deposition (CVD) (e.g., low-pressure CVD (LPCVD) or plasma-assisted CVD). The dielectric liner layer 116 is deposited by plasma-enhanced CVD (PECVD), atomic layer deposition (ALD), or other suitable methods. In various embodiments, the dielectric liner layer 116 may have a thickness of about 1 nm to about 5 nm. Operation 14 is optional, and may be omitted in some embodiments.

第1圖的操作16、18以及20描述了移除一些鰭片106的製程。簡略來說,操作16在半導體結構100上方形成圖案化硬罩幕,其中圖案化硬罩幕具有在鰭片106之一者和兩個井區104正上方的開口;操作18透過在圖案化硬罩幕中的開口來蝕刻半導體結構100,以形成延伸到基板102中的凹陷;以及操作20移除圖案化硬罩幕。下面進一步描述操作16、18以及20。Operations 16, 18, and 20 in FIG. 1 describe the process of removing some fins 106. In brief, operation 16 forms a patterned hard mask over the semiconductor structure 100, wherein the patterned hard mask has openings directly above one of the fins 106 and the two well regions 104; The opening in the mask is used to etch the semiconductor structure 100 to form a recess extending into the substrate 102; and operation 20 is to remove the patterned hard mask. Operations 16, 18, and 20 are further described below.

參照第1圖,在操作16中,方法10在半導體結構100上方形成圖案化硬罩幕,並且圖案化硬罩幕在將要移除的鰭片106的一部分的正上方提供開口206,如第4圖所示。在本實施例中,操作16涉及多個製程步驟,包括在基板102上方沉積硬罩幕層(或填充層)202並填充鰭片106之間的間隙、在硬罩幕層202上方旋塗光阻層204、以及執行微影製程以圖案化光阻層204來形成開口206。圖案化硬罩幕亦可使用其他方法形成。1, in operation 16, the method 10 forms a patterned hard mask over the semiconductor structure 100, and the patterned hard mask provides an opening 206 directly above a portion of the fin 106 to be removed, as shown in Step 4. As shown in the figure. In this embodiment, operation 16 involves multiple process steps, including depositing a hard mask layer (or filling layer) 202 on the substrate 102 and filling the gaps between the fins 106, and spinning light on the hard mask layer 202. The resist layer 204 and performing a photolithography process to pattern the photoresist layer 204 to form the opening 206. The patterned hard mask can also be formed by other methods.

參照第4圖,硬罩幕層202圍繞鰭片106,並且可設置在鰭片頂部硬罩幕112和114的頂部上。用於硬罩幕層202的合適材料包括介電質、多晶矽及/或其他合適材料,並且硬罩幕層202的材料可被選擇,以具有與基板102和包括鰭片頂部硬罩幕(鰭片頂部硬罩幕圖案)112和114的鰭片106不同的蝕刻劑敏感度。在一些實施例中,硬罩幕層202包括旋塗介電材料。硬罩幕層202可藉由包括化學氣相沉積(CVD)、電漿輔助CVD(PECVD)、高密度電漿CVD(High-Density Plasma CVD;HDP-CVD)、原子層沉積(ALD)、電漿輔助ALD(Plasma Enhanced ALD;PEALD)、流動式CVD(;FCVD)、旋塗及/或其他合適沉積技術來形成。Referring to FIG. 4, the hard mask layer 202 surrounds the fin 106 and may be disposed on top of the hard masks 112 and 114 on top of the fins. Suitable materials for the hard mask layer 202 include dielectric, polysilicon, and/or other suitable materials, and the material of the hard mask layer 202 can be selected to have a hard mask (fin The hard mask pattern on the top of the sheet) 112 and 114 of the fins 106 have different etchant sensitivity. In some embodiments, the hard mask layer 202 includes a spin-on dielectric material. The hard mask layer 202 can be formed by chemical vapor deposition (CVD), plasma assisted CVD (PECVD), high-density plasma CVD (High-Density Plasma CVD; HDP-CVD), atomic layer deposition (ALD), electrical Plasma-assisted ALD (Plasma Enhanced ALD; PEALD), flow CVD (; FCVD), spin coating and/or other suitable deposition techniques are formed.

在硬罩幕層202上形成光阻層204(例如:藉由旋塗),並對其圖案化以在其中提供開口206。可以使用任何合適微影製程(例如浸沒式微影、電子束微影以及極紫外光(Extreme Ultraviolet;EUV)微影)來圖案化光阻層204。在一個實施例中,微影系統以罩幕所確定的特定圖案將光阻層204暴露於輻射。穿過或反射離開罩幕的光撞擊光阻層204,從而將形成在罩幕上的圖案轉移到光阻層204。在另一實施例中,使用直接寫入或無罩幕微影技術(例如雷射圖案化、電子束圖案化及/或離子束圖案化)來曝光光阻層204。一旦曝光,就顯影光阻層204,留下光阻的曝光部分,或者在替代實施例中留下光阻的未曝光部分。示例的圖案化製程包括光阻層204的軟烘烤、罩幕對準、曝光、曝光後烘烤、顯影光阻層204、漂洗(rinsing)以及乾燥(例如:硬烘烤)。圖案化的光阻層204暴露硬罩幕層202的將要透過開口206蝕刻的部分。A photoresist layer 204 is formed on the hard mask layer 202 (for example, by spin coating), and is patterned to provide an opening 206 therein. Any suitable lithography process (such as immersion lithography, electron beam lithography, and extreme ultraviolet (EUV) lithography) can be used to pattern the photoresist layer 204. In one embodiment, the lithography system exposes the photoresist layer 204 to radiation in a specific pattern determined by the mask. The light passing through or reflecting off the mask hits the photoresist layer 204, thereby transferring the pattern formed on the mask to the photoresist layer 204. In another embodiment, direct writing or maskless lithography techniques (such as laser patterning, electron beam patterning, and/or ion beam patterning) are used to expose the photoresist layer 204. Once exposed, the photoresist layer 204 is developed, leaving the exposed portions of the photoresist, or in alternative embodiments, the unexposed portions of the photoresist. An exemplary patterning process includes soft baking of the photoresist layer 204, mask alignment, exposure, post-exposure baking, developing the photoresist layer 204, rinsing, and drying (for example, hard baking). The patterned photoresist layer 204 exposes the portion of the hard mask layer 202 that will be etched through the opening 206.

在本實施例中,開口206(第4圖中所示的一個)在鰭片106的將要移除的部分(在此實施例中為鰭片106b的一部分)的正上方。為了形成根據本實施例的井隔離特徵,開口206足夠寬以在具有相反摻雜物類型的兩個井區(例如井區104A和井區104B)之間的界面(或邊界)上延伸。在第4圖所示的實施例中,沿著X方向從井區104A和104B的邊界到鰭片106c(為在井區104B上的鰭片中最接近井區104A的鰭片)的側壁(鰭片寬度方向)的距離為W1,開口206沿著X方向從井區104A和104B的邊界朝向鰭片106c延伸距離W2,並且距離W2小於距離W1。在一些實施例中,距離W2被控制為距離W1的約一半,例如距離W1的40%至60%。這是為了解決製程變化,並且仍在井區104A和104B之間提供足夠的隔離效果(如稍後將參照第8圖至第11圖中的井隔離特徵404的描述)。如果距離W2太大(即,開口206的邊緣非常靠近鰭片106c),則後續的蝕刻製程可能損壞鰭片106c。如果距離W2太小(即,開口206的邊緣非常靠近井區104A和104B的邊界,或者開口206甚至沒有到達井區104B),則隔離特徵404的隔離效果將丟失。另外,開口206在鰭片106b的正上方並在其兩側具有足夠的餘量(margin),以確保鰭片106b的部分被完全移除。在本實施例中,開口206沿著X方向從井區104A和104B的邊界朝向鰭片106b並且經過鰭片106b(為在井區104A上的鰭片中最接近井區104B的鰭片)延伸距離W3,並且距離W3大於距離W2。儘管在第4圖中顯示了一個開口206,但基於電路設計操作16可以形成任意數量的開口206。在第12圖所示的實施例中,提供了兩個開口206以移除鰭片106a和106b的一部分。In this embodiment, the opening 206 (the one shown in Figure 4) is directly above the portion of the fin 106 to be removed (in this embodiment, a part of the fin 106b). In order to form the well isolation feature according to the present embodiment, the opening 206 is wide enough to extend on the interface (or boundary) between two well regions (eg, well region 104A and well region 104B) having opposite dopant types. In the embodiment shown in Figure 4, along the X direction from the boundary of the well regions 104A and 104B to the sidewall of the fin 106c (the fin on the well region 104B that is closest to the well region 104A) The distance in the width direction of the fin is W1, the opening 206 extends along the X direction from the boundary of the well regions 104A and 104B toward the fin 106c by a distance W2, and the distance W2 is smaller than the distance W1. In some embodiments, the distance W2 is controlled to be about half of the distance W1, for example, 40% to 60% of the distance W1. This is to resolve process changes and still provide sufficient isolation between the well regions 104A and 104B (as will be described later with reference to the well isolation feature 404 in FIGS. 8 to 11). If the distance W2 is too large (that is, the edge of the opening 206 is very close to the fin 106c), the subsequent etching process may damage the fin 106c. If the distance W2 is too small (ie, the edge of the opening 206 is very close to the boundary of the well regions 104A and 104B, or the opening 206 does not even reach the well region 104B), the isolation effect of the isolation feature 404 will be lost. In addition, the opening 206 is directly above the fin 106b and has sufficient margin on both sides thereof to ensure that part of the fin 106b is completely removed. In this embodiment, the opening 206 extends along the X direction from the boundary of the well regions 104A and 104B toward the fin 106b and passes through the fin 106b (which is the fin closest to the well region 104B among the fins on the well region 104A). The distance W3, and the distance W3 is greater than the distance W2. Although one opening 206 is shown in FIG. 4, any number of openings 206 can be formed based on the circuit design operation 16. In the embodiment shown in Figure 12, two openings 206 are provided to remove part of the fins 106a and 106b.

參照第1圖,在操作18中,方法10執行一或多個蝕刻製程以移除硬罩幕層202的暴露部分和下面的包括鰭片頂部硬罩幕112和114(如果有的話)的鰭片106。在一些實施例中,這包括第一蝕刻製程以移除硬罩幕層202的暴露部分,隨後是在鰭片106的這些部分上執行的第二蝕刻製程。第一蝕刻製程可包括任何合適蝕刻技術,例如濕式蝕刻、乾式蝕刻、反應離子蝕刻(reactive ion etching;RIE)、灰化及/或其他蝕刻技術。在一些實施例中,蝕刻劑被選擇以蝕刻硬罩幕層202而不顯著地蝕刻基板102和鰭片106。如此一來,如第5圖所示,鰭片106b的一部分暴露在開口206中。如果半導體結構包括可選的介電襯墊層116,則在鰭片106b的頂部和側壁上以及基板102的上表面上的介電襯墊層116的一部分在開口206中變成暴露的,如第5圖所示。在蝕刻硬罩幕層202之後,可以移除光阻層204。1, in operation 18, the method 10 performs one or more etching processes to remove the exposed portion of the hard mask layer 202 and the underlying hard mask 112 and 114 (if any) including the top of the fins. Fins 106. In some embodiments, this includes a first etching process to remove exposed portions of the hard mask layer 202, followed by a second etching process performed on these portions of the fin 106. The first etching process may include any suitable etching technique, such as wet etching, dry etching, reactive ion etching (RIE), ashing, and/or other etching techniques. In some embodiments, the etchant is selected to etch the hard mask layer 202 without significantly etching the substrate 102 and fins 106. As a result, as shown in FIG. 5, a part of the fin 106b is exposed in the opening 206. If the semiconductor structure includes the optional dielectric liner layer 116, a portion of the dielectric liner layer 116 on the top and sidewalls of the fin 106b and on the upper surface of the substrate 102 becomes exposed in the opening 206, as in the first As shown in Figure 5. After the hard mask layer 202 is etched, the photoresist layer 204 may be removed.

後續,在開口206內的鰭片106的這些部分(其可以被可選的介電襯墊層116覆蓋)上執行第二蝕刻製程。在一些實施例中,第二蝕刻製程包括RIE蝕刻製程,其中將氟離子及/或其他離子種類(ion specie)引向將被蝕刻的可選的介電襯墊層116、鰭片頂部硬罩幕112和114、以及半導體區108和110。離子可從衝擊力(濺鍍蝕刻)中從這些特徵移除材料及/或與特徵的材料反應以產生對後續濕式或乾式蝕刻劑敏感的化合物。在一個實施例中,第二蝕刻製程使用含氟蝕刻劑,其包括二氟化碳(CF2 )、二氟甲烷(CH2 F2 )、氟氣(F2 )、六氟化硫(SF6 )和氟甲烷(CH3 F)中的一或多種。示例性蝕刻條件包括約300W至600W的蝕刻功率和約400V至600V的蝕刻偏壓。另外地或替代地,蝕刻製程可包括使用氧基蝕刻劑(oxygen-based etchant)、氟基蝕刻劑、氯基蝕刻劑、溴基蝕刻劑、碘基蝕刻劑,其他合適蝕刻劑氣體或電漿及/或其組合的濕式蝕刻、乾式蝕刻、其他RIE製程及/或其他合適蝕刻技術。Subsequently, a second etching process is performed on the portions of the fin 106 in the opening 206 (which may be covered by the optional dielectric liner layer 116). In some embodiments, the second etching process includes an RIE etching process, in which fluorine ions and/or other ion species (ion specie) are directed to the optional dielectric liner layer 116 to be etched, the hard cover on the top of the fin Curtains 112 and 114, and semiconductor regions 108 and 110. Ions can remove material from these features from the impact force (sputter etching) and/or react with the material of the feature to produce compounds that are sensitive to subsequent wet or dry etchants. In one embodiment, the second etching process uses a fluorine-containing etchant, which includes carbon difluoride (CF 2 ), difluoromethane (CH 2 F 2 ), fluorine gas (F 2 ), sulfur hexafluoride (SF 6 ) One or more of fluoromethane (CH 3 F). Exemplary etching conditions include an etching power of about 300W to 600W and an etching bias of about 400V to 600V. Additionally or alternatively, the etching process may include the use of oxygen-based etchant, fluorine-based etchant, chlorine-based etchant, bromine-based etchant, iodine-based etchant, other suitable etchant gases or plasma And/or a combination of wet etching, dry etching, other RIE processes, and/or other suitable etching techniques.

除了移除鰭片106的一部分(例如:鰭片106b)之外,蝕刻還切入基板102並在其中產生凹陷302(在某些情況下,這被稱為“較重蝕刻”,因為其比起僅移除鰭片蝕刻更深),如其中顯示了一個凹陷302的第6圖所示。凹陷302後續被(多種)介電材料填充以產生井隔離特徵(例如第9圖至第11圖中的特徵404),井隔離特徵降低了井區(例如井區104A和104B)之間的漏電流。這提供了許多優點。舉例來說,減少漏電流本身可能是有益的,因為減少的漏電提高了效率並減少熱量。作為另一實施例,井隔離特徵404可防止閂鎖,其中一個導通的電晶體不管閘極電壓導致另一個電晶體導通。隨著裝置間隔的縮小,閂鎖可能變得更加普遍。然而,藉由減少井區之間的電流流動,井隔離特徵404允許更緊密的裝置間隔,並減少閂鎖的發生。In addition to removing part of the fin 106 (for example: the fin 106b), the etching also cuts into the substrate 102 and creates depressions 302 therein (in some cases, this is called "heavier etching" because it is more Only the fins are removed and the etching is deeper), as shown in Figure 6 where a recess 302 is shown. The recess 302 is subsequently filled with dielectric material(s) to produce well isolation features (such as feature 404 in Figures 9 to 11), which reduces leakage between well regions (such as well regions 104A and 104B). Current. This provides many advantages. For example, reducing leakage current itself may be beneficial because reduced leakage improves efficiency and reduces heat. As another example, the well isolation feature 404 can prevent latch-up, where a conductive transistor causes the other transistor to conduct regardless of the gate voltage. As device spacing shrinks, latching may become more common. However, by reducing the current flow between the well regions, the well isolation feature 404 allows for tighter device spacing and reduces the occurrence of latch-up.

凹陷302跨越井區104A和104B的邊界。如第6圖所示,凹陷302以距離W2’從井區104A和104B的邊界延伸到井區104B中,並且以距離W3’從井區104A和104B的邊界延伸到井區104A中。在本實施例中,距離W3’大於距離W2’。 此外,距離W2’為距離W1的約40%至60%。考慮到由蝕刻製程引起的任何差異,距離W2’和距離W3’個別地與距離W2和距離W3大抵相同。The depression 302 crosses the boundary of the well regions 104A and 104B. As shown in FIG. 6, the depression 302 extends from the boundary of the well regions 104A and 104B into the well region 104B at a distance W2', and extends from the boundary of the well regions 104A and 104B into the well region 104A at a distance W3'. In this embodiment, the distance W3' is greater than the distance W2'. In addition, the distance W2' is about 40% to 60% of the distance W1. Taking into account any differences caused by the etching process, the distance W2' and the distance W3' are approximately the same as the distance W2 and the distance W3, respectively.

凹陷302可被蝕刻到任何合適的深度304,並且在鰭片106在襯底102的頂表面102U上方延伸約100nm至約500nm之間的實施例中,凹陷302可在基板102的頂表面102U下方延伸至少25nm,頂表面102U在鰭片106a和106c之間並且緊鄰凹陷302。在一些實施例中,深度304在基板102的頂表面102U下方約25nm至約75nm之間。深度304被設計成使得井區104A和104B的相對較重摻雜的部分從凹陷被移除,以大幅減少通過井區的漏電流。如從真實樣本和模擬資料中所觀察到的,井區104(例如井區104A和井區104B)中的摻雜物傾向於集中在井區的上部,例如在距基板102的頂表面25nm至75nm的厚度內。藉由移除井區的此部分並用介電材料替代它(在第8圖至第11圖中顯示為特徵404),通過井區的漏電流大幅減小。凹陷302下方的井區104的部分比被移除的部分被更輕地摻雜並且具有相對較高的電阻。因此,它不會引起任何有意義的漏電流。在一個實施例中,凹陷302在基板102的頂表面下方延伸至少40nm(即,深度304為40nm或更大),以確保移除井區104A和104B的較重摻雜的部分。在各種實施例中,基板102的厚度至少為幾百奈米或幾微米。The recess 302 may be etched to any suitable depth 304, and in an embodiment where the fin 106 extends between about 100 nm to about 500 nm above the top surface 102U of the substrate 102, the recess 302 may be below the top surface 102U of the substrate 102 Extending at least 25 nm, the top surface 102U is between the fins 106a and 106c and immediately adjacent to the recess 302. In some embodiments, the depth 304 is between about 25 nm and about 75 nm below the top surface 102U of the substrate 102. The depth 304 is designed so that the relatively heavily doped portions of the well regions 104A and 104B are removed from the recesses to greatly reduce leakage current through the well regions. As observed from real samples and simulated data, the dopants in the well region 104 (such as the well region 104A and the well region 104B) tend to be concentrated in the upper part of the well region, for example, from 25 nm to the top surface of the substrate 102. Within a thickness of 75nm. By removing this part of the well region and replacing it with a dielectric material (shown as feature 404 in Figures 8-11), the leakage current through the well region is greatly reduced. The portion of the well 104 below the recess 302 is lighterly doped and has a relatively higher resistance than the removed portion. Therefore, it will not cause any meaningful leakage current. In one embodiment, the recess 302 extends at least 40 nm below the top surface of the substrate 102 (ie, the depth 304 is 40 nm or greater) to ensure that the more heavily doped portions of the well regions 104A and 104B are removed. In various embodiments, the thickness of the substrate 102 is at least a few hundred nanometers or a few microns.

在各種實施例中,操作18可使用計時器及/或其他方法來控制蝕刻的深度304。舉例來說,操作18可以監視蝕刻殘留物,以確定第二蝕刻製程何時開始蝕刻井區104,並接著基於蝕刻時間和蝕刻速率來控制蝕刻的深度304。蝕刻速率受蝕刻劑的類型、密度及/或流量、蝕刻功率、蝕刻偏壓、井區104的材料、以及其他因素影響。蝕刻速率可根據實驗及/或過去的製程資料來確定。在一些實施例中,上述的第一蝕刻製程和第二蝕刻製程可連續地執行或作為一個蝕刻製程來執行(例如:在同一蝕刻腔室中執行)。In various embodiments, operation 18 may use a timer and/or other methods to control the depth 304 of the etching. For example, operation 18 may monitor the etching residue to determine when the second etching process starts to etch the well region 104, and then control the etching depth 304 based on the etching time and the etching rate. The etching rate is affected by the type, density and/or flow rate of the etchant, etching power, etching bias, the material of the well region 104, and other factors. The etching rate can be determined based on experiments and/or past process data. In some embodiments, the above-mentioned first etching process and second etching process may be performed continuously or as one etching process (for example, performed in the same etching chamber).

凹陷302可被蝕刻以具有不同的輪廓。在第6圖所示的實施例中,凹陷302具有大抵矩形的輪廓。這可能是由於高度定向的蝕刻製程(highly directional etching process)引起的。在另一個實施例中,凹陷302可被蝕刻以具有錐形輪廓,例如具有比底部開口寬的頂部開口。這樣的實施例在第11圖中顯示,其中井隔離特徵404的錐形輪廓代表凹陷302的輪廓。在此實施例中,凹陷302(以及井隔離特徵404)具有由蝕刻製程產生的圓角(rounded corner)(圓頂角及/或圓底角)。另外,在此實施例中,凹陷302的頂部開口比其底部開口寬。在凹陷302中具有錐形輪廓使其更容易填充介電材料而沒有任何空隙(void),從而增加了電路的可靠度。The recess 302 may be etched to have a different profile. In the embodiment shown in Figure 6, the recess 302 has a substantially rectangular profile. This may be caused by a highly directional etching process. In another embodiment, the recess 302 may be etched to have a tapered profile, such as having a top opening that is wider than the bottom opening. Such an embodiment is shown in Figure 11, where the tapered profile of the well isolation feature 404 represents the profile of the depression 302. In this embodiment, the recess 302 (and the well isolation feature 404) have rounded corners (dome corners and/or rounded corners) produced by the etching process. In addition, in this embodiment, the top opening of the recess 302 is wider than the bottom opening. The tapered profile in the recess 302 makes it easier to fill the dielectric material without any voids, thereby increasing the reliability of the circuit.

參照第1圖,在操作20中,方法10在蝕刻鰭片106和井區104之後移除硬罩幕層202。操作20可以使用對硬罩幕層202中的材料具有選擇性的任何合適蝕刻技術(例如濕式蝕刻、乾式蝕刻以及RIE)。所得的半導體結構100在第7圖中顯示,其與第3圖中所示的半導體結構100大抵相同,但是移除了鰭片106和井區104的一部分。1, in operation 20, the method 10 removes the hard mask layer 202 after etching the fin 106 and the well region 104. Operation 20 may use any suitable etching technique that is selective to the material in the hard mask layer 202 (for example, wet etching, dry etching, and RIE). The resulting semiconductor structure 100 is shown in FIG. 7, which is substantially the same as the semiconductor structure 100 shown in FIG. 3, but with the fin 106 and part of the well region 104 removed.

參照第1圖,在操作22中,方法10在半導體結構100上方形成特別地填充凹陷302的隔離特徵402。參照第8圖,藉由在包括在凹陷302中的鰭片106之間沉積一或多種介電材料(例如半導體氧化物、半導體氮化物、半導體碳化物、氟矽酸鹽玻璃(fluorosilicate glass;FSG)、低K介電材料及/或其他合適介電材料),在半導體結構100上形成隔離特徵402(例如淺溝槽隔離(Shallow Trench Isolation;STI)特徵)。凹陷302內的隔離特徵402的部分變為井隔離特徵404。隔離特徵402的材料可藉由包括CVD、PECVD、HDP-CVD、ALD、PEALD、FCVD、旋塗及/或其他合適沉積技術來形成。在一些實施例中,操作22可包括化學機械研磨(chemical mechanical polishing;CMP)製程以平坦化隔離特徵402的頂表面。鰭片頂部硬罩幕114可用來作為用於CMP製程的蝕刻停止層。1, in operation 22, the method 10 forms isolation features 402 that specifically fill the recesses 302 over the semiconductor structure 100. Referring to FIG. 8, by depositing one or more dielectric materials (such as semiconductor oxide, semiconductor nitride, semiconductor carbide, fluorosilicate glass; FSG) between the fins 106 included in the recess 302 ), low-K dielectric materials and/or other suitable dielectric materials), forming isolation features 402 (such as Shallow Trench Isolation (STI) features) on the semiconductor structure 100. The portion of the isolation feature 402 within the recess 302 becomes the well isolation feature 404. The material of the isolation feature 402 may be formed by including CVD, PECVD, HDP-CVD, ALD, PEALD, FCVD, spin coating, and/or other suitable deposition techniques. In some embodiments, operation 22 may include a chemical mechanical polishing (CMP) process to planarize the top surface of the isolation feature 402. The hard mask 114 on the top of the fin can be used as an etch stop layer for the CMP process.

參照第1圖,在操作24中,方法10使隔離特徵402凹陷(或回蝕隔離特徵402)。在一個實施例中,隔離特徵402被凹陷至與半導體區110和半導體區108之間的界面平齊的準位(level),如第9圖所示。參照第9圖,鰭片106在隔離特徵402的頂表面上方延伸,並且井隔離特徵404(其為隔離特徵402的一部分)延伸到基板102中。井隔離特徵404的底表面在基板102的頂表面102U下方。具體來說,井隔離特徵404跨越井區104A和104B之間的邊界。井隔離特徵404以距離W2’從井區104A和104B的邊界延伸到井區104B中,並且以距離W3’從井區104A和104B的邊界延伸到井區104A中。在本實施例中,距離W3’大於距離W2’。 此外,距離W2’為距離W1的約40%至60%。井隔離特徵404的輪廓與凹陷302的輪廓大抵匹配。當凹陷302具有大抵矩形的輪廓(例如第6圖所示)時,井隔離特徵404也具有大抵矩形的輪廓(例如第9圖所示)。當凹陷302具有錐形輪廓時,井隔離特徵404也具有錐形輪廓,如第11圖所示,其中井隔離特徵404的頂部比井隔離特徵404的底部寬。而且,在一些實施例中,井隔離特徵404可以具有圓角(圓頂角及/或圓底角)。Referring to Figure 1, in operation 24, the method 10 recesses the isolation feature 402 (or etches back the isolation feature 402). In one embodiment, the isolation feature 402 is recessed to a level that is flush with the interface between the semiconductor region 110 and the semiconductor region 108, as shown in FIG. 9. Referring to FIG. 9, the fin 106 extends above the top surface of the isolation feature 402, and the well isolation feature 404 (which is a part of the isolation feature 402) extends into the substrate 102. The bottom surface of the well isolation feature 404 is below the top surface 102U of the substrate 102. Specifically, the well isolation feature 404 spans the boundary between the well regions 104A and 104B. The well isolation feature 404 extends from the boundary of the well regions 104A and 104B into the well region 104B at a distance W2', and extends from the boundary of the well regions 104A and 104B into the well region 104A at a distance W3'. In this embodiment, the distance W3' is greater than the distance W2'. In addition, the distance W2' is about 40% to 60% of the distance W1. The contour of the well isolation feature 404 substantially matches the contour of the depression 302. When the recess 302 has a substantially rectangular outline (for example, as shown in FIG. 6), the well isolation feature 404 also has a substantially rectangular outline (for example, as shown in FIG. 9). When the depression 302 has a tapered profile, the well isolation feature 404 also has a tapered profile, as shown in FIG. 11, where the top of the well isolation feature 404 is wider than the bottom of the well isolation feature 404. Furthermore, in some embodiments, the well isolation feature 404 may have rounded corners (dome corners and/or rounded bottom corners).

第10圖顯示了井隔離特徵404的一些益處。參照第10圖,顯示了具有在鰭106a和106c之間具有虛線的示例的PNPN結構。更具體來說,鰭片106a的半導體區110是P型摻雜的、鰭片106a的半導體區108和井區104A是N型摻雜的、鰭片106c的半導體區108和井區104B是P型摻雜的、以及鰭片106c的半導體區110是N型摻雜的。如果井區104A和104B之間有足夠的漏電(例如第12圖的電路圖所示),則此PNPN結構可觸發電路中的閂鎖。在本實施例中,由於井區104A和104B的頂部被移除並且由井隔離特徵404代替,井區104A和104B之間的漏電流被大幅減小,並且此PNPN結構觸發閂鎖的可能性也大幅減少。發明者已觀察到漏電流的減少多達2個數量級(即,100倍),並且閂鎖觸發電壓(即,發生閂鎖的供應電壓(supply voltage))的改進高達10%。在另一個實施例中,半導體區110、半導體區以及井區104中的摻雜物類型可以反向以產生NPNP結構。舉例來說,鰭片106a的半導體區110是N型摻雜的、鰭片106a的半導體區108和井區104A是P型摻雜的、鰭片106c的半導體區108和井區104B是N型摻雜的、以及鰭片106c的半導體區110是P型摻雜的。在此實施例中,井隔離特徵404還降低了NPNP結構觸發在電路中的任何閂鎖的可能性。Figure 10 shows some of the benefits of the well isolation feature 404. Referring to FIG. 10, there is shown a PNPN structure having an example with a dotted line between the fins 106a and 106c. More specifically, the semiconductor region 110 of the fin 106a is P-type doped, the semiconductor region 108 and the well region 104A of the fin 106a are N-type doped, and the semiconductor region 108 and the well region 104B of the fin 106c are P Type doped and the semiconductor region 110 of the fin 106c are N type doped. If there is sufficient leakage between the well regions 104A and 104B (for example, as shown in the circuit diagram of FIG. 12), the PNPN structure can trigger the latch in the circuit. In this embodiment, since the tops of the well regions 104A and 104B are removed and replaced by the well isolation feature 404, the leakage current between the well regions 104A and 104B is greatly reduced, and the possibility of this PNPN structure triggering latch is also Significantly reduced. The inventors have observed that the leakage current is reduced by up to 2 orders of magnitude (ie, 100 times), and the latch trigger voltage (ie, the supply voltage at which latching occurs) is improved by up to 10%. In another embodiment, the types of dopants in the semiconductor region 110, the semiconductor region, and the well region 104 can be reversed to produce an NPNP structure. For example, the semiconductor region 110 of the fin 106a is N-type doped, the semiconductor region 108 and the well region 104A of the fin 106a are P-type doped, and the semiconductor region 108 and the well region 104B of the fin 106c are N-type. The doped and semiconductor regions 110 of the fin 106c are P-type doped. In this embodiment, the well isolation feature 404 also reduces the possibility of the NPNP structure triggering any latch-up in the circuit.

參照第1圖,在操作26中,方法10對半導體結構100執行進一步的處理。舉例來說,半導體結構100可被處理以在其上形主動裝置和被動裝置。在一些實施例中,藉由形成由通道區分開的一對源極/汲極特徵,在鰭片106上形成電晶體(例如:FinFET)。源極/汲極特徵可包括半導體(例如:矽(Si)、鍺(Ge)、矽鍺(SiGe)等)和一或多種摻雜物,例如P型摻雜物或N型摻雜物。相似地,通道區可包括半導體以及與源極/汲極特徵的那些摻雜物相反類型的一或多種摻雜物,或者是根本未摻雜。在一些實施例中,閘極堆疊相鄰並圍繞通道區形成,以控制通過通道區的電荷載子流(用於N通道FinFET的電子和用於P通道FinFET的電洞)。層間介電(Inter-Level Dielectric;ILD)層可以形成在半導體結構100上。ILD層作為支撐並隔離與半導體結構100的元件(例如源極/汲極特徵和閘極堆疊)電性互連的電性多層互連結構(electrical multi-level interconnect structure)的導電跡線(conductive traces)的絕緣體。ILD層可包括介電材料(例如:半導體氧化物、半導體氮化物、半導體氧氮化物、半導體碳化物等),旋塗玻璃(Spin On Glass;SOG) 、FSG、磷矽酸鹽玻璃(phosphosilicate glass;PSG) 、硼磷矽酸鹽玻璃(borophosphosilicate glass;BPSG)、BlackDiamond®(加利福尼亞州,聖克拉拉的應用材料)、乾凝膠(Xerogel)、氣凝膠(Aerogel) 、非晶氟化碳、聚對二甲苯(parylene)、苯並環丁烯(Benzocyclobutene;BCB)、SiLK®(密歇根州,米德蘭的陶氏化學公司)及/或其組合。ILD層可藉由包括CVD、PVD、旋塗沉積及/或其他合適製程的任何合適製程來形成。Referring to FIG. 1, in operation 26, the method 10 performs further processing on the semiconductor structure 100. For example, the semiconductor structure 100 may be processed to form active devices and passive devices thereon. In some embodiments, a transistor (for example, FinFET) is formed on the fin 106 by forming a pair of source/drain features separated by a channel. The source/drain features may include semiconductors (eg, silicon (Si), germanium (Ge), silicon germanium (SiGe), etc.) and one or more dopants, such as P-type dopants or N-type dopants. Similarly, the channel region may include semiconductors and one or more dopants of the opposite type to those of the source/drain characteristics, or be undoped at all. In some embodiments, gate stacks are formed adjacent to and surrounding the channel region to control the flow of charge carriers through the channel region (electrons for N-channel FinFETs and holes for P-channel FinFETs). An Inter-Level Dielectric (ILD) layer may be formed on the semiconductor structure 100. The ILD layer serves as a conductive trace that supports and isolates an electrical multi-level interconnect structure that is electrically interconnected with elements of the semiconductor structure 100 (e.g., source/drain features and gate stacks). traces) insulator. The ILD layer may include dielectric materials (for example: semiconductor oxide, semiconductor nitride, semiconductor oxynitride, semiconductor carbide, etc.), spin on glass (SOG), FSG, phosphosilicate glass (phosphosilicate glass, etc.). ; PSG), borophosphosilicate glass (BPSG), BlackDiamond® (applied materials in Santa Clara, California), Xerogel, Aerogel, Amorphous Carbon Fluoride , Parylene (parylene), benzocyclobutene (Benzocyclobutene; BCB), SiLK® (The Dow Chemical Company of Midland, Michigan) and/or combinations thereof. The ILD layer can be formed by any suitable process including CVD, PVD, spin-on deposition, and/or other suitable processes.

第12圖在右側顯示了包括1位元SRAM單元的半導體裝置100的佈局圖,並且在左側顯示了表示1位元SRAM單元的一部分的電路圖。參照第12圖,半導體裝置(半導體結構)100包括沿著“Y”方向縱長定向的鰭片106(包括鰭片106a至106d、106e’以及106f’),並且閘極堆疊500沿著垂直於“Y”方向的“X”方向縱長定向。第12圖的A-A線段與第3圖的A-A線段相同。切割圖案206標記將被蝕刻的鰭片106和井區104的區域(對應在第4圖中的開口206)。在此實施例中,切割圖案206從一個閘極堆疊500的邊緣延伸到另一個閘極堆疊500的邊緣。值得注意的是,在形成閘極堆疊500之前進行“切割”製程(即,鰭片106和井區104的蝕刻)。因此,“切割”製程不會損壞後來形成的閘極堆疊500。此外,由於沒有源極或汲極在閘極的一側上,所以在PMOS區中的切割圖案206的兩側上的閘極堆疊500不用作閘極,但是在一些實施例中可以用作互連。鰭片106e’和106f’個別地等同於第2圖至第11圖的鰭片106e和106f,但是放置在鰭片106a的左側。第2圖至第11圖的鰭片106e和106f是第12圖所示的SRAM單元右側的一部分,並且在此圖式中未顯示。井隔離特徵404在井區104中佔據與切割圖案206對應的空間。FIG. 12 shows a layout diagram of a semiconductor device 100 including a 1-bit SRAM cell on the right, and a circuit diagram showing a part of the 1-bit SRAM cell on the left. Referring to FIG. 12, the semiconductor device (semiconductor structure) 100 includes fins 106 (including fins 106a to 106d, 106e' and 106f') oriented lengthwise along the "Y" direction, and the gate stack 500 is perpendicular to The "X" direction of the "Y" direction is longitudinally oriented. The line A-A in Figure 12 is the same as the line A-A in Figure 3. The cutting pattern 206 marks the area of the fin 106 and the well 104 to be etched (corresponding to the opening 206 in Figure 4). In this embodiment, the cutting pattern 206 extends from the edge of one gate stack 500 to the edge of the other gate stack 500. It is worth noting that the “cutting” process (ie, etching of the fin 106 and the well 104) is performed before forming the gate stack 500. Therefore, the “cutting” process will not damage the gate stack 500 formed later. In addition, since there is no source or drain on one side of the gate, the gate stack 500 on both sides of the cut pattern 206 in the PMOS region is not used as a gate, but can be used as a mutual gate in some embodiments. even. The fins 106e' and 106f' are individually equivalent to the fins 106e and 106f of FIGS. 2 to 11, but are placed on the left side of the fin 106a. The fins 106e and 106f in FIGS. 2 to 11 are part of the right side of the SRAM cell shown in FIG. 12, and are not shown in this drawing. The well isolation feature 404 occupies a space corresponding to the cut pattern 206 in the well region 104.

儘管非為限制,但是本揭露之一或多個實施例為半導體裝置及其形成提供了許多益處。舉例來說,本揭露實施例在FinFET電路、特別是FinFET SRAM單元中提供井隔離特徵。井隔離特徵減少了兩個相鄰且相反摻雜的井區之間的漏電,從而降低了電路中PNPN或NPNP結構觸發閂鎖的可能性。Although not limiting, one or more embodiments of the present disclosure provide many benefits for semiconductor devices and their formation. For example, the disclosed embodiments provide well isolation features in FinFET circuits, particularly FinFET SRAM cells. The well isolation feature reduces the leakage between two adjacent and oppositely doped well regions, thereby reducing the possibility of PNPN or NPNP structure triggering latch-up in the circuit.

在一個實施例中,本揭露提供一種積體電路裝置之製造方法。積體電路裝置之製造方法包括接收包括基板的半導體結構,基板包括具有第一摻雜物類型的第一井區和具有第二摻雜物類型的第二井區,第二摻雜物類型相反於第一摻雜物類型;以及在上述基板上方延伸的複數鰭片。積體電路裝置之製造方法更包括在半導體結構上形成圖案化蝕刻罩幕,其中圖案化蝕刻罩幕提供在鰭片的第一鰭片正上方的開口,其中第一鰭片在第一井區正上方。積體電路裝置之製造方法更包括透過圖案化蝕刻罩幕蝕刻半導體結構,其中蝕刻步驟移除第一鰭片,並且在基板中形成從第一井區跨到第二井區中的凹陷;以及在鰭片的複數剩餘部分之間和凹陷內形成介電材料。In one embodiment, the present disclosure provides a method of manufacturing an integrated circuit device. The manufacturing method of an integrated circuit device includes receiving a semiconductor structure including a substrate. The substrate includes a first well region having a first dopant type and a second well region having a second dopant type, the second dopant type being opposite In the first dopant type; and a plurality of fins extending above the substrate. The manufacturing method of the integrated circuit device further includes forming a patterned etching mask on the semiconductor structure, wherein the patterned etching mask provides an opening directly above the first fin of the fin, wherein the first fin is in the first well region Directly above. The manufacturing method of the integrated circuit device further includes etching the semiconductor structure through the patterned etching mask, wherein the etching step removes the first fin and forms a recess in the substrate that spans from the first well region to the second well region; and A dielectric material is formed between the remaining portions of the fins and in the recesses.

在一個實施例中,在形成圖案化蝕刻罩幕的步驟之前,積體電路裝置之製造方法更包括在基板上方和鰭片的頂部和複數側壁上方形成介電襯墊,其中開口暴露設置在第一鰭片的頂部和側壁上方的介電襯墊。In one embodiment, before the step of forming the patterned etching mask, the manufacturing method of the integrated circuit device further includes forming a dielectric liner above the substrate, the top of the fin and the plurality of sidewalls, wherein the opening is exposed and disposed in the first A dielectric liner on the top of the fin and above the sidewalls.

在積體電路裝置之製造方法的實施例中,鰭片的第二鰭片在第二井區正上方,並且沿著鰭片寬度方向與第一鰭片相鄰,並且開口在第一鰭片和第二鰭片之間的第二井區的第一部分正上方。在另一實施例中,第一部分的寬度是沿著鰭片寬度方向在第一鰭片和第二鰭片之間的第二井區的寬度的40%至60%。In the embodiment of the manufacturing method of the integrated circuit device, the second fin of the fin is directly above the second well region and is adjacent to the first fin along the width direction of the fin, and the opening is in the first fin The first part of the second well region between and the second fin is directly above. In another embodiment, the width of the first portion is 40% to 60% of the width of the second well region between the first fin and the second fin along the fin width direction.

在積體電路裝置之製造方法的實施例中,凹陷從基板的上表面深入到上述基板中至少40nm。在另一實施例中,在蝕刻半導體結構的步驟期間,使用計時器來控制凹陷的深度。在另一實施例中,藉由蝕刻步驟移除的第一井區和第二井區的第一部分比起保留在凹陷下方的第一井區和第二井區的第二部分被更重地摻雜。In the embodiment of the method of manufacturing an integrated circuit device, the recesses extend from the upper surface of the substrate to at least 40 nm into the substrate. In another embodiment, during the step of etching the semiconductor structure, a timer is used to control the depth of the recess. In another embodiment, the first portion of the first well region and the second well region removed by the etching step is more heavily doped than the second portion of the first well region and the second well region remaining under the recess. miscellaneous.

在積體電路裝置之製造方法的實施例中,凹陷的頂部比凹陷的底部寬。在另一個實施例中,第一摻雜物類型為N型,並且第二摻雜物類型為P型。在又一個實施例中,積體電路裝置之製造方法更包括在蝕刻半導體結構的步驟之後,並且在形成介電材料的步驟之前,移除圖案化蝕刻罩幕。In the embodiment of the method of manufacturing an integrated circuit device, the top of the recess is wider than the bottom of the recess. In another embodiment, the first dopant type is N-type, and the second dopant type is P-type. In another embodiment, the manufacturing method of the integrated circuit device further includes removing the patterned etching mask after the step of etching the semiconductor structure and before the step of forming the dielectric material.

在一個實施例中,本揭露提供一種積體電路裝置之製造方法。積體電路裝置之製造方法包括接收包括基板的半導體結構,基板包括N井區和與N井區鄰接的P井區;以及在基板上方延伸的複數鰭片結構。積體電路裝置之製造方法更包括在基板的上表面上方和鰭片結構的頂部和複數側壁上方形成介電襯墊。積體電路裝置之製造方法更包括在半導體結構上方形成圖案化蝕刻罩幕,圖案化蝕刻罩幕具有開口,其中鰭片結構的第一鰭片結構豎立在開口中,其中第一鰭片結構在N井區正上方。積體電路裝置之製造方法更包括透過開口蝕刻第一鰭片結構和基板,其中蝕刻步驟在基板中形成跨越N井區和P井區之間的邊界的凹陷;以及在鰭片結構的複數剩餘部分之間和凹陷內形成介電材料。In one embodiment, the present disclosure provides a method of manufacturing an integrated circuit device. The manufacturing method of the integrated circuit device includes receiving a semiconductor structure including a substrate, the substrate including an N-well region and a P-well region adjacent to the N-well region; and a plurality of fin structures extending above the substrate. The manufacturing method of the integrated circuit device further includes forming a dielectric liner above the upper surface of the substrate, the top of the fin structure and the plurality of sidewalls. The manufacturing method of the integrated circuit device further includes forming a patterned etching mask over the semiconductor structure, the patterned etching mask has an opening, wherein the first fin structure of the fin structure is erected in the opening, and the first fin structure is Right above the N well area. The manufacturing method of the integrated circuit device further includes etching the first fin structure and the substrate through the opening, wherein the etching step forms a recess in the substrate that crosses the boundary between the N-well region and the P-well region; and in the plurality of remaining fin structures A dielectric material is formed between the parts and in the recess.

在積體電路裝置之製造方法的實施例中,形成圖案化蝕刻罩幕的步驟包括在介電襯墊上方,並且圍繞鰭片結構,形成填充層;在填充層上形成光阻層;將光阻層圖案化以得到圖案化光阻層;以及透過圖案化光阻層蝕刻填充層,以提供開口。In the embodiment of the manufacturing method of the integrated circuit device, the step of forming a patterned etching mask includes forming a filling layer above the dielectric liner and surrounding the fin structure; forming a photoresist layer on the filling layer; The resist layer is patterned to obtain a patterned photoresist layer; and the filling layer is etched through the patterned photoresist layer to provide openings.

在積體電路裝置之製造方法的另一實施例中,鰭片結構之每一者包括連接至基板的半導體鰭片和設置在半導體鰭片上方的鰭片頂部硬罩幕。在又一個實施例中,開口暴露在P井區正上方的介電襯墊的一部分。In another embodiment of the method of manufacturing an integrated circuit device, each of the fin structures includes a semiconductor fin connected to the substrate and a hard mask on the top of the fin disposed above the semiconductor fin. In yet another embodiment, the opening is exposed at a portion of the dielectric liner directly above the P-well region.

在積體電路裝置之製造方法的實施例中,從基板的上表面到凹陷的底表面的距離為至少25nm。在另一實施例中,凹陷具有凹陷的頂部比凹陷的底部寬的錐形輪廓。In an embodiment of the method of manufacturing an integrated circuit device, the distance from the upper surface of the substrate to the bottom surface of the recess is at least 25 nm. In another embodiment, the recess has a tapered profile with the top of the recess wider than the bottom of the recess.

在一個實施例中,本揭露提供一種積體電路裝置。積體電路裝置包括基板,包括具有第一摻雜類型的第一井區和具有第二摻雜類型的第二井區,第二摻雜物類型與第一摻雜物類型不同;複數鰭片,從基板延伸;介電材料,設置在鰭片之間,使得鰭片在介電材料的頂表面上方延伸;以及井隔離特徵,包括延伸到基板中的介電材料的一部分,其中井隔離特徵的底表面在井隔離特徵和鰭片的第一鰭片之間延伸的基板的頂表面下方。In one embodiment, the present disclosure provides an integrated circuit device. The integrated circuit device includes a substrate, including a first well region with a first doping type and a second well region with a second doping type, the second dopant type is different from the first dopant type; a plurality of fins , Extending from the substrate; a dielectric material disposed between the fins so that the fins extend above the top surface of the dielectric material; and a well isolation feature, including a portion of the dielectric material extending into the substrate, wherein the well isolation feature The bottom surface of the fin is below the top surface of the substrate extending between the well isolation feature and the first fin of the fin.

在積體電路裝置的實施例中,井隔離特徵的底表面在基板的頂表面下方至少40nm。在另一實施例中,井隔離特徵具有複數圓底角。在又一個實施例中,井隔離特徵設置在第一井區和第二井區之兩者上方,並且井隔離特徵的較大部分設置在第一井區上方,而不是第二井區上方。In an integrated circuit device embodiment, the bottom surface of the well isolation feature is at least 40 nm below the top surface of the substrate. In another embodiment, the well isolation feature has plural rounded bottom corners. In yet another embodiment, the well isolation feature is disposed above both the first well zone and the second well zone, and a larger portion of the well isolation feature is disposed above the first well zone instead of the second well zone.

前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。The foregoing text outlines the features of many embodiments, so that those skilled in the art can better understand the present disclosure from various aspects. Those skilled in the art should understand, and can easily design or modify other processes and structures based on the present disclosure, and achieve the same purpose and/or the same as the embodiments introduced herein. The advantages. Those skilled in the art should also understand that these equivalent structures do not deviate from the spirit and scope of the present disclosure. Without departing from the spirit and scope of the present disclosure, various changes, substitutions or modifications can be made to the present disclosure.

10:方法 12~26:操作 100:半導體結構/半導體裝置 102:基板 104A,104B,104C:井區 106a,106b,106c,106d,106e,106f:鰭片 108,110:半導體區 112,114:鰭片頂部硬罩幕圖案/鰭片頂部硬罩幕 102U:上表面 116:介電襯墊層 202:硬罩幕層 204:光阻層 206:開口 W1,W2,W3:距離 302:凹陷 304:深度 W2’,W3’:距離 402:隔離特徵 404:井隔離特徵 500:閘極堆疊 106e’,106f’:鰭片10: method 12~26: Operation 100: Semiconductor structure/semiconductor device 102: substrate 104A, 104B, 104C: Well area 106a, 106b, 106c, 106d, 106e, 106f: fins 108, 110: semiconductor area 112,114: Hard cover pattern on top of fins / Hard cover on top of fins 102U: upper surface 116: Dielectric liner 202: hard mask layer 204: photoresist layer 206: open W1, W2, W3: distance 302: Depression 304: Depth W2’,W3’: distance 402: Isolation Features 404: Well Isolation Features 500: gate stack 106e’,106f’: fins

本揭露從後續實施例以及附圖可以更佳理解。須知示意圖係為範例,並且不同特徵並無示意於此。不同特徵之尺寸可能任意增加或減少以清楚論述。 第1圖是根據本揭露實施例之積體電路裝置之製造方法的流程圖。 第2圖和第3圖是根據本揭露實施例之工件的示意圖。 第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11圖是根據本揭露實施例之工件的剖面圖,其中剖面圖是沿著第3圖中的A-A線段截取的。 第12圖是根據本揭露實施例之積體電路的示意圖和佈局圖。This disclosure can be better understood from the subsequent embodiments and the accompanying drawings. Note that the schematic diagram is an example, and the different features are not shown here. The size of different features may be increased or decreased arbitrarily for clear discussion. FIG. 1 is a flowchart of the manufacturing method of the integrated circuit device according to the embodiment of the disclosure. Figures 2 and 3 are schematic diagrams of a workpiece according to an embodiment of the present disclosure. Figure 4, Figure 5, Figure 6, Figure 7, Figure 8, Figure 9, Figure 10, Figure 11 are cross-sectional views of the workpiece according to an embodiment of the present disclosure, wherein the cross-sectional view is along the first 3 The AA line segment in the figure is intercepted. FIG. 12 is a schematic diagram and layout diagram of an integrated circuit according to an embodiment of the disclosure.

no

100:半導體結構/半導體裝置 100: Semiconductor structure/semiconductor device

102:基板 102: substrate

104A,104B,104C:井區 104A, 104B, 104C: Well area

106a,106c,106d,106e,106f:鰭片 106a, 106c, 106d, 106e, 106f: fins

108,110:半導體區 108, 110: semiconductor area

112,114:鰭片頂部硬罩幕圖案/鰭片頂部硬罩幕 112,114: Hard cover pattern on top of fins / Hard cover on top of fins

102U:上表面 102U: upper surface

116:介電襯墊層 116: Dielectric liner

W1:距離 W1: distance

304:深度 304: Depth

W2’,W3’:距離 W2’,W3’: distance

402:隔離特徵 402: Isolation Features

404:井隔離特徵 404: Well Isolation Features

Claims (9)

一種積體電路裝置之製造方法,包括:接收一半導體結構,上述半導體結構包括:一基板,包括具有一第一摻雜物類型的一第一井區和具有一第二摻雜物類型的一第二井區,上述第二摻雜物類型相反於上述第一摻雜物類型;以及複數鰭片,在上述基板上方延伸;在上述半導體結構上形成一圖案化蝕刻罩幕,其中上述圖案化蝕刻罩幕提供在上述鰭片的一第一鰭片正上方的一開口,其中上述第一鰭片在上述第一井區正上方;透過上述圖案化蝕刻罩幕蝕刻上述半導體結構,其中上述蝕刻步驟移除上述第一鰭片,並且在上述基板中形成從上述第一井區跨到上述第二井區中的一凹陷,其中上述凹陷的一較大部分設置在上述第一井區上方,而不是上述第二井區上方;以及在上述鰭片的複數剩餘部分之間和上述凹陷內形成一介電材料。 A method for manufacturing an integrated circuit device includes: receiving a semiconductor structure, the semiconductor structure includes: a substrate, including a first well region having a first dopant type and a second dopant type In the second well region, the second dopant type is opposite to the first dopant type; and a plurality of fins extending above the substrate; a patterned etching mask is formed on the semiconductor structure, wherein the patterning The etching mask provides an opening directly above a first fin of the fin, wherein the first fin is directly above the first well region; the semiconductor structure is etched through the patterned etching mask, wherein the etching Removing the first fin, and forming a depression in the substrate that spans from the first well region to the second well region, wherein a larger portion of the depression is disposed above the first well region, Instead of above the second well region; and forming a dielectric material between the plurality of remaining parts of the fins and in the recesses. 如請求項1之積體電路裝置之製造方法,其中上述鰭片的一第二鰭片在上述第二井區正上方,並且沿著一鰭片寬度方向與上述第一鰭片相鄰,並且上述開口在上述第一鰭片和上述第二鰭片之間的上述第二井區的一第一部分正上方。 The method for manufacturing an integrated circuit device according to claim 1, wherein a second fin of the fin is directly above the second well region and is adjacent to the first fin along a fin width direction, and The opening is directly above a first portion of the second well region between the first fin and the second fin. 如請求項2之積體電路裝置之製造方法,其中上述第一部分的寬度是沿著上述鰭片寬度方向在上述第一鰭片和上述第二鰭片之間的上述第二井區的寬度的40%至60%。 The method for manufacturing an integrated circuit device of claim 2, wherein the width of the first portion is the width of the second well region between the first fin and the second fin along the width direction of the fin 40% to 60%. 如請求項1之積體電路裝置之製造方法,其中藉由上述蝕刻 步驟移除的上述第一井區和上述第二井區的一第一部分比起保留在上述凹陷下方的上述第一井區和上述第二井區的一第二部分被更重地摻雜。 The method of manufacturing an integrated circuit device of claim 1, wherein by the above-mentioned etching A first portion of the first well region and the second well region removed by the step is more heavily doped than a second portion of the first well region and the second well region remaining under the recess. 如請求項1之積體電路裝置之製造方法,其中上述凹陷的一頂部比上述凹陷的一底部寬。 The method for manufacturing an integrated circuit device according to claim 1, wherein a top of the recess is wider than a bottom of the recess. 一種積體電路裝置之製造方法,包括:接收一半導體結構,上述半導體結構包括:一基板,包括一N井區和與上述N井區鄰接的一P井區;以及複數鰭片結構,在上述基板上方延伸;在上述基板的上表面上方和上述鰭片結構的一頂部和複數側壁上方形成一介電襯墊;在上述半導體結構上方形成一圖案化蝕刻罩幕,上述圖案化蝕刻罩幕具有一開口,其中上述鰭片結構的一第一鰭片結構豎立在上述開口中,其中上述第一鰭片結構在上述N井區正上方;透過上述開口蝕刻上述第一鰭片結構和上述基板,其中上述蝕刻步驟在上述基板中形成跨越上述N井區和上述P井區之間的一邊界的一凹陷,其中上述凹陷的一較大部分設置在上述N井區上方,而不是上述P井區上方;以及在上述鰭片結構的複數剩餘部分之間和上述凹陷內形成一介電材料。 A method for manufacturing an integrated circuit device includes: receiving a semiconductor structure, the semiconductor structure comprising: a substrate including an N-well region and a P-well region adjacent to the N-well region; and a plurality of fin structures, in the above-mentioned Extending above the substrate; forming a dielectric spacer above the upper surface of the substrate and a top of the fin structure and above the plurality of side walls; forming a patterned etching mask above the semiconductor structure, the patterned etching mask having An opening, wherein a first fin structure of the fin structure stands in the opening, wherein the first fin structure is directly above the N-well region; the first fin structure and the substrate are etched through the opening, Wherein, the etching step forms a recess in the substrate that crosses a boundary between the N-well region and the P-well region, wherein a larger portion of the recess is disposed above the N-well region instead of the P-well region Above; and a dielectric material is formed between the plurality of remaining parts of the fin structure and the recess. 如請求項6之積體電路裝置之製造方法,其中上述形成上述圖案化蝕刻罩幕的步驟包括:在上述介電襯墊上方形成一填充層,並且上述填充層圍繞上述鰭片結構;在上述填充層上形成一光阻層;圖案化上述光阻層以得到一圖案化光阻層;以及 透過上述圖案化光阻層蝕刻上述填充層,以提供上述開口。 The method for manufacturing an integrated circuit device according to claim 6, wherein the step of forming the patterned etching mask includes: forming a filling layer above the dielectric spacer, and the filling layer surrounds the fin structure; Forming a photoresist layer on the filling layer; patterning the photoresist layer to obtain a patterned photoresist layer; and The filling layer is etched through the patterned photoresist layer to provide the opening. 如請求項6之積體電路裝置之製造方法,其中上述鰭片結構之每一者包括連接至上述基板的一半導體鰭片和設置在上述半導體鰭片上方的一鰭片頂部硬罩幕。 The method for manufacturing an integrated circuit device according to claim 6, wherein each of the fin structures includes a semiconductor fin connected to the substrate and a fin top hard mask disposed above the semiconductor fin. 一種積體電路裝置,包括:一基板,包括具有一第一摻雜類型的一第一井區和具有一第二摻雜類型的一第二井區,上述第二摻雜物類型與上述第一摻雜物類型不同;複數鰭片,從上述基板延伸;一介電材料,設置在上述鰭片之間,使得上述鰭片在上述介電材料的一頂表面上方延伸;以及一井隔離特徵,包括延伸到上述基板中的上述介電材料的一部分,其中上述井隔離特徵的一底表面在上述井隔離特徵和上述鰭片的一第一鰭片之間延伸的上述基板的一頂表面下方,其中上述井隔離特徵設置在上述第一井區和上述第二井區之兩者上方,並且上述井隔離特徵的一較大部分設置在上述第一井區上方,而不是上述第二井區上方。 An integrated circuit device includes: a substrate, including a first well region with a first doping type and a second well region with a second doping type, the second dopant type and the first well region A different type of dopant; a plurality of fins extending from the substrate; a dielectric material disposed between the fins such that the fins extend above a top surface of the dielectric material; and a well isolation feature , Including a portion of the dielectric material extending into the substrate, wherein a bottom surface of the well isolation feature is below a top surface of the substrate extending between the well isolation feature and a first fin of the fin , Wherein the well isolation feature is arranged above both the first well zone and the second well zone, and a larger part of the well isolation feature is arranged above the first well zone instead of the second well zone Above.
TW108140385A 2018-11-29 2019-11-07 Integrated circuit device and fabricating method thereof TWI732335B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862772658P 2018-11-29 2018-11-29
US62/772,658 2018-11-29
US16/450,278 US11264268B2 (en) 2018-11-29 2019-06-24 FinFET circuit devices with well isolation
US16/450,278 2019-06-24

Publications (2)

Publication Number Publication Date
TW202038331A TW202038331A (en) 2020-10-16
TWI732335B true TWI732335B (en) 2021-07-01

Family

ID=70850998

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108140385A TWI732335B (en) 2018-11-29 2019-11-07 Integrated circuit device and fabricating method thereof

Country Status (2)

Country Link
CN (1) CN111244175B (en)
TW (1) TWI732335B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11462612B2 (en) * 2020-10-28 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
US20220285346A1 (en) * 2021-03-04 2022-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Fabricating the Same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160351565A1 (en) * 2015-05-28 2016-12-01 Sug-Hyun Sung Integrated circuit (ic) devices including stress inducing layers
US9899267B1 (en) * 2016-11-23 2018-02-20 United Microelectronics Corp. Semiconductor device and manufacturing method thereof

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8815684B2 (en) * 2012-12-07 2014-08-26 International Business Machines Corporation Bulk finFET with super steep retrograde well
KR101655622B1 (en) * 2013-12-20 2016-09-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Mechanism for finfet well doping
US9583342B2 (en) * 2014-07-24 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET doping methods and structures thereof
US9590102B2 (en) * 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9837415B2 (en) * 2015-06-25 2017-12-05 International Business Machines Corporation FinFET structures having silicon germanium and silicon fins with suppressed dopant diffusion
US9881919B2 (en) * 2016-03-03 2018-01-30 International Business Machines Corporation Well and punch through stopper formation using conformal doping
US10910223B2 (en) * 2016-07-29 2021-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Doping through diffusion and epitaxy profile shaping
US10083878B1 (en) * 2017-06-05 2018-09-25 Globalfoundries Inc. Fin fabrication process with dual shallow trench isolation and tunable inner and outer fin profile

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160351565A1 (en) * 2015-05-28 2016-12-01 Sug-Hyun Sung Integrated circuit (ic) devices including stress inducing layers
US9899267B1 (en) * 2016-11-23 2018-02-20 United Microelectronics Corp. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
CN111244175A (en) 2020-06-05
TW202038331A (en) 2020-10-16
CN111244175B (en) 2024-01-30

Similar Documents

Publication Publication Date Title
US10943983B2 (en) Integrated circuits having protruding interconnect conductors
US11552083B2 (en) Integrated circuits with contacting gate structures
US9716146B2 (en) Integrated circuit structure and method with solid phase diffusion
US11948829B2 (en) FinFET circuit devices with well isolation
US8865595B2 (en) Device and methods for forming partially self-aligned trenches
TW201351654A (en) Field effect transistor structure and method for forming the same
US20230058699A1 (en) Forming Epitaxial Structures in Fin Field Effect Transistors
US9478459B2 (en) Device and methods for small trench patterning
US10224204B1 (en) Method of manufacturing integrated circuit device
TW201839818A (en) Manufacturing method of ic devices
TWI732335B (en) Integrated circuit device and fabricating method thereof
US20230207320A1 (en) Integrated Circuits with Capacitors
US10755932B2 (en) Method of manufacturing integrated circuit device
CN220569685U (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
US20230352483A1 (en) Input/output devices
CN109979822B (en) Semiconductor device and method of forming the same